Cavity packages

Information

  • Patent Grant
  • 11600542
  • Patent Number
    11,600,542
  • Date Filed
    Monday, January 11, 2021
    3 years ago
  • Date Issued
    Tuesday, March 7, 2023
    a year ago
Abstract
An integrated device package is disclosed. The integrated device package can include an integrated device die, an element, a cavity, and an electrical interconnect. The element can have an antenna structure. The element can be attached to a surface of the integrated device. The cavity can be disposed between the integrated device die and the antenna structure. The electrical interconnect can connect the integrated device die and the antenna structure.
Description
BACKGROUND
Field

The field generally relates to integrated device packages having cavities, and in particular, to radio frequency (RF) packages having air cavities.


Description of the Related Art

High performance radio frequency (RF) devices are in high demand today. The performance of an RF device can refer to its gain, bandwidth, directivity, etc. However, it can be difficult to manufacture high performance RF devices due to challenges associated with incorporating cavities in the device and providing precise dimensions for the cavity. Accordingly, there remains a continuing need for improved RF devices.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1A is a schematic cross sectional view of an integrated device package that includes an element that comprises a frame and an antenna structure disposed on or near a top surface of the element, and a device die that is in electrical connection with the antenna structure via an interconnect.



FIG. 1B is a schematic cross sectional view of the integrated device package with the antenna structure disposed on or near an upper surface of a cavity formed between the antenna structure and the device die.



FIG. 2 is a schematic cross-sectional view of the integrated device package that shows the element comprising a plurality of frame portions bonded together.



FIG. 3A is a schematic cross sectional view of the integrated device package that includes a first interconnect extending from the die to the antenna structure through the cavity.



FIG. 3B is a schematic cross sectional view of the integrated device package that includes a second interconnect extending from the die to the antenna structure.



FIG. 4A is a schematic cross-sectional views of the integrated device package having an active circuitry near a bottom surface of the device die and the cavity in the element.



FIG. 4B a schematic cross-sectional views of the integrated device package having an active circuitry near a bottom surface of the device die and the cavity in the device die.



FIG. 5 is a schematic cross sectional zoomed in view of the integrated device package around the cavity showing exaggerated view of uneven and/or scalloped surfaces of the walls.



FIG. 6A is a cross sectional view of the element prior to making a recess for forming the cavity.



FIG. 6B is a cross sectional view of the element after making a recess for forming the cavity.



FIG. 6C is a cross sectional view of the integrated device package after bonding the element of FIG. 6B to the device die.



FIG. 7A is a schematic cross-sectional view of an integrated device package having a first antenna structure on or near the top surface of the element and a second antenna structure on or near the lower surface of the cavity.



FIG. 7B is a schematic cross-sectional view of an integrated device package 1 having a first antenna structure on or near the top surface of the element and a second antenna structure under the lower surface of the cavity.



FIG. 8 is a schematic diagram of an electronic system incorporating one or more bonded structures, according to various embodiments.





DETAILED DESCRIPTION

In various technologies such as wireless communications technologies, communication frequency has been increasing and likely will continue to increase. For example, in a typical cellular network, frequencies can be on the order of at least a few GHz. With new standards (e.g., a 5G standard), frequencies can be substantially higher, for example, at least 25 GHz, at least 50 GHz, at least 75 GHz, etc. Different antenna structures can be more suitable for these new technologies with higher frequencies. An important objective in designing new antenna structures is to create a more efficient structure that consumes less energy, e.g., an antenna structure with higher gain is desirable. In addition, another important object in modern antenna design is to create a more efficient planar antenna structure that can operate over wider frequency range, as the planar antenna such as patch antenna has inherently smaller bandwidth compared to other types of antenna. In providing higher gain and/or wider bandwidth antennas, antenna structures with cavities that provide a resonance structure can be useful. However, as the frequencies increase, the cavity is typically smaller and may utilize more accurate and/or precise dimensions. Accordingly, there is a demand for improved structures for antenna packages.


Various embodiments disclosed herein relate to integrated device packages, for example, radio frequency (RF) packages that include cavities. In some embodiments, the integrated device packages can comprise device dies that are stacked to define the cavities. For example, in some embodiments, an RF package can comprise an antenna structure coupled to or formed with an element (e.g., a semiconductor element), a device die, and a cavity between the antenna structure and the device die. For example, in some embodiments, the semiconductor element can comprise the cavity and the device die can comprise an active die that is in electrical connection with the antenna structure, e.g., via a vertical interconnect.


The RF performance can be improved by including a cavity in an antenna package of the RF device, in some embodiments. In particular, a relatively small, precisely dimensioned cavity in the antenna package can be useful for improving, for example, the bandwidth of such devices especially with high frequency antennas. Also, reducing a horizontal dimension of the antenna package can allow the RF device to adapt for higher frequency applications. Further precise horizontal and/or vertical dimensions can be important in improving the performance of the RF device by, for example, allowing more narrowband applications with higher gains, or enabling more wider bandwidth applications with acceptable gains. Compact vertical interconnects to connect the antenna structure and an active die. The element and antenna structure can be stacked on the device die to reduce the horizontal dimension of the antenna package. However, manufacturing a precisely dimensioned cavity, and stacking the element with the antenna and the active die can be challenging.



FIG. 1A is a schematic cross sectional view of the integrated device package 1 that includes an element 10 that comprises a frame 11, an antenna structure 32, and a device die 18 that is in electrical connection with the antenna structure 32 via an interconnect 36. For example, in some embodiments, the antenna structure 32 can electrically connect to the interconnect 36 by way of conductive traces (not shown) disposed in or on the element 10. The device package 1 comprises a cavity between the element 10 and the device die 18.


In some embodiments, the frame 11 of the element 10 can comprise a semiconductor material or other dielectric material (e.g., silicon, GaAs, glass, etc.). In some embodiments, interconnect 36 (e.g., through silicon via (TSV), or through glass via (TGV), etc.) can be formed in the frame 11 by making a hole in the frame and disposing an electrically conductive material (e.g., copper, gold, etc.) in the hole. It may be beneficial to have the interconnect 36 in the frame 11, in some embodiments, in order to reduce the overall size of the integrated device package 1 as opposed to having electrical connections separate from the frame 11 and/or flip chip or wire bond interconnects. Also, such embodiments can allow for shorter distances between the device die 18 and the antenna structure 32 than with external electrical connections, which may increase signal transfer accuracy, and/or reduce electrical load in transferring signals from the device die 18 to the antenna structure 32 and vice versa. In some embodiments, the frame may include more than one interconnect 36. The interconnect 36 illustrated in FIG. 1A is illustrated as being disposed within the frame 11. However, the interconnect 36 may be formed at any location of the element 10 including the edge and/or side wall of the element 10.


In some embodiments, it can be desirable to fill the cavity 30 with a cavity filler. The cavity filler can comprise a low loss dielectric constant or low loss tangent filler e.g., a filler having a loss tangent below around 0.0001. In some embodiments, the cavity filler can comprise a gas, such as an inert gas, air, nitrogen, etc. In some embodiments, it can be desirable to use a dry gas (e.g., an inert dry gas) because humidity may alter the loss tangent of certain gases. In some other embodiments, the cavity filler can comprise a dielectric material. In some embodiments the cavity filler may comprise any combination of such filling materials or gases. The cavity filler (e.g., filling materials or gases) may be disposed in the cavity 30 in any suitable manner. It should be understood that the dimensions of the cavity 30 for a certain application can be determined at least in part by the properties of the cavity filler. It can also be beneficial to have the cavity filler with a known loss tangent in the cavity 30 for precise measurements.


In some embodiments, the cavity 30 can comprise coatings (not shown) on or at its inner periphery. For example, a first wall 40, a second wall 42, an upper surface 44 and/or an lower surface 46 can be coated with metal and/or an additional dielectric layer. In some embodiments, it can be important to provide a hermetically sealed cavity 30 to isolate the cavity 30 from outside environ to have a known gas or material in the cavity 30. The cavity may also provide mechanical support for the antenna structures 32, 34, in some embodiments.


In some embodiments, the cavity can be defined by, for example, etching the frame 11 of the element 10 (See FIG. 5). In some embodiments, the cavity 30 can comprise the first wall 40 and the second wall 42. In such embodiments, the first wall 40 and the second wall 42 can comprise two opposing sides of the cavity 30. The cavity 30 can also comprise the upper surface 44 and the lower surface 46. In the illustrated embodiment of FIG. 1, a portion of the element 10 can comprise the upper surface 44 and a portion of the device die 18 can comprise the lower surface 46. Although, the cavity 30 illustrated in FIG. 1A has a rectangular shape as seen in the cross-sectional view, the cavity 30 may form any other suitable shape.


As illustrated in FIG. 1A, the antenna structure 32 can be disposed on or near a top surface 48 of the element 10. For example, the antenna structure 32 can be at least partially embedded in the frame 11. In some embodiments, the antenna structure 32 can be disposed on top of the frame 11, e.g., deposited on exterior surfaces of the frame 11. However, it should be understood that the antenna structure 32 may be disposed at a different location of the integrated package 1. (See, for example, FIG. 1B). For example, the antenna structure 32 may be disposed near the upper surface of the cavity 30, between the upper surface of the cavity 30 and the top surface of the element 10, near the lower surface 46 of the cavity 30, etc. As shown in FIG. 1A, the antenna structure 32 can comprise a plurality of laterally spaced antenna structure elements. In some embodiments, the antenna structure 32 can comprise a phased array antenna that can include array of antennas. Each of the antennas of the phased array antenna can be independently controlled. A signal that is phase shifted can be applied to each of the antennas of the phased array antenna and can provide for a constructive or destructive interference. Each of the antennas can correspond to the antenna structure elements that are spaced laterally. The spacing between the antenna structure elements can be optimized to provide a desired interference coupling. In some embodiments, the antenna structure 32 can comprise a patch antenna. The patch antenna can be configured to transmit and/or receive signals at different frequencies which may be individually routed. In some embodiments the device die 18 may comprise a splitter that may filter the bandwidth of interest for each split. Although there is only one antenna structure 32 illustrated in FIG. 1A, in some embodiments, the element 10 can comprise multiple antenna structures. In some embodiments, the multiple antenna structures may be disposed at different portions of the element 10.


The element 10 and the device die 18 can be directly bonded together at a bonding surface 22 without an intervening adhesive. Directly bonding the element 10 and the device die 18 can be beneficial, in some embodiments. For example, in some embodiments, directly bonding the element 10 and the device die 18 can provide a better sealing without increasing footprint or thickness of the package 1 than bonding using other methods of bonding, such as bonding using an adhesive. For example, because direct bonding does not include an underfill between the element 10 and the device die 18, leakage of the underfill is avoided. In other embodiments, however, the element 10 and the device die 18 can be bonded with an adhesive.


Preparation of the direct bonding surfaces can include provision of nonconductive layers such as silicon oxide. The bonding surfaces of the element 10 and the device die 18 can be polished to a very high degree of smoothness (e.g., less than 20 nm surface roughness, or more particularly, less than 1 nm surface roughness) e.g., by chemical mechanical polishing (CMP). In some embodiments, the surfaces to be bonded may be terminated with a suitable species and activated prior to bonding. For example, in some embodiments, the bonding surfaces of the bonding layer to be bonded, such as silicon oxide material, may be very slightly etched for activation and exposed to a nitrogen-containing solution and terminated with a nitrogen-containing species. As one example, the surfaces to be bonded may be exposed to an ammonia dip after a very slight etch, and/or a nitrogen-containing plasma (with or without a separate etch). Once the respective surfaces are prepared, the bonding surfaces (such as silicon oxide) of the element 10 and the device die 18 can be brought into contact. The interaction of the activated surfaces can cause the bonding surface of the first element 10 to directly bond with the bonding surface of the device die 18 without an intervening adhesive, without application of external pressure, without application of voltage, and at room temperature. In various embodiments, the bonding forces of the nonconductive regions can include covalent bonds that are greater than Van der Waals bonds and exert significant forces between the conductive features. Prior to any heat treatment, the bonding energy of the dielectric-dielectric surface can be in a range from 150-300 mJ/m2, which can increase to 1500-4000 mJ/m2 after a period of heat treatment. Additional details of the direct bonding processes used in conjunction with each of the disclosed embodiments may be found throughout U.S. Pat. Nos. 7,126,212; 8,153,505; 7,622,324; 7,602,070; 8,163,373; 8,389,378; and 8,735,219, and throughout U.S. Patent Application Publication Nos. 2017/0062366; 2016/0314346; 2017/0200711, the contents of each of which are hereby incorporated by reference herein in their entirety and for all purposes.


The cavity 30 can have a width w from the first wall 40 to the second wall 42. A dimension of the width w can depend on the frequency of electromagnetic signals used in a system that integrates the integrated package 1. For example, for a frequency of 24 GHz, the width w can be about 6 mm and for a frequency of 128 GHz, the width w can be about 1 mm, in some embodiments. In some embodiments, the frequency of the electromagnetic signals can be in a range of 24 GHz to 128 GHz. For example, the frequency can be in a range of 24 GHz to 75 GHz, in a range of 50 GHz to 128 GHz, in a range of 50 GHz to 75 GHz, etc. The width w can be in a range of 0.5 mm to 14 mm, in some embodiments. For example, the width w can be in a range of 0.5 mm to 5 mm, in a range of 4 mm to 14 mm, in a range of 4 mm to 5 mm, etc. The width w may have a tolerance due to, for example, uneven surfaces of the first and second walls 40, 42 that can have a roughness r (see FIG. 5) of 1 μm to 2 μm, in some embodiments. In some other embodiments, the roughness r can be, for example, in a range of 0.1 μm to 2 μm. The roughness r can be less than 0.1% of the width w, in some embodiments. The cavity 30 can have a height h from the lower surface 46 to the upper surface 44. In some embodiments, a dimension of the height h can depend on operation frequency (wavelength) and/or signal strength. The height h can be half of the wavelength of the operation frequency. Smaller height tends to have lower signal radiation strength. Hence, in some embodiments, the height h can be determined with the consideration of both height design limit and signal strength requirement, for example, a desired magnitude of the signals to be communicated. The height h can be in a range of 5 μm to 500 μm, in some embodiments. For example, the height h can be in a range of 5 μm to 250 μm, in a range of 50 μm to 250 μm, in a range of 150 μm to 500 μm, in a range of 150 μm to 250 μm, etc. The height h may have a roughness of less than 0.5 μm, in some embodiments. For example, the roughness can be less than 0.05 μm. The roughness can be less than about 0.2%, for example, less than 0.1% to 0.5% of the height h, in some embodiments. It should be understood that using semiconductor or dielectric materials (e.g., silicon, glass, etc.) for the frame can provide high accuracy in making recesses with such small dimensions. Beneficially, the embodiments disclosed herein can provide accurately dimensioned cavities for high frequency RF applications.



FIG. 1B is a schematic cross sectional view of the integrated device package 1 that includes an element 10 that comprises a frame 11 and an antenna structure 34, and a device die 18 that is in electrical connection with the antenna structure 34 via an interconnect 38. Unless otherwise noted, the components of FIG. 1B may be the same as or generally similar to like-numbered components of FIG. 1A.


Unlike the embodiment of FIG. 1A, in FIG. 1B, the antenna structure 34 can be disposed on or near the upper surface 44 of the cavity 30. As with the antenna structure 32 illustrated in FIG. 1A, the antenna structure can be embedded in the frame 11 and/or disposed on top of the frame 11. Also, unlike the embodiment of FIG. 1A where the interconnect 36 extends from the device die 18 to the antenna structure 32 on or near the top surface 48 of the element 10, the interconnect 38 of FIG. 1B extends from the device die 18 to the antenna structure 34 on or near the upper surface 44 of the cavity 30. Further, the interconnect 38 illustrated in FIG. 1B is disposed along the second surface 42 of the cavity 30. There may be various benefits to having the antenna structure 32 on or near the top surface 48 of the element 10 as shown in FIG. 1A or having the antenna structure 34 on or near the upper surface 44 of the cavity 30 as shown in FIG. 1B. For example, forming the antenna structure 32 on the top surface 48 (FIG. 1A) may be easier and less expensive than forming the antenna structure 34 on the upper surface 44 of the cavity 30. However, providing the antenna structure 34 on the upper surface 44 of the cavity 30 can place the antenna structure 34 closer to the cavity 30 and/or the device die 18, providing improved performance. Although the antenna structure 34 is shown to be embedded within the material of the frame 11 near the upper surface 44 of the cavity 30, antenna structure 34 may also be deposited on top of the material of the frame 11 near the upper surface 44 of the cavity 30.


Although only one antenna and the location is shown in FIG. 1A and FIG. 1B, it is understood that element 10 may have one or more antenna structures 32 on or near the top surface 48 or one or may antenna structures 34 on the upper surface 44 of the cavity 30 or one or more antenna structures 32 and 34 simultaneously at both the locations.


A skilled artisan will understand that one element may include both the antenna structure 32 illustrated in FIG. 1A and the antenna structure 34 illustrated in FIG. 1B. In such embodiments, the element may also include the interconnects 36 and 38. In some other embodiments, the element may include more antenna structures at various portions of the element.



FIG. 2 is a schematic cross-sectional view of the integrated device package 1 that shows the element 10 comprising a plurality of frame portions 12, 14, 16 bonded together at second and third bonding surfaces 24, 26. The integrated device package of FIG. 2 includes the antenna structure 32 disposed on or near the top surface 48. However, it should be understood that the integrated device package 1 of FIG. 2 can include the antenna structure on or near the upper surface 44 of the cavity 30 as illustrated in FIG. 1B or at any other suitable location. Unless otherwise noted, the components of FIG. 2 may be the same as or generally similar to like-numbered components of FIGS. 1A and 1B.


In some embodiments, the element 10 can comprise the frame 11 having a plurality of frame portions bonded together (e.g., directly bonded without an intervening adhesive). The frame 11 can comprise, for example, first, second, and third frame portions 12, 14, 16. As shown in FIG. 2, the first and second frame portions 12, 14 can be directly bonded at a second bonding surface 24, and the second and third frame portions 14, 16 can be directly bonded at a third bonding surface 26 to form the frame 11 for the element 10. Therefore, in such embodiments, sections of the first frame portion 12 can comprise the upper surface 44 of the cavity 30 and the top surface 48 of the element 10, sections of the second frame portion 14 can comprise the first and second walls 40, 42, and a section of the third frame portion 16 can comprise the lower surface 46 of the cavity 30. However, the third portion 16 may be omitted and a planar portion of the die 18 may comprise the lower surface 46 of the cavity 30, in some embodiments.


In some embodiments, when the first, second, and third frame portions 12, 14, 16 are bonded separately, the via 36 may not be a single homogenous via. For example, the via may comprise separate portions bonded at interfaces, such as the second and the third bonding surfaces 24, 26. In some embodiments, the portions of the via 36 in the respective frame portions 12, 14, 16 may be offset from one another. For example, the offset portions of the via 36 can be electrically connected by way of a redistribution layer.


In some embodiments, the first and second frame portions 12, 14 can comprise a unitary structure eliminating the second bonding interface 24. For example, the second frame portion 14 can extend from the first frame portion 12. In some embodiments, the unitary structure can be bonded to the third frame portion 16 at the third bonding surface 26. In some other embodiments, the unitary structure can be bonded to the device die 18 without the third frame portion 16.


In some embodiments, the second and third frame portions 14, 16 can comprise a unitary structure eliminating the third bonding interface 26. For example, the second frame portion 14 can extend from the third frame portion 16. In some embodiments, the unitary structure can be bonded together with the first frame portion 12 at the second bonding surface 24. I should be understood that, in some other embodiments, the third frame portion 16 illustrated as a portion of the frame 11 can be a portion of the integrated device die 18 separate from a first die portion 20. Similarly, the second frame portion 14 illustrated as a portion of the frame 11 can be a portion of the integrated device die 18.



FIG. 3A is a schematic cross sectional view of the integrated device package 1 that includes a first interconnect 60 extending from the die 18 to the antenna structure 32 through the cavity 30. FIG. 3B is a schematic cross sectional view of the integrated device package 1 that includes a second interconnect 62 extending from the die 18 to the antenna structure 34. Unless otherwise noted, the components of FIGS. 3A and 3B may be the same as or generally similar to like-numbered components of FIGS. 1A, 1B and 2. In some embodiments the first and second interconnects 60, 62 can be connected to the antenna structures 32, 34, respectively, to electrically connect circuitry of the die 18 to the antenna structures 32, 34. In such embodiments, the interconnects 36, 38 of FIGS. 1A and 1B can be omitted. In the embodiment of FIG. 3A, the interconnect 60 can extend from the lower surface 46 of the cavity 30 (e.g., the upper surface of the die 18), through the cavity 30, and through a thickness of the frame portion 12 to connect to the antenna element 32. In the embodiment of FIG. 3B, the interconnect 62 can extend from the lower surface of the cavity 30 (e.g., the upper surface of the die 18), and through the cavity 30 to connect to the antenna element 32 at the upper surface 44 of the cavity 30.


Using the interconnects 60, 62 as the electrical interconnect instead of the interconnects 36, 38 can be beneficial in some embodiments. For example, using the interconnects 60, 62 can obviate the steps of etching through the frame to form the hole in which the conductive material is to be disposed. Thus, forming the interconnect 62 can be easier and/or faster than forming the interconnect 38. In addition, having the interconnect 60, 62 laterally within the cavity 30 may reduce an overall lateral dimension of the integrated device package 1. In some embodiments, the interconnect 62 can act as a resonator without being connected to the upper surface 44. In some embodiments, the interconnects 60, 62 can comprise posts that extends upwardly from the lower surface 46 of the cavity 30. In such embodiments, the interconnects 60, 62 can be formed by various processes. In some embodiments, the interconnects 60, 62 can be formed as a part of a same unitary structure as the cavity 30. For example, the interconnects 60, 62 can be formed during joining of the frame portions 12, 14. For example, in a solitary or unitary structure, the interconnects 60, 62 can be formed by forming a hole in a pre-cavity unitary piece of the frame, (e.g., by etching, drilling, etc.) and filling the hole with a conducive material. The hole can be filled with the conductive material by, for example, plating, or in any suitable way. The forming process may be followed by etching the cavity 30 around the interconnect 60, 62. In some other embodiments, a cavity is etched in the pre-cavity unitary piece of the frame, followed by the deposition of the antenna 34 on or near the upper surface 44 of the cavity 30, filling the cavity 30 with a resist, making a hole in the resist and filling the hole with a conducive material. The conductive material can be filled in the hole by, for example, plating or any other suitable way to form the interconnect 62 and removing the resist. A skilled artisan will understand that the interconnects 60 and 62 may have a short length for a thin cavity structure. In some embodiments, the thin cavity structure can have the height h in a range of, for example, 5 μm to 10 μm. For example in such thin cavity structure, the interconnect 62 can comprise a copper post formed on the device die 18.



FIGS. 4A and 4B are schematic cross-sectional views of an integrated device package 1 having the cavity 30 in different portions of the integrated device package 1. Although the antenna structure 32 of FIGS. 4A and 4B is shown on or near the top surface 48, in other embodiments the antenna structure 34 can be provided on or near the upper surface 44, as disclosed in other embodiments, such as in FIG. 1B. Unless otherwise noted, the components of FIGS. 4A and 4B may be the same as or generally similar to like-numbered components of FIGS. 1A to 3B.


In the illustrated embodiments of FIGS. 1A to 4A, the cavity 30 is defined by a recess formed in the element 10 and a lower surface 46 which may be defined by, for example, etching the frame 11 of the element 10 and bonding the device die 18 to the element 10. However, as illustrated in FIG. 4B, in other embodiments, the cavity 30 can be defined by other portions of the integrated device package 1, for example, by a recess formed in the device die 18 and a portion of a planar portion 45 of the element 10, which defines the upper surface 44 of the cavity 30. In some other embodiments, the cavity 30 can be defined by recesses in both the element 10 and the device die 18.


In some embodiments, active circuitry 19 can be disposed at or near the bottom surface 50 of the device die 18, as illustrated in FIGS. 4A and 4B. In some embodiments, the active circuitry 19 can be electrically connected to the antenna structure 32 via the interconnect 36. However, it should be understood that the active circuitry 19 may be disposed anywhere in the device die 18 (e.g., near the bonding surface 22, or embedded within the die 18). Beneficially, in FIG. 4B, it may be easier to form the antenna structure at or near the upper surface 44 of the cavity than in other arrangements because the upper surface 44 is not recessed from the planar portion 45 of the element 10.



FIG. 5 is a schematic cross sectional zoomed in view of the integrated device package 1 around the cavity 30 showing exaggerated view of uneven and/or scalloped surfaces 54, 56 of the walls 40, 42. Unless otherwise noted, the components of FIG. 5 may be the same as or generally similar to like-numbered components of FIGS. 1A to 4B. As can be seen here, in some embodiments, the scalloped surfaces 54, 56 can comprise markings indicative of an etching process, e.g., deep reactive ion etching (DRIE). It can be desirable to have the roughness r of the scalloped surfaces 54, 56 below a certain level to provide better performance for the antenna structure. In theory, having perfectly flat surfaces for the inner periphery of the cavity can enable the formation of a standing wave. However, in practice, it can be challenging to provide surfaces that are perfectly flat. In some embodiments, for example, the etching process can etch from the lower surface 46 of the cavity 30. At each etching step a curved surface 55 (which can be small) is formed. After etching n times from the lower surface 46 to the upper surface 44, there can be n curved surfaces on the scalloped surfaces 54, 56. It should be understood that each curve can be unique and may have different roughness r from the other curved surfaces. As disclosed above, in some embodiments, the roughness r can be in a range of 0.1 μm to 2 μm and/or less than 0.1% of the width w. In some embodiments, to improve (e.g., smoothen) the roughness, a oxide layer (for example, a thin thermal oxide layer that is thicker than the roughness r or the scalloped surfaces) can be grown after the cavity 30 with the scalloped surfaces 54, 56 is formed by, for example, using the DRIE process. This oxide layer can be etched away to form a significantly smoother cavity side walls than without the use of the thermal oxide layer.



FIGS. 6A to 6C show steps of making the cavity 30 in the integrated device die 1. Unless otherwise noted, the components of FIGS. 6A to 6C may be the same as or generally similar to like-numbered components of FIGS. 1A to 5. In the illustrated embodiment, the antenna structure 32 is formed prior to bonding and forming the cavity 30. However, it should be understood that the antenna structure 32 can be formed after forming the cavity 30. In some embodiments, the element 10 that comprises the frame 11 and the antenna structure 32 can be provided, as shown in FIG. 6A. The frame 11 can have an etching surface 47 opposite the top surface 48. The frame can be etched from the etching surface 47 to form a recess 31 having the upper surface 44 (see FIG. 6B). At this step, the interconnect 36 can also be formed by making a hole by way of, for example etching or drilling, and disposing a conductive material (e.g., copper, gold, etc.) in the hole, in some embodiments. However, the interconnect 36 may be formed, for example, prior to forming the cavity 31. In some embodiments, the interconnect 36 may be formed, for example, after bonding the element 10 with the device die 18. As shown in FIG. 6C, the element 10 can be flipped upside down and bonded (e.g., directly bonded without an intervening adhesive in some embodiments) to the device die 18 at the bonding surface 26, defining the cavity 30 with the recess 31 and the lower surface 46 of the cavity 30. It should be understood that the frame may comprise a plurality of frame portions as discussed above with respect to FIG. 2. The interconnect 36 can be electrically connected to one or more metal pads of the device die 18. In some embodiments, the electrical connection between the interconnect 36 and the one or more metal pads of the device die 18 may be formed by, for example, a hybrid bond and/or a direct bond when interconnect 36 is formed prior to bonding. In some other embodiments, the hole in frame 11 may be opened to be accessible to the one or more electrical pads on the top surface 46 of device 18, and the hole can be filled with a conductive material by, for example, electroplating etc. to form the interconnect 36 in via-last approach when the interconnect 36 is formed after bonding the frame 11 to the device die 18.



FIG. 7A is a schematic cross-sectional view of an integrated device package 1 having a first antenna structure 32a at or near the top surface 48 of the element 10 and a second antenna structure 32b at or near the lower surface 46 of the cavity 30. Unless otherwise noted, the components of FIG. 7A may be the same as or generally similar to like-numbered components of FIGS. 1A to 6C. In some embodiments, the first and second antenna structures 32a, 32b can be electrically connected to define one antenna structure. For example the interconnect 36 can connect to the antenna structure 32a, 32b by way of respective conductive traces formed in the element 10 and/or the die 18. In some embodiments, the first antenna structure 32a can comprise a parasitic patch and the second antenna structure 32b can comprise a feed patch. The second antenna structure 32b can be connected to a feeding via or a trace with an excitation signal. In some embodiments, the first antenna structure 32a can be driven by a resonant radiation signal from the second antenna structure 32b. In some embodiments, an arrangement of the cavity 30 between the first and second antenna structures 32a, 32b can enhance the signal resonant radiation, such that the gains and/or bandwidth may be improved.



FIG. 7B is a schematic cross-sectional view of an integrated device package 1 having a first antenna structure 32a and a second antenna structure 32b. Unless otherwise noted, the components of FIG. 7B may be the same as or generally similar to like-numbered components of FIGS. 1A to 7A. Unlike the embodiment illustrated in FIG. 7A, the second antenna structure 32b of the integrated device package 1 of FIG. 7B is disposed under the lower surface 46 of the cavity 30, such that the second antenna structure 32b is embedded in the die 18. For example, the element 10 can comprise a frame having a plurality of frame portions bonded together as shown in FIG. 2 and the second antenna structure 32b may be disposed between the third portion 16 (see, for example, FIG. 2) and the die 18. In some embodiments, the first antenna structure 32a may be disposed near the upper surface 44 of the cavity or anywhere between the top surface 48 of the element 11 and the upper surface 44 of the cavity 33.



FIG. 8 is a schematic diagram of an electronic system 80 incorporating one or more integrated device packages 1, according to various embodiments. The system 80 can comprise any suitable type of electronic device, such as a mobile electronic device (e.g., a smartphone, a tablet computing device, a laptop computer, etc.), a desktop computer, an automobile or components thereof, a stereo system, a medical device, a camera, or any other suitable type of system. In some embodiments, the electronic system 80 can comprise a microprocessor, a graphics processor, an electronic recording device, or digital memory. The system 80 can include one or more device packages 82 which are mechanically and electrically connected to the system 80, e.g., by way of one or more motherboards. Each package 82 can comprise one or more integrated device packages 1. The system 80 shown in FIG. 8 can comprise any of the integrated device packages 1 and associated seals shown and described herein.


In one aspect, an integrated device package is disclosed. The integrated device package includes an integrated device die and an element. The element comprises an antenna structure. The element is attached to a surface of the integrated device die. The integrated device package also includes a cavity that is disposed between the integrated device die and at least a portion of the antenna structure. The integrated device package further includes an electrical interconnect that connects the integrated device die and the antenna structure.


In one embodiment, the element includes a frame that is directly bonded to the integrated device die without an intervening adhesive. The frame can include silicon. The integrated device die can include radio-frequency (RF) circuitry. The cavity can be defined by the element and the integrated device die. The cavity can be defined by a planar device die surface and a recess in the element. The recess can be formed by etching a portion of the element. The cavity can be defined by a planar element surface and the recess in the element. The integrated device die can be mounted on a package substrate. The integrated device package can further include a metal layer disposed in the cavity. The frame can include a plurality of frame portions bonded together.


In one embodiment, the cavity is filled with air.


In one embodiment, the integrated device package further includes a resonator that is at least partially disposed in the cavity.


In one embodiment, the cavity includes a first wall surface indicative of an etching process. The cavity can include a second wall. The first wall and the second wall can comprise two opposing sides of the cavity. The cavity can have a width from the first wall to the second wall. The width can be dimensioned to receive or transmit electromagnetic radiation at a frequency range of 24 GHz to 128 GHz. The width can be in a range of 0.5 mm to 12 mm. The first and second walls can be constructed such that the dimension has a maximum roughness in a range of 1 μm to 2 μm. The first and second walls can be constructed such that the dimension has a maximum roughness in a range of 0.1 μm to 1 μm.


In one embodiment, the antenna structure is disposed on or near an upper surface of the cavity or a top surface of the element away from the cavity.


In one embodiment, the antenna structure comprises a parasitic patch and a feed patch. The portion of the antenna structure can comprise the parasitic patch and the cavity can be disposed between the parasitic patch and the feed patch. The parasitic patch and the feed patch can be in electrical connection.


In one aspect, an integrated device package is disclosed. The integrated device package includes a package housing that comprises a semiconductor material. The package housing includes an integrated device, an antenna structure, and a cavity that is disposed between the integrated device and at least a portion of the antenna structure. The integrated device package also includes an electrical interconnect that connects the integrated device and the antenna structure.


In one embodiment, the semiconductor material comprises silicon.


In one embodiment, the cavity is formed by etching the semiconductor material.


In one embodiment, the antenna structure includes a plurality of antennas.


In one embodiment, the package housing includes a plurality of housing portions bonded together.


In one aspect, a method of manufacturing an integrated device package is disclosed. The method includes forming a recess in a semiconductor element comprising an antenna structure, and bonding the semiconductor element with an integrated device die such that the recess is disposed between the antenna structure and the integrated device die.


In one embodiment, the forming the recess includes etching a portion of the semiconductor element.


In one embodiment, the forming the recess includes coating portions of the recess with metal. The etching the portion can include etching a frame portion comprising silicon of the semiconductor element.


In one embodiment, the bonding the semiconductor element with the integrated device die includes bonding the semiconductor element directly to the integrated device die without an intervening adhesive.


In one embodiment, the bonding the semiconductor element with the integrated device die includes making an electrical connection between interconnects comprised in the semiconductor element and the integrated device die.


In one embodiment, the forming the recess also includes filling the recess with air.


For purposes of summarizing the disclosed embodiments and the advantages achieved over the prior art, certain objects and advantages have been described herein. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment. Thus, for example, those skilled in the art will recognize that the disclosed implementations may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein without necessarily achieving other objects or advantages as may be taught or suggested herein.


All of these embodiments are intended to be within the scope of this disclosure. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of the embodiments having reference to the attached figures, the claims not being limited to any particular embodiment(s) disclosed. Although this certain embodiments and examples have been disclosed herein, it will be understood by those skilled in the art that the disclosed implementations extend beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof. In addition, while several variations have been shown and described in detail, other modifications will be readily apparent to those of skill in the art based upon this disclosure. It is also contemplated that various combinations or sub-combinations of the specific features and aspects of the embodiments may be made and still fall within the scope. It should be understood that various features and aspects of the disclosed embodiments can be combined with, or substituted for, one another in order to form varying modes of the disclosed implementations. Thus, it is intended that the scope of the subject matter herein disclosed should not be limited by the particular disclosed embodiments described above, but should be determined only by a fair reading of the claims that follow.

Claims
  • 1. A method of manufacturing an integrated device package, the method comprising: forming a recess in a semiconductor element comprising an antenna structure; anddirectly bonding the semiconductor element with an integrated device die without an intervening adhesive, wherein the recess is disposed between the antenna structure and the integrated device die.
  • 2. The method of claim 1, wherein forming the recess comprises etching a portion of the semiconductor element.
  • 3. The method of claim 1, wherein forming the recess comprises coating portions of the recess with metal.
  • 4. The method of claim 2, wherein etching the portion comprises etching a frame portion of the semiconductor element.
  • 5. The method of claim 1, further comprising polishing a surface of the semiconductor element.
  • 6. The method of claim 5, further comprising polishing a surface of the integrated device die prior to directly bonding the semiconductor element with the integrated device die.
  • 7. The method of claim 1, further comprising making an electrical connection between first interconnects in the semiconductor element and second interconnects in the integrated device die.
  • 8. The method of claim 7, wherein directly bonding the semiconductor element with an integrated device die comprises directly bonding a nonconductive region of the semiconductor element with a nonconductive region of the integrated device die without an intervening adhesive, the making the electrical connection comprises directly bonding the first interconnects in the semiconductor element and the second interconnects in the integrated device die without an intervening adhesive.
  • 9. The method of claim 1, further comprising filling the recess with air.
  • 10. The method of claim 1, further comprising forming the antenna structure.
  • 11. The method of claim 10, wherein the forming the antenna structure comprises forming the antenna structure on a surface of the semiconductor element prior to the forming the recess.
  • 12. The method of claim 10, wherein the forming the antenna structure comprises forming the antenna structure on a surface of the semiconductor element that is exposed to the recess.
  • 13. The method of claim 1, further comprising forming a second antenna structure at or near a surface of the integrated device die that faces the semiconductor element.
  • 14. The method of claim 1, further comprising forming an interconnect that extends at least partially through a thickness of a non-conductive frame portion of the semiconductor element.
  • 15. The method of claim 14, wherein the forming the interconnect comprises making a hole by way of etching or drilling the non-conductive frame portion of the semiconductor element and filling the hole with a conductive material.
  • 16. A method of manufacturing an integrated device package, the method comprising: forming a recess in a semiconductor element comprising an antenna structure, forming the recess comprising etching a portion of the semiconductor element; anddirectly bonding the semiconductor element with an integrated device die without an intervening adhesive, wherein the recess is disposed between the antenna structure and the integrated device die.
  • 17. The method of claim 16, wherein bonding the semiconductor element with the integrated device die comprises bonding the semiconductor element directly to the integrated device die without an intervening adhesive.
  • 18. The method of claim 17, further comprising making an electrical connection between first interconnects in the semiconductor element and second interconnects the integrated device die.
  • 19. The method of claim 18, wherein the making the electrical connection comprises directly bonding the first interconnects in the semiconductor element and the second interconnects in the integrated device die without an intervening adhesive.
  • 20. A method of manufacturing an integrated device package, the method comprising: providing a semiconductor element comprising an antenna structure; anddirectly bonding the semiconductor element with an integrated device die without an intervening adhesive.
  • 21. The method of claim 20, further comprising forming a recess between the antenna structure and integrated device die.
  • 22. The method of claim 21, further comprising forming the recess in the semiconductor element.
  • 23. The method of claim 20, wherein directly bonding the semiconductor element with the integrated device die comprises directly bonding a nonconductive region of the semiconductor element with a nonconductive region of the integrated device die without an adhesive, and directly bonding first interconnects in the semiconductor element with second interconnects in the integrated device die without an intervening adhesive.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional application of U.S. patent application Ser. No. 16/212,471, filed Dec. 6, 2018, titled “CAVITY PACKAGES,” now U.S. Patent Publication No. 2019/0198407, which claims priority to U.S. Provisional Patent Application No. 62/609,682, filed Dec. 22, 2017, titled “CAVITY PACKAGES,” the entire disclosures of which are hereby incorporated herein by reference.

US Referenced Citations (292)
Number Name Date Kind
4998665 Hayashi Mar 1991 A
5087585 Hayashi Feb 1992 A
5322593 Hasegawa et al. Jun 1994 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6008126 Leedy Dec 1999 A
6080640 Gardner et al. Jun 2000 A
6265775 Seyyedy Jul 2001 B1
6374770 Lee Apr 2002 B1
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6872984 Leung Mar 2005 B1
6876062 Lee et al. Apr 2005 B2
6887769 Kellar et al. May 2005 B2
6908027 Tolchinsky et al. Jun 2005 B2
6998712 Okada et al. Feb 2006 B2
7045453 Canaperi et al. May 2006 B2
7057274 Heschel Jun 2006 B2
7078811 Suga Jul 2006 B2
7105980 Abbott et al. Sep 2006 B2
7193423 Dalton et al. Mar 2007 B1
7354798 Pogge et al. Apr 2008 B2
7359591 Vandentop et al. Apr 2008 B2
7467897 Hauffe et al. Dec 2008 B2
7622324 Enquist et al. Nov 2009 B2
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
7972683 Gudeman et al. Jul 2011 B2
8183127 Patti et al. May 2012 B2
8191756 Coppeta et al. Jun 2012 B2
8241961 Kim et al. Aug 2012 B2
8269671 Chen et al. Sep 2012 B2
8314007 Vaufredaz Nov 2012 B2
8349635 Gan et al. Jan 2013 B1
8357931 Schieck et al. Jan 2013 B2
8377798 Peng et al. Feb 2013 B2
8395229 Garcia-Blanco et al. Mar 2013 B2
8411444 Gaynes et al. Apr 2013 B2
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8530997 Yang et al. Sep 2013 B1
8546928 Merz et al. Oct 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8916448 Cheng et al. Dec 2014 B2
8988299 Kam et al. Mar 2015 B2
9093350 Endo et al. Jul 2015 B2
9119313 Zhang et al. Aug 2015 B2
9142517 Liu et al. Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9337235 Chen et al. May 2016 B2
9368866 Yu Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9386688 MacDonald et al. Jul 2016 B2
9391143 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620464 Baks et al. Apr 2017 B2
9620481 Edelstein et al. Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9768307 Yamazaki et al. Sep 2017 B2
9799587 Fujii et al. Oct 2017 B2
9834435 Liu et al. Dec 2017 B1
9852988 Enquist et al. Dec 2017 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269756 Uzoh Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10418277 Cheng et al. Sep 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10508030 Katkar et al. Dec 2019 B2
10522499 Enquist et al. Dec 2019 B2
10615133 Kamgaing et al. Apr 2020 B2
10658312 Kamgaing May 2020 B2
10707087 Uzoh et al. Jul 2020 B2
10727219 Uzoh et al. Jul 2020 B2
10784191 Huang et al. Sep 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10923408 Huang et al. Feb 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10998292 Lee et al. May 2021 B2
11004757 Katkar et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11158606 Gao et al. Oct 2021 B2
11171117 Gao et al. Nov 2021 B2
11205600 Shen et al. Dec 2021 B2
11256004 Haba et al. Feb 2022 B2
11257727 Katkar et al. Feb 2022 B2
11276676 Enquist et al. Mar 2022 B2
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020094608 Brooks Jul 2002 A1
20020179921 Cohn Dec 2002 A1
20030098060 Yoshimi May 2003 A1
20040084414 Sakai et al. May 2004 A1
20040259325 Gan Dec 2004 A1
20050009246 Enquist et al. Jan 2005 A1
20050263866 Wan Dec 2005 A1
20060001123 Heck et al. Jan 2006 A1
20060057945 Hsu et al. Mar 2006 A1
20060097335 Kim et al. May 2006 A1
20060115323 Coppeta et al. Jun 2006 A1
20060197215 Potter Sep 2006 A1
20060208326 Nasiri et al. Sep 2006 A1
20070029562 Koizumi Feb 2007 A1
20070045781 Carlson et al. Mar 2007 A1
20070045795 McBean Mar 2007 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20070134891 Adetutu et al. Jun 2007 A1
20070188054 Hasken et al. Aug 2007 A1
20070222048 Huang Sep 2007 A1
20070295456 Gudeman et al. Dec 2007 A1
20080080832 Chen et al. Apr 2008 A1
20080124835 Chen et al. May 2008 A1
20080283995 Bucki et al. Nov 2008 A1
20080290490 Fujii et al. Nov 2008 A1
20080296709 Haba et al. Dec 2008 A1
20090053855 Summers Feb 2009 A1
20090186446 Kwon et al. Jul 2009 A1
20100078786 Maeda Apr 2010 A1
20100096713 Jung Apr 2010 A1
20100148341 Fuji et al. Jun 2010 A1
20100288525 Basavanhally et al. Nov 2010 A1
20100301432 Kittilsland et al. Dec 2010 A1
20110115092 Tago May 2011 A1
20110147859 Tanaka et al. Jun 2011 A1
20110156242 Sakaguchi et al. Jun 2011 A1
20110290552 Palmateer et al. Dec 2011 A1
20120061776 Cheng et al. Mar 2012 A1
20120097733 Ebefors et al. Apr 2012 A1
20120100657 Di Cioccio et al. Apr 2012 A1
20120112335 Ebefors et al. May 2012 A1
20120142144 Taheri Jun 2012 A1
20120212384 Kam et al. Aug 2012 A1
20120267730 Renard et al. Oct 2012 A1
20120286380 Yazdi et al. Nov 2012 A1
20120326248 Daneman et al. Dec 2012 A1
20130099331 Chen et al. Apr 2013 A1
20130187245 Chien et al. Jul 2013 A1
20130271066 Signorelli et al. Oct 2013 A1
20130277774 Frey et al. Oct 2013 A1
20130277777 Chang et al. Oct 2013 A1
20130293428 Souriau et al. Nov 2013 A1
20140175655 Chen et al. Jun 2014 A1
20140217557 Chen et al. Aug 2014 A1
20140225206 Lin et al. Aug 2014 A1
20140225795 Yu Aug 2014 A1
20140264653 Cheng et al. Sep 2014 A1
20140361413 Chapelon Dec 2014 A1
20150001632 Liu et al. Jan 2015 A1
20150064498 Tong Mar 2015 A1
20150068666 Abe et al. Mar 2015 A1
20150091153 Liu et al. Apr 2015 A1
20150097215 Chu et al. Apr 2015 A1
20150137345 Choi et al. May 2015 A1
20150298965 Tsai et al. Oct 2015 A1
20150336790 Geen et al. Nov 2015 A1
20150336792 Huang et al. Nov 2015 A1
20160002029 Nasiri et al. Jan 2016 A1
20160107881 Thompson et al. Apr 2016 A1
20160137492 Cheng et al. May 2016 A1
20160229685 Boysel Aug 2016 A1
20160240495 Lachner et al. Aug 2016 A1
20160318757 Chou et al. Nov 2016 A1
20160343682 Kawasaki Nov 2016 A1
20170008757 Cheng et al. Jan 2017 A1
20170062366 Enquist Mar 2017 A1
20170081181 Zhang et al. Mar 2017 A1
20170137281 Favier et al. May 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170200711 Uzoh et al. Jul 2017 A1
20170305738 Chang et al. Oct 2017 A1
20170338214 Uzoh et al. Nov 2017 A1
20180044175 Ogashiwa et al. Feb 2018 A1
20180047682 Chang et al. Feb 2018 A1
20180096931 Huang et al. Apr 2018 A1
20180174995 Wang et al. Jun 2018 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180191047 Huang et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180226375 Enquist et al. Aug 2018 A1
20180269161 Wu et al. Sep 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180286805 Huang et al. Oct 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20180337157 Wang et al. Nov 2018 A1
20190051628 Liu et al. Feb 2019 A1
20190096741 Uzoh et al. Mar 2019 A1
20190096842 Fountain, Jr. et al. Mar 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190164914 Hu et al. May 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200043817 Shen et al. Feb 2020 A1
20200075520 Gao et al. Mar 2020 A1
20200075534 Gao et al. Mar 2020 A1
20200118973 Wang et al. Apr 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200131028 Cheng et al. Apr 2020 A1
20200140267 Katkar et al. May 2020 A1
20200140268 Katkar et al. May 2020 A1
20200144217 Enquist et al. May 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210098412 Haba et al. Apr 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193603 Katkar et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210202428 Wang et al. Jul 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210265227 Katkar et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
Foreign Referenced Citations (25)
Number Date Country
101554988 Oct 2009 CN
2813465 Dec 2014 EP
H10-112517 Apr 1998 JP
2000-100679 Apr 2000 JP
2001-102479 Apr 2001 JP
2001-148436 May 2001 JP
2002-353416 Dec 2002 JP
2008-130915 Jun 2008 JP
2009-238905 Oct 2009 JP
2010-199608 Sep 2010 JP
2013-33786 Feb 2013 JP
2013-513227 Apr 2013 JP
2013-243333 Dec 2013 JP
2014-219321 Nov 2014 JP
2015-100886 Jun 2015 JP
2018-160519 Oct 2018 JP
10-2005-0101324 Oct 2005 KR
10-2015-0097798 Aug 2015 KR
10-2017-0108143 Sep 2017 KR
WO 2005043584 May 2005 WO
WO 2006100444 Sep 2006 WO
WO 2007103224 Sep 2007 WO
WO 2014-074403 May 2014 WO
WO 2017100256 Jun 2017 WO
WO 2017151442 Sep 2017 WO
Non-Patent Literature Citations (70)
Entry
Beer et al., “Coplanar 122GHz Antenna Array With Air Cavity Reflector for Integration in Plastic Packages”, IEEE Antennas and Wireless Propagation Letters, 11:160-163, Jan. 2012.
Chung et al., “Room temperature GaAseu + Si and InPeu + Si wafer direct bonding by the surface activate bonding method,” Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, Jan. 2, 1997, vol. 121, Issues 1-4, pp. 203-206.
Chung et al., “Wafer direct bonding of compound semiconductors and silicon at room temperature by the surface activated bonding method,” Applied Surface Science, Jun. 2, 1997, vols. 117-118, pp. 808-812.
Daneman, “Applying the CMOS Test Flow to MEMS Manufacturing”, InvenSense, Inc., accessed on Apr. 5, 2020.
Farrens et al., “Chemical free room temperature wafer to wafer direct bonding,” J. Electrochem. Soc., The Electrochemical Society, Inc., Nov. 1995, vol. 142, No. 11. pp. 3949-3955.
Farrens et al., “Chemical free wafer bonding of silicon to glass and sapphire,” Electrochemical Society Proceedings vol. 95-7, 1995, pp. 72-77.
Gösele et al., “Semiconductor Wafer Bonding: A flexible approach to materials combinations in microelectronics; micromechanics and optoelectronics,” IEEE, 1997, pp. 23-32.
Gu et al., “A Multilayer Organic Package with 64 Dual-Polarized Antennas for 28GHz 5G Communication”, IBM Research, pp. 1-3, 2017.
Hosoda et al., “Effect of the surface treatment on the room-temperature bonding of Al to Si and SiO2,” Journal of Materials Science, Jan. 1, 1998, vol. 33, Issue 1, pp. 253-258.
Hosoda et al., “Room temperature GaAs—Si and InP—Si wafer direct bonding by the surface activated bonding method,” Nuclear Inst. And Methods in Physics Research B, 1997, vol. 121, Nos. 1-4, pp. 203-206.
Howlader et al., “A novel method for bonding of ionic wafers,” Electronics Components and Technology Conference, 2006, IEEE, pp. 7-pp.
Howlader et al., “Bonding of p-Si/n-InP wafers through surface activated bonding method at room temperature,” Indium Phosphide and Related Materials, 2001, IEEE International Conference On, pp. 272-275.
Howlader et al., “Characterization of the bonding strength and interface current of p-Si/ n-InP wafers bonded by surface activated bonding method at room temperature,” Journal of Applied Physics, Mar. 1, 2002, vol. 91, No. 5, pp. 3062-3066.
Howlader et al., “Investigation of the bonding strength and interface current of p-SionGaAs wafers bonded by surface activated bonding at room temperature,” J. Vac. Sci. Technol. B 19, Nov./Dec. 2001, pp. 2114-2118.
Inertial MEMS Manufacturing Trends 2014 Report by Yole Developpement Sample Report, Slide 11, https://www.slideshare.net/Yole_Developpement/yole-inertial-memsmanufacturingtrends2014sample.
International Search Report and Written Opinion dated Apr. 13, 2018 in International Application No. PCT/US2017/067742, 14 pages.
International Search Report and Written Opinion dated Apr. 16, 2018 in International Application No. PCT/US2017/067741, 17 pages.
International Search Report and Written Opinion dated May 31, 2018 in International Application No. PCT/US2018/022688, 2 pages.
International Search Report and Written Opinion dated Mar. 7, 2019, in International Application No. PCT/US2018/060044, 14 pages.
International Search Report and Written Opinion dated Apr. 22, 2019 in International Application No. PCT/US2018/064982, 13 pages.
International Search Report and Written Opinion dated Aug. 26, 2019 in International Application No. PCT/US2019/031113, 14 pages.
Itoh et al., “Characteristics of fritting contacts utilized for micromachined wafer probe cards,” 2000 American Institute of Physics, AIP Review of Scientific Instruments, vol. 71, 2000, p. 2224.
Itoh et al., “Characteristics of low force contact process for MEMS probe cards,” Sensors and Actuators A: Physical, Apr. 1, 2002, vols. 97-98, pp. 462-467.
Itoh et al., “Development of MEMS IC probe card utilizing fritting contact,” Initiatives of Precision Engineering at the Beginning of a Millennium: 10th International Conference on Precision Engineering (ICPE) Jul. 18-20, 2001, Yokohama, Japan, 2002, Book Part 1, pp. 314-318.
Itoh et al., “Room temperature vacuum sealing using surface activated bonding method,” The 12th International Conference on Solid State Sensors, Actuators and Microsystems, Boston, Jun. 8-12, 2003, 2003 IEEE, pp. 1828-1831.
Ker, Ming-Dou et al., “Fully process-compatible layout design on bond pad to improve wire bond reliability in CMOS lcs,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Kim et al., “Low temperature direct Cu—Cu bonding with low energy ion activation method,” Electronic Materials and Packaging, 2001, IEEE, pp. 193-195.
Kim et al., “Room temperature Cu—Cu direct bonding using surface activated bonding method,” J. Vac. Sci. Technol., 2003 American Vacuum Society, Mar./Apr. 2003, vol. 21, No. 2, pp. 449-453.
Kim et al., “Wafer-scale activated bonding of Cu—CU, Cu—Si, and Cu—SiO2 at low temperature,” Proceedings—Electrochemical Society, 2003, vol. 19, pp. 239-247.
Matsuzawa et al., “Room-temperature interconnection of electroplated Au microbump by means of surface activated bonding method,” Electornic Components and Technology Confererence, 2001, 51st Proceedings, IEEE, pp. 384-387.
Moriceau, H. et al., “Overview of recent direct wafer bonding advances and applications,” Advances in Natural Sciences—Nanoscience and Nanotechnology, 2010, 11 pages.
Nakanishi, H. et al., “Studies on SiO2—SiO2 bonding with hydrofluoric acid. Room temperature and low stress bonding technique for MEMS,” Sensors and Actuators, 2000, vol. 79, pp. 237-244.
Norton, Francis, “Permeation of gases through solids,” Journal of Applied Physics, Jan. 1957, vol. 28, No. 1.
Oberhammer, J. et al., “Sealing of adhesive bonded devices on wafer level,” Sensors and Actuators A, 2004, vol. 110, No. 1-3, pp. 407-412, see pp. 407-412, and Figures 1(a)-1(l), 6 pages.
Onodera et al., “The effect of prebonding heat treatment on the separability of Au wire from Ag-plated Cu alloy substrate,” Electronics Packaging Manufacturing, IEEE Transactions, Jan. 2002, vol. 25, Issue 1, pp. 5-12.
Plobi, A. et al., “Wafer direct bonding: tailoring adhesion between brittle materials,” Materials Science and Engineering Review Journal, 1999, R25, 88 pages.
Reiche et al., “The effect of a plasma pretreatment on the Si/Si bonding behaviouir,” Electrochemical Society Proceedings, 1998, vol. 97-36, pp. 437-444.
Roberds et al., “Low temperature , in situ, plasma activated wafer bonding,” Electrochecmical Society Proceedings, 1997, vol. 97-36, pp. 598-606.
Shigetou et al., “Room temperature bonding of ultra-fine pitch and low-profiled Cu electrodes for bump-less interconnect,” 2003 Electronic Components and Technology Conference, pp. 848-852.
Shigetou et al., “Room-temperature direct bonding of CMP—Cu film for bumpless interconnection,” Electronic Components and Technology Confererence, 51st Proceedings, 2001, IEEE, pp. 755-760.
Shingo et al., “Design and fabrication of an electrostatically actuated MEMS probe card,” Tranducers, Solid-State Sensors, Actuators and Microsystems, 12th International Conference, Jun. 8-12, 2003, vol. 2, pp. 1522-1525.
Suga et al., “A new approach to Cu—Cu direct bump bonding, IEMT/IMC Symposium, 1997, Joint International Electronic Manufacturing Symposium and the International Microelectronics Conference,” Apr. 16-18, 1997, IEEE, pp. 146-151.
Suga et al., “A new bumping process using lead-free solder paste,” Electronics Packaging Manufacturing, IEEE Transactions on (vol. 25, Issue 4), IEEE, Oct. 2002, pp. 253-256.
Suga et al., “A new wafer-bonder of ultra-high precision using surface activated bonding (SAB) concept,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1013-1018.
Suga et al., “Bump-less interconnect for next generation system packaging,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1003-1008.
Suga, T., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—A new concept of bump-less direct bonding for system level packaging,” The University of Tokyo, Research Center for Science and Technology, 2000 Electronic Components and Technology Conference, 2000 IEEE, pp. 702-705.
Suga, T., “Room-temperature bonding on metals and ceramics,” Proceedings of the Second International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, The Electrochemical Society Proceedings, vol. 93-29 (1993), pp. 71-80.
Suga et al., “Surface activated bonding—an approach to joining at room temperature,” Ceramic Transactions: Structural Ceramics Joining II, The American Ceramic Society, 1993, pp. 323-331.
Suga et al., “Surface activated bonding for new flip chip and bumpless interconnect systems,” Electronic Components and Technology Conference, 2002, IEEE, pp. 105-111.
Suga, “UHV room temperature joining by the surface activated bonding method,” Advances in science and technology, Techna, Faenza, Italie, 1999, pp. C1079-C1089.
Takagi et al., “Effect of surface roughness on room-temperature wafer bonding by Ar beam surface activation,” Japanese Journal of Applied Physics, 1998, vol. 37, Part 1, No. 1, pp. 4197.
Takagi et al., “Low temperature direct bonding of silicon and silicon dioxide by the surface activation method,” Solid State Sensors and Actuators, 1997, Transducers '97 Chicago, 1997 International Conference, vol. 1, pp. 657-660.
Takagi et al., “Room-temperature bonding of lithium niobate and silicon wafers by argon-beam surface activation,” Appl. Phys. Lett., 1999. vol. 74, pp. 2387.
Takagi et al., “Room temperature silicon wafer direct bonding in vacuum by Ar beam irradiation,” Micro Electro Mehcanical Systems, MEMS '97 Proceedings, 1997, IEEE, pp. 191-196.
Takagi et al., “Room-temperature wafer bonding of Si to LiNbO3, LiTaO3 and Gd3Ga5O12 by Ar-beam surface activation,” Journal of Micromechanics and Microengineering, 2001, vol. 11, No. 4, pp. 348.
Takagi et al., “Room-temperature wafer bonding of silicon and lithium niobate by means of arbon-beam surface activation,” Integrated Ferroelectrics: An International Journal, 2002, vol. 50, Issue 1, pp. 53-59.
Takagi et al., “Surface activated bonding silicon wafers at room temperature,” Appl. Phys. Lett. 68, 2222 (1996).
Takagi et al., “Wafer-scale room-temperature bonding between silicon and ceramic wafers by means of argon-beam surface activation,” Micro Electro Mechanical Systems, 2001, MEMS 2001, The 14th IEEE International Conference, Jan. 25, 2001, IEEE, pp. 60-63.
Takagi et al., “Wafer-scale spontaneous bonding of silicon wafers by argon-beam surface activation at room temperature,” Sensors and Actuators A: Physical, Jun. 15, 2003, vol. 105, Issue 1, pp. 98-102.
Tong et al., “Low temperature wafer direct bonding, Journal of Microelectomechanical systems,” Mar. 1994, vol. 3, No. 1, pp. 29-35.
Topol et al., “Enabling technologies for wafer-level bonding of 3D MEMS and integrated circuit structures,” 2004 Electronics Components and Technology Conference, 2004 IEEE, pp. 931-938.
Wang et al., “Reliability and microstructure of Au—Al and Au—Cu direct bonding fabricated by the Surface Activated Bonding,” Electronic Components and Technology Conference, 2002, IEEE, pp. 915-919.
Wang et al., “Reliability of Au bump—Cu direct interconnections fabricated by means of surface activated bonding method,” Microelectronics Reliability, May 2003, vol. 43, Issue 5, pp. 751-756.
Weldon et al., “Physics and chemistry of silicon wafer bonding investigated by infrared absorption spectroscopy,” Journal of Vacuum Science & Technology B, Jul./Aug. 1996, vol. 14, No. 4, pp. 3095-3106.
Xu et al., “New Au—Al interconnect technology and its reliability by surface activated bonding,” Electronic Packaging Technology Proceedings, Oct. 28-30, 2003, Shanghai, China, pp. 479-483.
Zhang et al., “Antenna-on-Chip and Antenna-in-Package Solutions to Highly Integrated Millimeter-Wave Devices for Wireless Communications”, IEEE Transactions on Antennas and Propagation, 57(10):2830-2841, Oct. 2009.
Zhou et al., “A Wideband Circularly Polarized Patch Antenna for 60 GHz Wireless Communications”, Wireless Engineering and Technology, 3:97-105, 2012.
Zoschke, K. et al., “Hermetic wafer level packaging of MEMS components using through silicon via and wafer to wafer bonding technologies,” 2013 Electronic Components & Technology Conference, 2013 IEEE, pp. 1500-1507.
“The Advantages of Integrated MEMS to Enable the Internet of Moving Things”, mCube, White Paper Jan. 2018.
Ceramic Microstructures: Control at the Atomic Level, Recent Progress in Surface Activated Bonding, 1998, pp. 385-389.
Related Publications (1)
Number Date Country
20210134689 A1 May 2021 US
Provisional Applications (1)
Number Date Country
62609682 Dec 2017 US
Divisions (1)
Number Date Country
Parent 16212471 Dec 2018 US
Child 17146304 US