Method for depositing extremely low resistivity tungsten

Information

  • Patent Grant
  • 9589808
  • Patent Number
    9,589,808
  • Date Filed
    Thursday, December 19, 2013
    10 years ago
  • Date Issued
    Tuesday, March 7, 2017
    7 years ago
Abstract
Methods for depositing extremely low resistivity tungsten in semiconductor processing are disclosed herein. Methods involve annealing the substrate at various times during the tungsten deposition process to achieve uniform tungsten layers with substantially lower resistivity.
Description
BACKGROUND

Tungsten film deposition using chemical vapor deposition techniques is an integral part of semiconductor fabrication processes. Tungsten films may be used as low resistivity electrical connections in the form of horizontal interconnects, vias between adjacent metal layers, and contacts between a first metal layer and the devices on a silicon substrate. In a conventional tungsten deposition process, a barrier layer is deposited on a dielectric substrate, followed by deposition of a nucleation or seed layer of tungsten film. Thereafter, the remainder of the tungsten film is deposited on the nucleation layer as a bulk layer. Conventionally, the tungsten bulk layer is formed by the reduction of tungsten hexafluoride (WF6) with hydrogen (H2) in a chemical vapor deposition (CVD) process.


As semiconductor devices scale to smaller and smaller technology nodes, shrinking contact and via dimensions make CVD of tungsten more challenging. Increasing aspect ratios can lead to voids or large seams within device features, resulting in lower yields and decreased performance in microprocessor and memory chips. Void-free fill in high aspect ratio features of 10:1, 20:1 or greater is difficult using conventional CVD tungsten deposition techniques.


SUMMARY

Provided are methods of depositing low resistivity tungsten on a semiconductor substrate.


In one aspect, a method of forming a tungsten film on a substrate is provided. The method includes providing the substrate with a nitride layer, annealing the nitride layer, and depositing tungsten on the nitride layer to form the tungsten film such that the nitride layer is annealed before tungsten is deposited. In various embodiments, the nitride layer is a titanium nitride layer or a tungsten nitride layer. In some embodiments, the titanium nitride film is annealed at a temperature between about 385° C. and about 445° C. In many embodiments, argon gas is flowed during annealing. The tungsten may be deposited by chemical vapor deposition. In various embodiments, the nitride layer may be annealed at conditions to change the grain structure of the nitride layer.


Another aspect is a method of forming tungsten film by annealing a nitride film on a substrate, depositing bulk tungsten on the nitride film to form the tungsten film, and annealing the tungsten film. In some embodiments, the method also includes depositing a tungsten nucleation layer on the nitride film after annealing the nitride film, and annealing the tungsten nucleation layer before depositing the bulk tungsten. In various embodiments, the nucleation layer is annealed at a temperature between about 385° C. and about 445°. In various embodiments, the tungsten film is annealed for no more than 1 minute. The annealing pressure may be at least 1 Torr.


Another aspect is a method of forming a tungsten film on a substrate in a reaction chamber. The method includes depositing tungsten on the substrate to form the tungsten film and annealing the tungsten film to thereby lower the resistivity at a chamber pressure of at least about 1 Torr. In various embodiments, the tungsten film is annealed at a temperature between about 385° C. and about 445° C. In many embodiments, boron is used during deposition of the tungsten film, and the tungsten film has a boron content of less than about 1%. In many embodiments, the tungsten film is annealed for a duration between about 1 second and about 10 minutes.


Another aspect is a method of forming a tungsten film on a substrate in a reaction chamber. The method may involve depositing tungsten on the substrate to form the tungsten film at a deposition pressure and annealing the tungsten film to thereby lower the resistivity at the deposition pressure.


These and other aspects are described further below with reference to the drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a schematic illustration of a feature filled with tungsten nucleation and bulk layers according to certain embodiments.



FIG. 2 is a process flow diagram for depositing tungsten in accordance with various embodiments.



FIG. 3 is a process flow diagram for depositing tungsten in accordance with various embodiments.



FIG. 4 depicts schematic illustrations of feature cross-sections at various stages of a process according to certain embodiments.



FIG. 5 is a process flow diagram for depositing tungsten in accordance with various embodiments.



FIG. 6 shows a block diagram of a processing system for practicing methods in accordance with the disclosed embodiments.



FIG. 7 shows a graph representing experimental data on annealing time in accordance with various embodiments.



FIGS. 8A and 8B show graphs representing experimental data on annealing temperature in accordance with various embodiments.



FIG. 9 shows a graph representing experimental data on timing of an annealing step in accordance with various embodiments.



FIGS. 10A and 10B show graphs representing experimental data on boron content in accordance with various embodiments.



FIG. 11 shows an image of deposited layers.



FIG. 12 shows an image of deposited layers in accordance with various embodiments.



FIGS. 13A and 13B show graphs representing experimental data on flow chemistry in accordance with various embodiments.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.


There are various challenges in tungsten (W) fill as devices scale to smaller technology nodes. One challenge is preventing an increase in resistance due to the thinner films in contacts and vias. As features become smaller, the tungsten contact or line resistance increases due to scattering effects in the thinner tungsten film. Conventional chemical vapor deposition (CVD) tungsten deposition processes involve depositing a barrier layer of titanium nitride (TiN), followed by nucleation deposition, and then a CVD bulk tungsten deposition. While efficient tungsten deposition processes use tungsten nucleation layers, these layers typically have higher electrical resistivities than the bulk layers. As features become smaller, low resistivity tungsten films minimize power losses and overheating in integrated circuit designs. The thin barrier and tungsten nucleation films, which have higher resistivity, occupy a larger percentage of the smaller features.



FIG. 1 shows a volume occupied by a nucleation film 110 and a bulk tungsten material 120 in a via or contact structure 100. Because the resistivity of the nucleation layer is higher than that of the bulk layer (ρnucleationbulk) the thickness of the nucleation layer should be minimized to keep the total resistance as low as possible. On the other hand, the tungsten nucleation should be sufficiently thick to fully cover the underlying substrate to support high quality bulk deposition. Resistivity of a tungsten film depends on the thickness of the film deposited. For example, conventional methods may yield a 50 Å tungsten film with a resistivity of 60 μΩ-cm. In another example, conventional methods may yield a 100 Å film with a resistivity of 30 μΩ-cm.


Provided herein are methods of depositing extremely low resistivity thin tungsten films on substrates. Methods involve annealing layers during certain times of the deposition process at various conditions. Resulting tungsten films in accordance with the disclosed embodiments have resistivities up to 20% lower than conventionally deposited tungsten films.


According to various implementations, annealing may occur after deposition of a layer in a separate annealing chamber, or may occur in a deposition chamber altered to accommodate annealing methods. In some implementations, annealing operations may be conducted with an air break between deposition of a layer and a subsequent annealing, typically without processing operations, without negative effects on the tungsten deposition or resistivity. An air break may last between about 1 second and about 12 hours. An air break can allow annealing operations to be performed in different tools than previous or subsequent deposition or other processing operations, as the substrate does not have to be kept under vacuum.


In some implementations, annealing is performed prior to the deposition of tungsten. In some implementations, annealing is performed after deposition of tungsten. In some implementations, annealing is performed both before and after the deposition of tungsten. Also, in some implementations, annealing can be performed after deposition of a nucleation layer of tungsten. As discussed further below, these aspects result in tungsten films having improved resistivity.


According to various embodiments, the methods described herein may be used to deposit tungsten in a feature formed in a substrate. Such a feature may have an aspect ratio of at least 10:1, at least 15:1, at least 20:1, at least 25:1, or at least 30:1. The feature size can be characterized by the feature opening size in addition to or instead of the aspect ratio. The opening may be from about 10 nm to about 100 nm wide in some embodiments. For example, in certain embodiments, the methods may be advantageously used with features having narrow openings, regardless of the aspect ratio. The methods may further be advantageously used to deposit tungsten in larger and/or smaller aspect ratio features, as well to deposit blanket or planar tungsten layers.


In certain embodiments, a recessed feature is formed within a dielectric layer on a substrate, with the bottom of the feature providing contact to an underlying metal layer. Also in certain embodiments, the feature includes a liner layer such as a diffusion barrier layer on its sidewalls and/or bottom. Examples of liner layers include titanium nitride (TiN) layers including titanium/titanium nitride (Ti/TiN), and tungsten nitride (WN). In additional to or instead of diffusion barrier layers, the feature may include layers such as an adhesion layer, a nucleation layer, a combination thereof, or any other applicable material lining the sidewalls and the bottom of the feature.


In certain embodiments, the feature is a re-entrant feature; with a liner layer or other material forming an overhang that partially blocks the feature opening. Because many deposition processes do not have good step coverage properties and result in more material being deposited on the field region and near the opening than inside the feature, a liner layer may be thicker near the opening than inside the feature. For the purposes of this description, “near the opening” is defined as an approximate position or an area within the feature (i.e., along the side wall of the feature) corresponding to between about 0% and about 10% of the feature depth measured from the field region. In certain embodiments, the area near the opening corresponds to the area at the opening. Further, “inside the feature” is defined as an approximate position or an area within the feature corresponding to between about 20% and about 60% of the feature depth measured from the field region on the top of the feature. Typically, when values for certain parameters (e.g., thicknesses) are specified “near the opening” or “inside the feature,” these values represent a measurement or an average of multiple measurements taken within these positions/areas. In certain embodiments, an average thickness of an underlayer near the opening is at least about 10% greater than that inside the feature. In more specific embodiments, this difference may be at least about 25%, at least about 50%, or at least about 100%. Distribution of a material within a feature may also be characterized by its step coverage. For the purposes of this description, “step coverage” is defined as a ratio of two thicknesses, i.e., thickness of the material inside the feature divided by the thickness of the material near the opening. In certain examples, the step coverage of the liner or other underlayer is less than about 100% or, more specifically, less than about 75% or even less than about 50%.


Embodiments described herein may involve deposition of tungsten nucleation layers and/or bulk layers for features. For context, a description of methods of depositing tungsten nucleation layers and bulk layers that may be used in methods of the present disclosure is provided below. It should be noted however, that other methods of nucleation and/or bulk layer deposition may also be used.


A tungsten nucleation layer may be deposited in a feature to conformally coat the sidewalls and bottom of the feature. In general, a nucleation layer is a thin conformal layer that serves to facilitate the subsequent formation of a bulk material thereon. Conforming to the underlying feature bottom and sidewalls can be critical to support high quality deposition. Various processes may be used to form the nucleation layer, including but not limited to, CVD processes, physical vapor deposition (PVD) processes, atomic layer deposition (ALD) processes, and pulsed nucleation layer (PNL) deposition processes.


In a PNL technique, pulses of reactant are sequentially injected and purged from the reaction chamber, typically by a pulse of a purge gas between reactants. A first reactant is typically adsorbed onto the substrate, available to react with the next reactant. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL is similar to ALD techniques. PNL is generally distinguished from ALD by its higher operating pressure range (greater than 1 Torr) and its higher growth rate per cycle (greater than 1 monolayer film growth per cycle). Chamber pressure during PNL deposition may range from about 1 Torr to about 400 Torr. In the context of the description provided herein, PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate. Thus, the concept embodies techniques conventionally referred to as ALD. In the context of the disclosed embodiments, CVD embodies processes in which reactants are together introduced to a reactor for a vapor-phase reaction. PNL and ALD processes are distinct from CVD processes and vice versa.


Forming a nucleation layer using one or more PNL cycles is discussed in U.S. Pat. Nos. 6,844,258; 7,005,372; 7,141,494; 7,262,125; 7,589,017; 7,772,114; 7,955,972; and 8,058,170, all of which are incorporated herein by this reference. These PNL deposition processes involve exposing a substrate to various sequences of reducing agents and tungsten precursors to grow a nucleation layer of the desired thickness. A combined PNL-CVD method of depositing a nucleation layer is described in U.S. Pat. No. 7,655,567, also incorporated herein by reference.


Nucleation layer thickness can be enough to support high quality deposition. In certain embodiments, the requisite thickness depends in part on the nucleation layer deposition method. A PNL method providing near 100% step coverage with nucleation film thicknesses as low as about 12 Å (as compared to typical nucleation films of 50 Å) may be used in certain embodiments. Regardless of the method used to deposit the nucleation layer, however, in some embodiments a low temperature CVD operation used to fill the feature can afford use of thinner nucleation layers than required by conventional higher temperature CVD. Without being bound by a particular theory, it is believed that this may be because the lower chemistry at the reduced temperatures improves growth even on the nucleation sites that are not fully developed. See, e.g., U.S. Patent Publication No. 2010/0267235, incorporated by reference herein. According to various embodiments, nucleation layers may be formed as low as between about 10 Å and about 15 Å.


In certain embodiments, nucleation layer deposition is followed by a post-deposition treatment operation to improve resistivity. Such treatment operations are described further below and in more detail in U.S. Pat. Nos. 7,772,114 and 8,058,170, both of which are incorporated by reference herein.


CVD deposition can then occur on the nucleation layer. CVD deposition of a bulk layer can involve flowing a tungsten-containing precursor and a co-reactant such as a reducing agent, if appropriate, into a deposition chamber. An inert carrier gas may be used to deliver one or more of the reactant streams, which may or may not be pre-mixed. Unlike PNL or ALD processes, this operation generally involves flowing the reactants continuously until the desired amount is deposited. In certain embodiments, the CVD operation may take place in multiple stages, with multiple periods of continuous and simultaneous flow of reactants separated by periods of one or more diverted reactant flows. Flows may also be pulsed for a pulse time between about 1 second and about 2 seconds. Chamber pressure during CVD deposition may range from about 40 Torr to about 500 Torr.


Example substrate temperatures are as low as 250° C. and may be as high as 495° C. during the CVD reaction. Various tungsten-containing gases including, but not limited to, WF6, tungsten chloride (WCl6), and tungsten hexacarbonyl W(CO)6, can be used as the tungsten-containing precursor. In certain embodiments, the tungsten-containing precursor is a halogen-containing compound, such as WF6. In certain embodiments, the reducing agent is hydrogen gas, though other reducing agents may be used, including silane (SiH4), disilane (Si2H6), hydrazine (N2H4), diborane (B2H6), and germane (GeH4). In some embodiments, CVD may be implemented in various stages, such as a low temperature stage and a high temperature stage. Still further, nitrogen may be pulsed during CVD deposition of a bulk layer as described in U.S. Pat. No. 8,551,885 and U.S. patent application Ser. No. 13/633,798, both of which are incorporated by reference herein.



FIG. 2 provides a process flow diagram for depositing a tungsten film in which a titanium nitride underlayer is annealed. Method 200 involves operation 202 of providing a substrate with a titanium nitride layer thereon. The titanium nitride layer may be conformal to a high aspect ratio feature formed in the substrate. Example thicknesses of the titanium nitride layer may be between about 30 Å and about 300 Å thick. The titanium nitride layer may be an ALD-deposited layer, or a PVD-deposited layer, or CVD-deposited layer, in some implementations. It should be noted that annealing titanium nitride layers has been shown to be improved for both ALD-layers and PVD-deposited layers. This indicates that the annealing lowers resistivity by an effect other than or in addition to removing various impurities that might be incorporated into a film depending on the deposition (e.g., removing chloride in a titanium nitride film deposited from titanium chloride). Such an effect is discussed further below with respect to FIG. 12.


Next, in operation 204, the titanium nitride layer is annealed. Annealing can involve flowing an inert gas at a high temperature for a time range as desired. Examples of gases include argon (Ar), hydrogen (H2), and combinations thereof. In various embodiments, a mixture of argon (Ar) and hydrogen (H2) is used. In many embodiments, only argon (Ar) is flowed. In some embodiments, an optional nitrogen-based gas, such as nitrogen (N2) or ammonia (NH3), is flowed as an inert gas. Substrate temperature may be higher than the temperature during deposition (such as ALD, CVD, or PVD). In various embodiments, substrate temperature may be as high as a temperature where crystal reorganization of the titanium nitride layer occurs. Low resistivity films may also be achieved at relatively low temperatures, such as at a substrate temperature of less than about 500° C., or less than about 450° C. As an example, substrate temperature may vary from about 385° C. to about 445° C.


In various embodiments, the pressure of the station or chamber may be between about 1 mTorr to about 760 Torr. In some examples, the pressure of the station or chamber may be between about 1 Torr and about 100 Torr. In some embodiments, the pressure of the station or chamber may be at least about 1 Torr. In some embodiments, the pressure may be the same as the pressure during ALD, PVD, or CVD of the titanium nitride layer.


In various embodiments, the annealing step may last about 1 second to about 10 minutes. In some embodiments, the annealing step may last between about 1 second and about 20 seconds.


As discussed further below, with reference to FIGS. 7, 8A, 8B, 9, 13A, and 13B, it has been found that annealing a TiN layer prior to deposition of a tungsten film lowers resistivity of a subsequently deposited tungsten film. Without being bound to a particular theory, it is believed that annealing the titanium nitride layer rearranges the orientation of molecules in the layer, thereby providing a preferential growth surface for nucleation of tungsten in the subsequent step. Annealing the titanium nitride layer does not change the chemical composition and does not result in formation of a silicide layer. The texture of the titanium nitride barrier layer may also be different and improved to allow better nucleation of tungsten. Better nucleation results in better adhesion to the surface, thereby reducing the resistivity and providing a smoother, more uniform tungsten layer. Further, while FIG. 2 refers to TiN underlayers, it is believed that anneals of other underlayers, including nitrides such as WN, may have similar advantages.


In operation 206, a tungsten bulk layer is deposited on the substrate by CVD. According to various embodiments, operation 206 is preceded by deposition of a tungsten nucleation as described above. In some embodiments, another annealing operation may occur after nucleation of the tungsten but before bulk deposition of the tungsten layer, using any of the conditions discussed above with respect to operation 204.


In certain embodiments, transitioning from operation 204 to 206, involves moving the substrate from one deposition station to another, which may occur in a multi-station chamber. Each of operation 204 and operation 206 may be performed in the same or different chamber. If performed in the same chamber, they each may be performed in the same or different stations of the same multi-station chamber or in a single station chamber. Also, operation 204 may be performed outside of a deposition chamber in a separate chamber that may or may not be in the same vacuum environment that operation 206 is performed.



FIG. 3 provides a process flow diagram for depositing tungsten in another embodiment. In method 300, a tungsten bulk layer is deposited in operation 302. Methods of deposition may be any of those discussed above. Next, in operation 304, the tungsten layer is annealed to lower resistivity. Annealing conditions may be any of the ones previously discussed with respect to FIG. 2, except that a non-nitrogen atmosphere may be used during anneal. In general, while it may remove some impurities from the tungsten layer, the anneal operation does not change the chemical composition of the tungsten layer. Accordingly, nitrogen-containing or silicon-containing atmospheres may be avoided to prevent formation of a nitride or silicide layer.


Example resistivities are about 41 μΩ-cm for a 60 Å layer of tungsten, or about 26 μΩ-cm for a 110 Å layer of tungsten. Percentage decrease in resistivity as compared to non-annealed tungsten layers may be between about 5% and about 35%.


Each of operation 302 and operation 304 may be performed in a different station of the same multi-station chamber, or in the same station of a single or multi-station chamber. Still further, they may be performed in different chambers, which may or may not be part of the same vacuum environment.


In embodiments in which a single station is used to perform operations 302 and 304, transitioning from operation 302 to 304 may involve shutting off a flow of tungsten precursor (operationally allowing hydrogen or other reducing gas and/or carrier gas to run), while raising the substrate temperature.



FIG. 4 illustrates schematic representations of one example of a feature's cross-sections at different stages of a filling process in which a CVD layer is deposited and annealed. Cross-section 401 represents an example of the feature 410 prior to any tungsten deposition. In this example, the feature 410 is formed in a dielectric layer 430, has an opening 425 at the top surface 405 of the substrate and includes a liner layer 413, such as a TiN layer. In certain embodiments, the size of the cavity near the opening 425 is narrower than inside the feature, for example, due to overhang 415 of the liner layer 413 as depicted in FIG. 4.


Cross-section 411 depicts the feature after CVD is performed to fill the feature with bulk layer. Note that the figure does not depict a nucleation layer. In certain embodiments, CVD is performed until at least the feature corner 417 (the point at which the substrate transitions from a planar region to the recessed feature) is covered with CVD tungsten. As further discussed below, the CVD tungsten followed by an anneal step has low resistivity, resulting in an excellent tungsten plug.


Cross-section 421 represents the feature after CVD is performed and after annealing to result in an annealed CVD tungsten layer 455. Without being bound by a particular theory, it is believed that annealing at high temperature after deposition of tungsten on the substrate results in purification of impurities from the tungsten film. For example, the annealing step may be releasing boron from the tungsten film, resulting in a tungsten film with less atomic boron content and lower resistivity overall.



FIG. 5 provides a process flow diagram showing steps for depositing a layer of tungsten including annealing prior to and after bulk tungsten deposition. In method 500, in operation 502, a layer of titanium nitride is deposited on the substrate. Methods of deposition and conditions of deposition may be any of those discussed with respect to FIG. 2. In operation 504, the titanium nitride layer is annealed. Any of the annealing conditions with respect to FIG. 2 may be used here. Next, in operation 506, a bulk tungsten layer is deposited. Conditions and methods of depositing the tungsten layer may be any of those discussed above with respect to FIG. 3. During this operation, an optional nucleation layer may be deposited prior to depositing bulk tungsten. The nucleation layer may also be annealed as discussed with respect to FIG. 2. Lastly, in operation 508, the bulk tungsten layer is annealed. In this operation, conditions may be any of those discussed with respect to FIG. 2, except that a non-nitrogen atmosphere may be used during the anneal. In this method, both a pre-tungsten deposition annealing step and post-tungsten deposition annealing step are implemented. As indicated above, while it may remove some impurities from the tungsten layer, the anneal operation does not change the chemical composition of the tungsten layer. Accordingly, nitrogen-containing or silicon-containing atmospheres may be avoided to prevent formation of a nitride or silicide layer


In certain embodiments, transitioning from operation 504 to 506, or 506 to 508, involves moving the substrate from one deposition station to another, which may occur in a multi-station chamber. Each of these operations may be performed in the same or different chamber. If performed in the same chamber, they each may be performed in the same or different stations of the same multi-station chamber or in a single station chamber. Also, the anneal operations may be performed outside of a deposition chamber in a separate chamber that may or may not be in the same vacuum environment that the deposition operation is performed.


In alternative embodiments in which a single station is used to perform operations 506 and 508, transitioning from operation 506 to 508 may involve shutting off a flow of tungsten precursor (operationally allowing hydrogen or other reducing gas and/or carrier gas to run), while raising the substrate temperature. Once the substrate temperature is stabilized, the tungsten precursor and other gases, if necessary, are flowed into the reaction chamber for a high temperature deposition.


Apparatus


Methods of the disclosed embodiments may be carried out in various types of deposition apparatuses available from various vendors. Examples of suitable apparatuses include a Lam Concept 1 Altus, a Concept 2 Altus, a Concept 2 Altus-S, a Concept 3 Altus deposition system, or any of a variety of other commercially available CVD tools. In some cases, processes may be performed on multiple depositions stations sequentially. In some embodiments, the annealing step is performed at a station that is one of two, four, five, or even more deposition stations positioned within a single deposition chamber. In some embodiments, the annealing step is performed at a station on another chamber separate from the deposition chamber used for CVD. In various embodiments, an existing deposition station may be modified to accommodate an annealing step. One or more stations in a chamber may be used to perform CVD, or two or more stations may be used to perform CVD in a parallel processing.



FIG. 6 is a block diagram of a processing system suitable for conducting tungsten thin film deposition processes in accordance with disclosed embodiments. System 600 includes a transfer module 603, which provides a clean, pressurized environment to minimize the risk of contamination of substrates being processed as they are moved between various reactor modules. Mounted on the transfer module 603 is a multi-station reactor 609 capable of performing pulsed nucleation layer (PNL) deposition, multi-pulse treatment if desired, CVD, and annealing steps according to the disclosed embodiments. Chamber 609 may include multiple stations 611, 613, 615, and 617 that may sequentially perform these operations. For example, chamber 609 could be configured such that station 611 performs PNL deposition, station 613 performs multi-pulse treatment, station 615 performs CVD, and station 617 performs annealing.


Also mounted on the transfer module 603 may be one or more single or multi-station modules 607 capable of performing plasma or chemical (non-plasma) pre-cleans. The module may also be used for various other treatments, e.g., titanium nitride barrier layer deposition or post-liner tungsten nitride treatments. Still further, a module 607 may be an anneal module. The system 600 also includes one or more wafer source modules 601 where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 619 first removes wafers from the source modules 601 to loadlocks 621. A wafer transfer device (generally a robot arm unit) in the transfer module 603 moves the wafers from loadlocks 621 to and among the modules mounted on the transfer module 603.


In certain embodiments, a system controller 650 is employed to control process conditions during deposition. The controller 650 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


The controller 650 may control all of the activities of the deposition apparatus. The system controller 650 executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the control 650 may be employed in some embodiments.


Typically there will be a user interface associated with the controller 650. The user interface may include a display screen, graphical software displays of the apparatus and or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. In other words, the instructions for controlling the drive circuitry may be hard coded or provided as software. It may be said that the instructions are provided by “programming.” Such programming is understood to include logic of any form including hard coded logic in digital signal processors, application specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language.


The computer program code for controlling the deposition, annealing, and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may hard coded.


The controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 650. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.


The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the deposition processes in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.


A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.


Examples of chamber sensors that may be monitored during deposition include mass flow controllers, pressure sensors such as manometers, and thermocouples located in the pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions.


The foregoing describes implementation of disclosed embodiments in a single or multi-chamber semiconductor processing tool.


The apparatus and process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.


EXPERIMENTAL

Experiments were conducted to determine the effect of annealing substrates at various times and temperatures. In these experiments, wafers were processed using nucleation steps, optional treatment steps, CVD steps, and anneal steps. For purposes of these experiments, two sets of conditions were used in various experiments for the nucleation step.


Set A refers to the following set of conditions for the nucleation station. Substrate temperature is set at 250° C., and B2H6 and WF6 are sequentially flowed for two cycles. Diversion of B2H6 is set at 1 second with a B2H6 purge time of 1 second and WF6 purge time of 1 second. The flow rate of WF6 is about 180 sccm. The H2 flow of the front of the tool is about 27,000 sccm and the H2 flow of the back of the tool is about 3000 sccm.


Set B refers to the following set of conditions for the nucleation station. Substrate temperature is set at 250° C., and B2H6 and WF6 are flowed for two cycles. Diversion of B2H6 is set at 1.5 seconds with a B2H6 purge time of 2 seconds and WF6 purge time of 2 seconds. The flow rate of WF6 is about 220 sccm. The H2 flow of the front of the tool is about 25,000 sccm and the H2 flow of the back of the tool is about 10,000 sccm.


The second step for optional treatment involves substrates subject to five cycles of B2H6 pulses flow at a substrate temperature of 325° C. Next, the step for CVD of tungsten may involve H2 and WF6 flow and tungsten deposition at 325° C. Varying conditions of the annealing steps are used in the following experiments.


Experiment 1

Resistivity of tungsten films deposited using various anneal times was measured. For purposes of this experiment, Set A conditions were used. A 300 Å titanium nitride substrate was used in this experiment. The substrate was annealed after deposition of tungsten at a temperature of 445° C. using Ar flow. The argon flow rate was about 6000 sccm. Trials were conducted to determine resistivity of both about 60 Å tungsten films and about 90 Å tungsten films. The results are summarized in FIG. 7.


The round points indicate resistivity of the films without an annealing step. The square points indicate resistivity of films using a 20-second anneal after deposition of the tungsten. Compared to the points representing no anneal, the resistivity substantially decreased. The triangular points indicate resistivity of films using a 10-minute anneal after deposition of the tungsten. Compared to the points representing no anneal, the resistivity is further decreased. It is noted here that the difference between the 20-second anneal and 10-minute anneal is almost the same as the difference between the 20-second anneal and no anneal, thereby suggesting that a threshold anneal time exists such that at a point in time, further annealing will result in the same resistivity. Nonetheless, as shown in FIG. 7, a post-deposition anneal for as short as 20 seconds can achieve substantially lower resistivity films. It is further believed that post-deposition anneals for as short as 1-5 seconds may also improve resistivity.


Experiment 2

Experiments were conducted to determine the effect of varying annealing temperatures on substrates. Conditions from Set A as recited above were used for deposition of a tungsten films on a 300 Å titanium nitride barrier layer on a substrate. Tungsten films were between about 86.5 Å and 90 Å in thickness. The substrate was annealed after tungsten deposition using argon flow for 20 seconds at varying temperatures. Data points were determined by measuring resistivity of a substrate before anneal, and measuring resistivity of the same substrate after anneal at a certain temperature. The results of this experiment are shown in FIGS. 8A and 8B.


In FIG. 8A, the square shaped points represent the resistivity of the annealed substrates at various temperatures. The diamond shaped points represent the resistivity of each of those annealed substrates prior to the annealing step. For example, at 395° C., the substrate had a resistivity of about 40.8 μΩ-cm before annealing, but after annealing the resistivity lowered to 39.6 μΩ-cm, resulting in a resistivity improvement of about 3.0%. Resistivity improvement, or the trend in decreased resistivity, is shown in FIG. 8B. A subsequent trial was run to compare resistivity drop for a tungsten film annealed at 445° C. for 10 minutes (not shown in figures). The resulting resistivity drop was about 12.0%, substantially higher than the resistivity drop for using a 20-second anneal. According to the results, as anneal temperature increases, the difference in resistivity increases, thereby exhibiting a higher resistivity drop, and a better, lower resistivity tungsten films. The data suggests using a longer anneal time at a higher temperature to achieve the greatest resistivity drop and thus extremely low resistivity tungsten films.


Experiment 3

A series of experiments were conducted to determine the effect of the timing of annealing on resistivity. In the first experiment, conditions from Set A as recited above were used on 30 Å titanium nitride barrier layer on substrates. Three different variations were tested: (1) “pre-W anneal,” which involved annealing before depositing any tungsten; (2) “pre-W and post-W anneal,” which involved annealing both before and after depositing tungsten; and (3) “post-W anneal,” which involved annealing after depositing tungsten. To compare, trials were conducted for tungsten films without an annealing step. Annealing steps involved annealing at 445° C. for 10 minutes using either Ar (or Ar/H2) flow. The results are shown in FIG. 9.


As shown in the figure, substrates deposited without an annealing step had the highest resistivity. For a 75 Å tungsten film, resistivity was about 40 μΩ-cm, as compared to a same thickness tungsten film with a post-W anneal which resulted in a resistivity of about 38 μΩ-cm. Using only a pre-W anneal had a higher resistivity for a film with a tungsten thickness of about 82 Å than when both a pre-W and post-W anneal were used. The data suggests that the optimal conditions for achieving extremely low resistivity tungsten films is to both anneal before and after depositing tungsten on the substrate.


In the second experiment, conditions from Set B as recited above were used on 300 Å titanium nitride barrier layers on substrates. Flow rates of H2 in both the front and back of the tool were either 20,000 sccm or 30,000 sccm and 1,000 sccm or 15,000 sccm respectively. After tungsten deposition, substrates were annealed at 445° C. using argon (or Ar/H2) for 10 minutes. Resistivity was measured before and after annealing for each of four substrates, as shown in Table 1 below.









TABLE 1







Resistivity and Post-Tungsten Deposition Annealing















Post-W
Re-




Tungsten
No Anneal
Anneal
sistivity
Decrease


H2 Flow
Thickness
Resistivity
Resistivity
Differ-
in


(sccm)
(Å)
(μΩ-cm)
(μΩ-cm)
ence
Resistivity















30,000/1000  
96.23
44.95
36.19
8.76
19%


30,000/15,000
96.83
46.23
36.71
9.52
21%


20,000/1000  
108.48
78.27
52.61
25.66
33%


20,000/15,000
107.84
76.76
52.61
26.16
34%









The data suggests using a post-tungsten deposition anneal substantially decreases resistivity, regardless of the H2 flow variations, and a higher decrease in resistivity is shown in films with a thicker layer of tungsten. Nonetheless, extremely low resistivity tungsten films were achieved, with a tungsten film having a resistivity as low as 36.19 μΩ-cm.


Experiment 4

Experiments were conducted to evaluate the atomic nature of the deposited tungsten films. Substrates that were not annealed showed a higher atomic content of boron than substrates that were annealed. Two wafers each with a 300 Å layer of titanium nitride barrier layer were compared. The first wafer was deposited subject to Set B conditions as recited above. A resulting wafer with a 127 Å tungsten layer was evaluated using x-ray photoelectron spectroscopy, which indicated an atomic boron content of 5.107%. The second wafer was deposited subject to Set B conditions as recited above, except with an added anneal step after the nucleation step, and an added anneal step instead of the last CVD deposition such that the low temperature CVD of tungsten deposited the bulk tungsten. The 91 Å tungsten wafer was annealed at 445° C. for 10 minutes using argon flow with a flow rate of about 6000 sccm, or Ar/H2 flow with a flow rate of about 6000 sccm and about 7000 sccm, respectively. X-ray photoelectron spectroscopy was used to evaluate the boron content. Surprisingly, the boron content in the annealed substrate had an atomic content of only 0.555%, indicating a substantial decrease by a factor of 10 in boron content as compared to the wafer that was not annealed. This supports the theory that annealing may be purifying the tungsten layer such that gases such as boron are released to decrease resistivity.


Experiments were conducted on substrates with varying thicknesses of titanium nitride. All anneal steps in these experiments involved annealing at 445° C. for 10 minutes with argon flow with a flow rate of about 6000 sccm, or Ar/H2 flow with a flow rate of about 6000 sccm and about 7000 sccm, respectively. A first wafer with a 30 Å layer of titanium nitride barrier layer was subject to the conditions in Set A as recited above including an anneal before and after tungsten deposition. The boron content over etch time was measured and is shown in FIG. 10A and represented by 1001. A second wafer with a 30 Å layer of titanium nitride barrier layer was subject to conditions in Set A as recited above including an anneal after the tungsten deposition. The boron content over etch time was measured and is shown in FIG. 10A and represented by 1002. A third wafer with a 30 Å layer of titanium nitride as a barrier layer was subject to conditions in Set B as recited above, including an anneal after nucleation and an anneal after tungsten bulk deposition. The boron content over etch time was measured and is shown in FIG. 10A and represented by 1003. It is noted that all three wafers exhibited atomic boron content of less than 0.7%, a significantly low amount.


A 300 Å titanium nitride barrier layer was deposited on the next wafer. This wafer was subject to conditions in Set B as recited above and did not include an anneal step. Boron content of this no-anneal wafer is represented by the solid, no-anneal line represented in FIG. 10B. Lastly, a 300 Å titanium nitride barrier layer was deposited on a last wafer. This wafer was subjected to conditions in Set B as recited above, including annealing after nucleation and annealing after tungsten bulk deposition. The boron content over etch time was measured and is represented by the dotted line, labeled “with anneal” in FIG. 10B. As shown, the wafer that was annealed had an atomic content of boron less than 1%, which is significantly lower than the boron content in the non-annealed wafer, which was as high as over 5%.


Overall, FIGS. 10A and 10B both suggest that boron content may contribute to the resistivity of the deposited tungsten film on the substrate.


Experiment 5

Experiments were conducted to determine the effect annealing had on uniformity of the deposited layers. FIG. 11 shows an image of deposited a titanium nitride and a tungsten layer without an annealing step. As shown in FIG. 11, an interface layer exists between the titanium nitride layer and tungsten layer.


In contrast, FIG. 12 shows an image of a titanium nitride layer and an annealed tungsten layer. In these images, the tungsten was deposited before annealing the substrate at 445° C. for 20 seconds. FIG. 12 shows a substantial decrease in the interface layer and uniformity in the resulting film. This is a surprising result because the layers are smooth and have low resistivity, whereas conventional tungsten films with low resistivity may tend to be less smooth due to the granularity of the film. This phenomenon may provide support for the theory that annealing rearranges grains in the tungsten film, thereby reducing the resistivity.


Experiment 6

A series of experiments were conducted to determine whether the type of gas used during annealing affected the resulting resistivity of the deposited tungsten on the substrate. In the first experiment, substrates with a 300 Å titanium nitride barrier layer were used. The conditions from Set B as recited above were used, and comparisons were made between wafers that were not annealed, wafers annealed with argon and hydrogen, and wafers annealed with just argon. Annealing steps occurred at 445° C. for 10 minutes after tungsten deposition. Resistivity results are shown in FIG. 13A. The diamond points represent measurements of resistivity for wafers that were not annealed, whereas the square points represent measurements of resistivity for wafers annealed with Ar/H2 and the triangular points represent measurements of resistivity for wafers annealed with only Ar. The points for both the Ar/H2 anneal and Ar anneal are very similar for similar thicknesses of tungsten, thus suggesting that using either Ar/H2 or Ar is suitable for annealing.


A similar trend resulted from a second experiment. In the second experiment, substrates with a 300 Å titanium nitride barrier layer were used. The conditions from Set A as recited above were used, and wafers were annealed at 445° C. for 10 minutes after tungsten deposition using Ar only and Ar/H2. For comparison, a substrate deposited using conditions from Set A as recited above was not annealed. The resulting resistivity of each of these wafers is plotted in FIG. 13B. The diamond shaped points represent measurements of resistivity of wafers that were not annealed. Square points represent measurements of resistivity of wafers annealed with Ar/H2 and triangular points represent measurements of resistivity of wafers annealed with only Ar. Similar to FIG. 13A, the resulting resistivity of wafers annealed with Ar and Ar/H2 were very similar for similar thicknesses of tungsten deposited, thereby suggesting that either Ar/H2 or Ar can be used during the annealing process.


CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A method of forming a tungsten film on a substrate, the method comprising: providing the substrate with a nitride layer;annealing the nitride layer;depositing tungsten directly on the nitride layer to form the tungsten film by introducing a vapor phase tungsten-containing precursor and a boron-containing reactant into a chamber housing the substrate; andannealing the tungsten film, wherein the nitride layer is annealed before the tungsten is deposited, andwherein the nitride layer is annealed at a temperature between about 385° C. and about 445° C.,wherein annealing the tungsten film reduces the boron content of the tungsten film by a factor of 10.
  • 2. The method of claim 1, wherein the nitride layer is a tungsten nitride layer.
  • 3. The method of claim 1, wherein argon gas is flowed during annealing.
  • 4. The method of claim 1, wherein the tungsten is deposited by chemical vapor deposition.
  • 5. The method of claim 1, wherein annealing the nitride layer is performed at conditions to change the grain structure of the nitride layer.
  • 6. The method of claim 1, wherein depositing the tungsten directly on the nitride layer to form the tungsten layer comprises depositing a tungsten nucleation layer on the nitride layer after annealing the nitride layer, and annealing the tungsten nucleation layer.
  • 7. The method of claim 6, wherein the nucleation layer is annealed at a temperature between about 385° C. and about 445° C.
  • 8. The method of claim 1, wherein the tungsten film is annealed for no more than 1 minute.
  • 9. The method of claim 1, wherein the pressure during the annealing of the tungsten film is at least 1 Torr.
  • 10. The method of claim 1, wherein the tungsten film nucleates on the nitride layer.
  • 11. The method of claim 1, wherein the annealing the nitride layer does not change the composition of the nitride layer.
  • 12. The method of claim 1, wherein the nitride layer is a titanium nitride layer.
  • 13. A method of forming a tungsten film on a substrate, the method comprising: providing the substrate with a nitride layer;changing the grain structure of the nitride layer; anddepositing tungsten directly on the nitride layer to form the tungsten film by introducing a vapor phase tungsten-containing precursor and a boron-containing reducing agent into a chamber housing the substrate,wherein the grain structure of the nitride layer is changed before the tungsten is deposited, andwherein the tungsten film formed on the nitride layer has a boron content of less than 1%.
  • 14. The method of claim 13, wherein changing the grain structure of the nitride layer comprises annealing the nitride layer.
US Referenced Citations (239)
Number Name Date Kind
4746375 Iacovangelo May 1988 A
4804560 Shioya et al. Feb 1989 A
4874719 Kurosawa Oct 1989 A
4962063 Maydan et al. Oct 1990 A
5028565 Chang et al. Jul 1991 A
5227329 Kobayashi et al. Jul 1993 A
5250329 Miracky et al. Oct 1993 A
5250467 Somekh et al. Oct 1993 A
5308655 Eichman et al. May 1994 A
5326723 Petro et al. Jul 1994 A
5370739 Foster et al. Dec 1994 A
5391394 Hansen Feb 1995 A
5567583 Wang et al. Oct 1996 A
5633200 Hu May 1997 A
5661080 Hwang et al. Aug 1997 A
5726096 Jung Mar 1998 A
5795824 Hancock Aug 1998 A
5804249 Sukharev et al. Sep 1998 A
5817576 Tseng et al. Oct 1998 A
5833817 Tsai et al. Nov 1998 A
5913145 Lu et al. Jun 1999 A
5916634 Fleming et al. Jun 1999 A
5926720 Zhao et al. Jul 1999 A
5956609 Lee et al. Sep 1999 A
5963833 Thakur Oct 1999 A
5994749 Oda Nov 1999 A
6001729 Shinriki et al. Dec 1999 A
6017818 Lu Jan 2000 A
6034419 Nicholls et al. Mar 2000 A
6037263 Chang Mar 2000 A
6066366 Berenbaum et al. May 2000 A
6099904 Mak et al. Aug 2000 A
6107200 Takagi et al. Aug 2000 A
6143082 McInerney et al. Nov 2000 A
6174812 Hsiung et al. Jan 2001 B1
6206967 Mak et al. Mar 2001 B1
6245654 Shih et al. Jun 2001 B1
6265312 Sidhwa et al. Jul 2001 B1
6277744 Yuan et al. Aug 2001 B1
6284316 Sandhu et al. Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6294468 Gould-Choquette et al. Sep 2001 B1
6297152 Itoh et al. Oct 2001 B1
6306211 Takahashi et al. Oct 2001 B1
6309966 Govindarajan et al. Oct 2001 B1
6310300 Cooney et al. Oct 2001 B1
6340629 Yeo et al. Jan 2002 B1
6355558 Dixit et al. Mar 2002 B1
6404054 Oh et al. Jun 2002 B1
6429126 Herner et al. Aug 2002 B1
6465347 Ishizuka et al. Oct 2002 B2
6491978 Kalyanam Dec 2002 B1
6551929 Kori et al. Apr 2003 B1
6566250 Tu et al. May 2003 B1
6566262 Rissman et al. May 2003 B1
6581258 Yoncda et al. Jun 2003 B2
6593233 Miyazaki et al. Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6635965 Lee et al. Oct 2003 B1
6706625 Sudijono et al. Mar 2004 B1
6720261 Anderson et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6777331 Nguyen Aug 2004 B2
6797340 Fang et al. Sep 2004 B2
6844258 Fair et al. Jan 2005 B1
6861356 Matsuse et al. Mar 2005 B2
6902763 Elers et al. Jun 2005 B1
6903016 Cohen Jun 2005 B2
6905543 Fair et al. Jun 2005 B1
6908848 Koo Jun 2005 B2
6936538 Byun Aug 2005 B2
6939804 Lai et al. Sep 2005 B2
6962873 Park Nov 2005 B1
7005372 Levy et al. Feb 2006 B2
7141494 Lee et al. Nov 2006 B2
7157798 Fair et al. Jan 2007 B1
7211144 Lu et al. May 2007 B2
7220671 Simka et al. May 2007 B2
7235486 Kori et al. Jun 2007 B2
7262125 Wongsenakhum et al. Aug 2007 B2
7355254 Datta et al. Apr 2008 B2
7416979 Yoon et al. Aug 2008 B2
7419904 Kato Sep 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7465665 Xi et al. Dec 2008 B2
7465666 Kori et al. Dec 2008 B2
7501343 Byun et al. Mar 2009 B2
7501344 Byun et al. Mar 2009 B2
7563718 Kim Jul 2009 B2
7589017 Chan et al. Sep 2009 B2
7595263 Chung et al. Sep 2009 B2
7605083 Lai et al. Oct 2009 B2
7611990 Yoon et al. Nov 2009 B2
7655567 Gao et al. Feb 2010 B1
7674715 Kori et al. Mar 2010 B2
7675119 Taguwa Mar 2010 B2
7691749 Levy et al. Apr 2010 B2
7695563 Lu et al. Apr 2010 B2
7709385 Xi et al. May 2010 B2
7732327 Lee et al. Jun 2010 B2
7745329 Wang et al. Jun 2010 B2
7745333 Lai et al. Jun 2010 B2
7749815 Byun Jul 2010 B2
7754604 Wongsenakhum et al. Jul 2010 B2
7772114 Chan et al. Aug 2010 B2
7955972 Chan et al. Jun 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7977243 Sakamoto et al. Jul 2011 B2
8048805 Chan et al. Nov 2011 B2
8053365 Humayun et al. Nov 2011 B2
8058170 Chandrashekar et al. Nov 2011 B2
8062977 Ashtiani et al. Nov 2011 B1
8071478 Wu et al. Dec 2011 B2
8087966 Hcbbinghaus et al. Jan 2012 B2
8101521 Gao et al. Jan 2012 B1
8110877 Mukherjee et al. Feb 2012 B2
8207062 Gao et al. Jun 2012 B2
8258057 Kuhn et al. Sep 2012 B2
8329576 Chan et al. Dec 2012 B2
8367546 Humayun et al. Feb 2013 B2
8409985 Chan et al. Apr 2013 B2
8409987 Chandrashekar et al. Apr 2013 B2
8551885 Chen et al. Oct 2013 B2
8623733 Chen et al. Jan 2014 B2
8709948 Danek et al. Apr 2014 B2
8853080 Guan et al. Oct 2014 B2
8975184 Chen et al. Mar 2015 B2
8993055 Rahtu et al. Mar 2015 B2
9034760 Chen et al. May 2015 B2
9076843 Lee et al. Jul 2015 B2
9153486 Arghavani et al. Oct 2015 B2
9159571 Humayun et al. Oct 2015 B2
9236297 Chen et al. Jan 2016 B2
9240347 Chandrashekar et al. Jan 2016 B2
20010007797 Jang Jul 2001 A1
20010008808 Gonzalez Jul 2001 A1
20010014533 Sun Aug 2001 A1
20010015494 Ahn Aug 2001 A1
20010044041 Badding et al. Nov 2001 A1
20020037630 Agarwal et al. Mar 2002 A1
20020090796 Desai et al. Jul 2002 A1
20020090811 Kim et al. Jul 2002 A1
20020117399 Chen et al. Aug 2002 A1
20020132472 Park Sep 2002 A1
20020155722 Satta et al. Oct 2002 A1
20020168840 Hong et al. Nov 2002 A1
20020177316 Miller et al. Nov 2002 A1
20030059980 Chen et al. Mar 2003 A1
20030082902 Fukui et al. May 2003 A1
20030091870 Bhowmik et al. May 2003 A1
20030104126 Fang et al. Jun 2003 A1
20030123216 Yoon et al. Jul 2003 A1
20030127043 Lu et al. Jul 2003 A1
20030129828 Cohen et al. Jul 2003 A1
20030190802 Wang et al. Oct 2003 A1
20030224217 Byun et al. Dec 2003 A1
20040014315 Lai et al. Jan 2004 A1
20040044127 Okubo et al. Mar 2004 A1
20040142557 Levy et al. Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040202786 Wongscnakhum et al. Oct 2004 A1
20040206267 Sambasivan et al. Oct 2004 A1
20040247788 Fang et al. Dec 2004 A1
20050031786 Lee et al. Feb 2005 A1
20050059236 Nishida et al. Mar 2005 A1
20050136594 Kim Jun 2005 A1
20050179141 Yun et al. Aug 2005 A1
20050191803 Matsuse et al. Sep 2005 A1
20060003581 Johnston et al. Jan 2006 A1
20060075966 Chen et al. Apr 2006 A1
20060094238 Levy et al. May 2006 A1
20060145190 Salzman et al. Jul 2006 A1
20060211244 Deshpande et al. Sep 2006 A1
20060284317 Ito et al. Dec 2006 A1
20070087560 Kwak et al. Apr 2007 A1
20070099420 Dominquez et al. May 2007 A1
20070190780 Chung et al. Aug 2007 A1
20080045010 Wongsenakhum et al. Feb 2008 A1
20080081127 Thompson et al. Apr 2008 A1
20080081453 Kim et al. Apr 2008 A1
20080124926 Chan et al. May 2008 A1
20080254619 Lin et al. Oct 2008 A1
20080254623 Chan et al. Oct 2008 A1
20080280438 Lai et al. Nov 2008 A1
20080283844 Hoshi et al. Nov 2008 A1
20090045517 Sugiura et al. Feb 2009 A1
20090050937 Murata et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090057151 Eugene et al. Mar 2009 A1
20090149022 Chan et al. Jun 2009 A1
20090160030 Tuttle Jun 2009 A1
20090163025 Humayun et al. Jun 2009 A1
20090315154 Kirby et al. Dec 2009 A1
20100035427 Chan et al. Feb 2010 A1
20100055904 Chen et al. Mar 2010 A1
20100062149 Ma et al. Mar 2010 A1
20100072623 Prindle et al. Mar 2010 A1
20100130002 Dao et al. May 2010 A1
20100130003 Lin et al. May 2010 A1
20100155846 Mukherjee et al. Jun 2010 A1
20100159694 Chandrashekar et al. Jun 2010 A1
20100244141 Beyer et al. Sep 2010 A1
20100244260 Hinomura Sep 2010 A1
20100267230 Chandrashekar et al. Oct 2010 A1
20100267235 Chen et al. Oct 2010 A1
20100273327 Chan et al. Oct 2010 A1
20100330800 Ivanov et al. Dec 2010 A1
20110059608 Gao Mar 2011 A1
20110156154 Hoentschel et al. Jun 2011 A1
20110221044 Danek et al. Sep 2011 A1
20110223763 Chan et al. Sep 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110236594 Haverkamp et al. Sep 2011 A1
20120009785 Chandrashekar et al. Jan 2012 A1
20120015518 Chandrashekar et al. Jan 2012 A1
20120040530 Humayun et al. Feb 2012 A1
20120199887 Chan et al. Aug 2012 A1
20120225192 Yudovsky et al. Sep 2012 A1
20120231626 Lee et al. Sep 2012 A1
20120244699 Khandelwal et al. Sep 2012 A1
20120294874 Macary et al. Nov 2012 A1
20130043554 Piper Feb 2013 A1
20130062677 Li et al. Mar 2013 A1
20130109172 Collins et al. May 2013 A1
20130168864 Lee et al. Jul 2013 A1
20130171822 Chandrashekar et al. Jul 2013 A1
20130285195 Piper Oct 2013 A1
20130302980 Chandrashekar et al. Nov 2013 A1
20140011358 Chen et al. Jan 2014 A1
20140027664 Wei et al. Jan 2014 A1
20140030889 Chen et al. Jan 2014 A1
20140061931 Kang Mar 2014 A1
20140073135 Guan et al. Mar 2014 A1
20140154883 Humayun et al. Jun 2014 A1
20140162451 Chen et al. Jun 2014 A1
20140308812 Arghavani et al. Oct 2014 A1
20150037972 Danek et al. Feb 2015 A1
20150056803 Chandrashekar et al. Feb 2015 A1
20150279732 Lee et al. Oct 2015 A1
Foreign Referenced Citations (58)
Number Date Country
101899649 Dec 2010 CN
103125013 May 2013 CN
0 437 110 Jul 1991 EP
1 156 132 Nov 2001 EP
1 179 838 Feb 2002 EP
S5629648 Mar 1981 JP
08-115984 May 1996 JP
09-027596 Jan 1997 JP
H10-144688 May 1998 JP
H10-163132 Jun 1998 JP
11-330006 Nov 1999 JP
2000-208516 Jul 2000 JP
2000-235962 Aug 2000 JP
2001-525889 Dec 2001 JP
2002-124488 Apr 2002 JP
2003-193233 Jul 2003 JP
2004-235456 Aug 2004 JP
2004-273764 Sep 2004 JP
2005-029821 Feb 2005 JP
2005-518088 Jun 2005 JP
2007-009298 Jan 2007 JP
2007-027627 Feb 2007 JP
2007-027680 Feb 2007 JP
2007-507892 Mar 2007 JP
2007-520052 Jul 2007 JP
2007-250907 Sep 2007 JP
2007-251164 Sep 2007 JP
2008-016803 Jan 2008 JP
2008-060603 Mar 2008 JP
2008-091844 Apr 2008 JP
2008-283220 Nov 2008 JP
2009-024252 Feb 2009 JP
2009-144242 Jul 2009 JP
2009-533877 Sep 2009 JP
2009-540123 Nov 2009 JP
10-2002-0049730 Jun 2002 KR
10-2005-0022261 Mar 2005 KR
10-2005-0087428 Aug 2005 KR
10-2006-0087844 Aug 2006 KR
705936 Apr 2007 KR
10-2008-0036679 Apr 2008 KR
10-2008-0110897 Dec 2008 KR
10-2009-0103815 Oct 2009 KR
WO 9851838 Nov 1998 WO
WO 0127347 Apr 2001 WO
WO 0129893 Apr 2001 WO
WO 0241379 May 2002 WO
WO 03029515 Apr 2003 WO
WO 2005027211 Mar 2005 WO
WO 2005034223 Apr 2005 WO
WO 2007121249 Oct 2007 WO
WO 2007146537 Dec 2007 WO
WO 2010025357 Mar 2010 WO
WO 2011119293 Sep 2011 WO
WO 2013090295 Jun 2013 WO
WO 2013148444 Oct 2013 WO
WO 2013148880 Oct 2013 WO
WO 2014058536 Apr 2014 WO
Non-Patent Literature Citations (186)
Entry
U.S. Appl. No. 13/862,048, filed Apr. 12, 2013, entitled “CVD Based Metal/Semiconductor OHMIC Contact for High Volume Manufacturing Applications.”
U.S. Appl. No. 14/173,733, filed Feb. 5, 2014, cntitlcd “Tungsten Nucleation Process to Enable Low Resistivity Tungsten Feature Fill.”
U.S. Appl. No. 13/758,928, filed Feb. 4, 2013, entitled “Methods for Forming All Tungsten Contacts and Lines.”
U.S. Appl. No. 14/097,160, filed Dec. 4, 2013, entitled “Methods for Depositing Ultra Thin Low Resistivity Tungsten Film for Small Critical Dimension Contacts and Interconnects.”
U.S. Appl. No. 13/928,216, filed Jun. 26, 2013, entitled “Methods of Forming Tensile Tungsten Films and Compressive Tungsten Films.”
US Office Action, dated Apr. 7, 2014, issued in U.S. Appl. No. 13/633,502.
US Office Action, dated Jul. 17, 2002, issued in U.S. Appl. No. 09/975,074.
US Noticc of Allowancc, datcd Mar. 12, 2003, issucd in U.S. Appl. No. 09/975,074.
US Office Action, dated Feb. 8, 2005, issued in U.S. Appl. No. 10/649,351.
US Final Office Action, dated Jul. 14, 2005, issued in U.S. Appl. No. 10/649,351.
US Officc Action, datcd Dec. 30, 2005, issucd in U.S. Appl. No. 10/649,351.
US Notice of Allowance, dated Jul. 21, 2006, issued in U.S. Appl. No. 10/649,351.
US Office Action, dated Jun. 22, 2004, issued in U.S. Appl. No. 10/435,010.
US Notice of Allowance, dated Oct. 7, 2004, issued in U.S. Appl. No. 10/435,010.
US Notice of Allowance, dated Jan. 19, 2005, issued in U.S. Appl. No. 10/435,010.
US Office Action, dated Nov. 23, 2005, issued in U.S. Appl. No. 10/984,126.
US Final Office Action, dated May 17, 2006, issued in U.S. Appl. No. 10/984,126.
US Notice of Allowance, dated Aug. 25, 2006, issued in U.S. Appl. No. 10/984,126.
US Office Action, dated Mar. 23, 2005, issued in U.S. Appl. No. 10/690,492.
US Notice of Allowance, dated Sep. 14, 2005, issued in U.S. Appl. No. 10/690,492.
US Office Action, dated Jun. 27, 2008, issued in U.S. Appl. No. 11/305,368.
US Office Action, dated Apr. 3, 2009, issued in U.S. Appl. No. 11/305,368.
US Notice of Allowance, dated Nov. 17, 2009, issued in U.S. Appl. No. 11/305,368.
US Office Action, dated Jul. 12, 2005, issued in U.S. Appl. No. 10/815,560.
US Final Office Action, dated Dec. 28, 2005, issued in U.S. Appl. No. 10/815,560.
US Office Action, dated Apr. 17, 2006, issued in U.S. Appl. No. 10/815,560.
US Office Action, dated Sep. 28, 2006, issued in U.S. Appl. No. 10/815,560.
US Notice of Allowance,, dated Apr. 24, 2007, issued in U.S. Appl. No. 10/815,560.
US Office Action, dated Aug. 21, 2008, issued in U.S. Appl. No. 11/265,531.
US Final Office Action, dated Feb. 26, 2009, issued in U.S. Appl. No. 11/265,531.
US Notice of Allowance, dated May 4, 2009, issued in U.S. Appl. No. 11/265,531.
US Office Action, dated Nov. 23, 2010, issued in U.S. Appl. No. 12/538,770.
US Notice of Allowance, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/538,770.
US Office Action, dated Oct. 16, 2008, issued in U.S. Appl. No. 11/349,035.
US Final Office Action, dated Feb. 25, 2009, issued in U.S. Appl. No. 11/349,035.
US Office Action, dated Jun. 4, 2009, issued in U.S. Appl. No. 11/349,035.
US Final Office Action, dated Nov. 20, 2009, issued in U.S. Appl. No. 11/349,035.
US Noticc of Allowancc, datcd Mar. 2, 2010, issucd in U.S. Appl. No. 11/349,035.
US Office Action, dated Sep. 29, 2008, issued in U.S. Appl. No. 11/782,570.
US Final Office Action, dated Apr. 28, 2009, issued in U.S. Appl. No. 11/782,570.
US Noticc of Allowancc, datcd Sep. 17, 2009, issucd in U.S. Appl. No. 11/782,570.
US Office Action, dated Jan. 25, 2011, issued in U.S. Appl. No. 12/636,616.
US Final Office Action, dated Jun. 15, 2011, issued in U.S. Appl. No. 12/636,616.
US Notice of Allowance, dated Sep. 30, 2011, issued in U.S. Appl. No. 12/636,616.
US Office Action, dated Jun. 24, 2009, issued in U.S. Appl. No. 12/030,645.
US Final Office Action, dated Jan. 13, 2010, issued in U.S. Appl. No. 12/030,645.
US Final Office Action, dated Jul. 23, 2010, issued in U.S. Appl. No. 12/030,645.
US Notice of Allowance and Fee Due, dated Jan. 24, 2011, issued in U.S. Appl. No. 12/030,645.
US Office Action, dated Aug. 6, 2012, issued in U.S. Appl. No. 13/095,734.
Notice of Allowance dated Dec. 3, 2012, issued in U.S. Appl. No. 13/095,734.
US Office Action, dated Aug. 5, 2009, issued in U.S. Appl. No. 11/951,236.
US Final Office Action, dated Jan. 26, 2010 from U.S. Appl. No. 11/951,236.
US Notice of Allowance, dated Apr. 6, 2010, issued in U.S. Appl. No. 11/951,236.
US Office Action, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/829,119.
US Final Office Action, dated Nov. 17, 2011, issued in U.S. Appl. No. 12/829,119.
US Office Action, dated Apr. 19, 2012, issued in U.S. Appl. No. 12/829,119.
US Notice of Allowance, dated Aug. 7, 2012, issued in U.S. Appl. No. 12/829,119.
US Office Action, dated Jun. 11, 2009, issued in U.S. Appl. No. 11/963,698.
US Final Officc Action, datcd Dec. 9, 2009, issucd in U.S. Appl. No. 11/963,698.
US Office Action, dated Jun. 11, 2010, issued in U.S. Appl. No. 11/963,698.
US Final Office Action, dated Dec. 30, 2010, issued in U.S. Appl. No. 11/963,698.
US Noticc of Allowancc, datcd Sep. 2, 2011, issucd in U.S. Appl. No. 11/963,698.
US Office Action, dated Apr. 16, 2012, issued in U.S. Appl. No. 13/276,170.
US Notice of Allowance, dated Oct. 4, 2012, issued in U.S. Appl. No. 13/276,170.
US Notice of Allowance, dated Jul. 25, 2011, issued in U.S. Appl. No. 12/363,330.
US Office Action dated Oct. 21, 2009, issued in U.S. Appl. No. 12/202,126.
US Final Office Action, dated May 7, 2010, issued in U.S. Appl. No. 12/202,126.
US Office Action, dated Jul. 26, 2010 issued in U.S. Appl. No. 12/202,126.
US Final Office Action, dated Feb. 7, 2011, issued in U.S. Appl. No. 12/202,126.
US Office Action, dated Jan. 7, 2013, issued in U.S. Appl. No. 12/202,126.
US Notice of Allowance, dated Jun. 7, 2013, issued in U.S. Appl. No. 12/202,126.
US Office Action, dated May 3, 2010, issued in U.S. Appl. No. 12/407,541.
US Final Office Action, dated Oct. 19, 2010, issued in U.S. Appl. No. 12/407,541.
US Office Action, dated May 2, 2011, issued in U.S. Appl. No. 12/407,541.
US Notice of Allowance, dated Sep. 19, 2011, issued in U.S. Appl. No. 12/407,541.
US Office Action, dated Mar. 6, 2012, issued in U.S. Appl. No. 13/244,016.
US Notice of Allowance dated Nov. 29, 2012, issued in U.S. Appl. No. 13/244,016.
US Office Action, dated Jun. 14, 2011, issued in U.S. Appl. No. 12/556,490.
US Notice of Allowance, dated Mar. 2, 2012, issued in U.S. Appl. No. 12/556,490.
US Officc Action, datcd May 13, 2011, issucd in U.S. Appl. No. 12/755,248.
US Office Action, dated Oct. 28, 2011, issued in U.S. Appl. No. 12/755,248.
US Final Office Action, dated Apr. 30, 2012, issued in U.S. Appl. No. 12/755,248.
US Officc Action, datcd Feb. 15, 2013, issucd in U.S. Appl. No. 12/755,248.
US Office Action dated Dec. 18, 2012, issued in U.S. Appl. No. 12/723,532.
US Office Action dated Jul. 18, 2013, issued in U.S. Appl. No. 12/723,532.
US Notice of Allowance dated Dec. 24, 2013, issued in U.S. Appl. No. 12/723,532.
US Office Action, dated Feb. 16, 2012, issued in U.S. Appl. No. 12/755,259.
US Final Office Action, dated Sep. 12, 2012, issued in U.S. Appl. No. 12/755,259.
US Notice of Allowance, dated Jul. 10, 2013, issued in U.S. Appl. No. 12/755,259.
US Notice of Allowance dated Sep. 4, 2013 issued in U.S. Appl. No. 12/755,259.
US Office Action, dated May 10, 2012, issued in U.S. Appl. No. 13/020,748.
US Final Office Action, dated Nov. 16, 2012, issued in U.S. Appl. No. 13/020,748.
US Office Action, dated Feb. 24, 2014, issued in U.S. Appl. No. 13/020,748.
US Office Action, dated Jun. 20, 2013, issued in U.S. Appl. No. 13/560,688.
US Final Office Action, dated Feb. 14, 2014, issued in U.S. Appl. No. 13/560,688.
US Office Action, dated Jun. 14, 2013, issued in U.S. Appl. No. 13/633,798.
US Final Office Action, dated Nov. 26, 2013, issued in U.S. Appl. No. 13/633,798.
PCT Search Report and Written Opinion, dated Jan. 19, 2005, issued in PCT/US2004/006940.
Korean First Notification of Provisional Rejection, dated Dec. 8, 2010, issued in Application No. 2004-0036346.
Korean Office Action, dated Jun. 13, 2011, issued in Application No. 2011-0032098.
Korcan Officc Action, datcd Nov. 24, 2010, issucd in Application No. KR 10-2004-0013210.
Korean Office Action, dated Mar. 28, 2013, issued in Application No. KR 10-2007-0012027.
Japanese Office Action dated May 7, 2013, issued in Application No. JP 2008-310322.
Japancsc Officc Action datcd Sep. 3, 2013, issucd in Application No. JP 2008-325333.
PCT International Search Report and Written Opinion, dated Apr. 12, 2010, issued in PCT/US2009/055349.
PCT International Preliminary Report on Patentability and Written Opinion, dated Mar. 10, 2011, issued in PCT/US2009/055349.
Chinese First Office Action dated Sep. 18, 2012 issued in Application No. 200980133560.1.
Chinese Second Office Action dated Aug. 7, 2013 issued in Application No. 200980133560.1.
Japanese Office Action dated Dec. 3, 2013 issued in Application No. 2011-525228.
Korean Office Action dated Sep. 6, 2012 issued in Application No. 2011-7004322.
Korean Office Action dated Jul. 19, 2013 issued in Application No. 2011-7004322.
Korean Office Action dated Nov. 4, 2013 issued in Application No. 10-2013-7027117.
Korean Office Action dated Mar. 21, 2013 issued in KR Application No. 10-2010-0024905.
Japanese Office Action dated Mar. 4, 2014 issued in JP 2010-093522.
Korean Notification of Provisional Rejection dated Jul. 17, 2012, issued in Application No. 2010-0087997.
Korean Office Action dated Mar. 4, 2013 in KR Application No. 2010-0035449.
Korean Second Office Action dated Jan. 25, 2014 in KR Application No. 10-2010-0035453.
PCT International Search Report and Written Opinion, dated Jun. 28, 2013, issued in PCT/US2013/033174.
PCT International Search Report and Written Opinion, dated Jul. 26, 2013, issued in PCT/US2013/034167.
Becker, Jill (Apr. 7, 2003) “Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia,” Applied Physics Letters, 82(14):2239-2241, [Retrieved online Dec. 13, 2013 at http://dx.doi.org/10.1063/1.1565699].
Bell et al. (Jan. 1996) “Batch Reactor Kinetic Studies of Tungsten LPCVD from Silanc and Tungstcn Hcxafluoridc”, J. Electrochem. Soc., 143(1):296-302.
Collins et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten for Plugfill of High Aspect Ratio Contacts,” Presentation made at Semicon Korea, 9 pages.
Collins et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten for Plugfill of High Aspect Ratio Contacts,” Semiconductor Equipment and Materials International, Semicon Korea, 3 pages.
Diawara, Y. et al. (1993) “Rapid thermal annealing for reducing stress in tungsten x-ray mask absorber,” http://dx.doi.org/10.1116/1.586673, Journal of Vacuum Science & Technology B 11:296-300 (per table of contents of journal).
Elam et al. (2001) “Nucleation and Growth During Tungsten Atomic Layer Deposition on SiO2 Surfaces,” Thin Solid Films, 13pp.
Fair, James A. (1983) Presentation by Inventor “Chemical Vapor Deposition of Refractory Metal Silicides,” GENUS Incorporated, 27 pp.
George et al. (1996) “Surface Chemistry for atomic Layer Growth”, J. Phys. Chem, 100(31):13121-13131.
Gonohe, Narishi (2002) “Tungsten Nitride Deposition by Thermal Chemical Vapor Deposition as Barrier Metal for Cu Interconnection,” [http://www.jim.co.jp/journal/c/pdf3/43/07/1585.pdf.], Materials Transactions, 43(7):1585-1592.
Hoover, Cynthia (Jul. 2007) “Enabling Materials for Contact Metallization,” Praxair Electronic Materials R&D, pp. 1-16.
Klaus et al. (2000) “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction,” Thin Solid Films 360:145-153.
Klaus et al. (2000) “Atomically Controlled Growth of Tungsten and Tungsten Nitride Using Sequential Surface Reactions,” Applied Surface Science, pp. 162-163, 479-491.
Lai, Ken et al. (Jul. 17, 2000) “Tungsten chemical vapor deposition using tungsten hexacarbonyl: microstructure of as-deposited and annealed films,” [http://dx.doi.org/10.1016/S0040-6090(00)00943-3], Thin Solid Films, 370:114-121.
Lai, Ken K. and Lamb, H. Henry (1995) “Precursors for Organometallic Chemical Vapor Dcposition of Tungstcn Carbidc Films,” Chemistry Material, 7(12):2284-2292.
Lee et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten and its Application for Plugfill of High Aspect Ratio Contacts,” Abstract, 1 page.
Li et al. (2002) “Deposition of WNxCy Thin Films by ALCVD™ Method for Diffusion Barriers in Metallization,” IITC Conference Report, 3 pp.
Saito et al. (2001) “A Novcl Coppcr Intcrconncction Tcchnology Using Sclf Aligncd Metal Capping Method,” IEEE, 3pp.
Shioya, Yoshimi et al. (Dec. 1, 1985) “Analysis of stress in chemical vapor deposition tungsten silicide film,” [Retrieved online Dec. 18, 2013 at http://dx.doi.org/10.1063/1.335552], Journal of Applied Physics, 58(11):4194-4199.
US Final Office Action, dated Nov. 5, 2014, issued in U.S. Appl. No. 13/633,502.
US Notice of Allowance, dated Mar. 2, 2015, issued in U.S. Appl. No. 13/633,502.
US Notice of Allowance (Supplemental Notice of Allowability), dated Apr. 16, 2015, issued in U.S. Appl. No. 13/633,502.
US Office Action, dated May 30, 2014, issued in U.S. Appl. No. 13/862,048.
US Final Office Action, dated Oct. 16, 2014, issued in U.S. Appl. No. 13/862,048.
US Notice of Allowance, dated Apr. 28, 2015, issued in U.S. Appl. No. 13/862,048.
US Office Action, dated Dec. 11, 2014, issued in U.S. Appl. No. 14/173,733.
US Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/097,160.
US Final Office Action, dated Jul. 2, 2014, issued in U.S. Appl. No. 13/020,748.
US Office Action, dated Jan. 15, 2015, issued in U.S. Appl. No. 13/774,350.
US Office Action, dated Dec. 23, 2014, issued in U.S. Appl. No. 13/851,885.
US Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/502,817.
US Office Action, dated Sep. 18, 2014, issued in U.S. Appl. No. 13/928,216.
US Notice of Allowance, dated Jan. 22, 2015, issued in U.S. Appl. No. 13/928,216.
US Notice of Allowance, dated Nov. 4, 2014, issued in U.S. Appl. No. 13/560,688.
US Notice of Allowance, dated May 23, 2014, issued in U.S. Appl. No. 13/633,798.
Chinese Third Office Action dated Apr. 22, 2014 issued in Application No. 200980133560.1.
Chinese Fourth Office Action dated Jan. 5, 2015 issued in Application No. 200980133560.1.
Korean Office Action dated Jun. 17, 2014 issued in Application No. 10-2013-7027117.
Japanese Office Action dated Jun. 17, 2014 issued in Application No. JP 2010-055163.
Taiwan Office Action and Search Report dated Feb. 12, 2015 issued in TW 099130354.
Taiwan Office Action dated Dec. 27, 2014 issued in TW 099111860.
Japanese Office Action dated Jul. 29, 2014 issued in JP 2010-093544.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/033174.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/034167.
Manik. P, et al. (2012) “Fermi-level unpinning and low resistivity in contacts to n-type Ge with a thin ZnO interfacial layer,” App. Phys. Lett. 101:182105-5.
U.S. Appl. No. 14/738,685, filed Jun. 12, 2015, entitled “Method for Producing Ultra-Thin Tungsten Layers With Improved Step Coverage.”
U.S. Appl. No. 14/723,270, filed May 27, 2015, entitled “Deposition of Low Fluorine Tungsten by Sequential CVD Process.”
US Notice of Allowance, dated Jun. 17, 2015, issued in U.S. Appl. No. 13/862,048.
US Notice of Allowance, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/173,733.
US Final Office Action, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/097,160.
US Final Office Action, dated Jul. 17, 2015, issued in U.S. Appl. No. 14/502,817.
US Office Action, dated May 29, 2015, issued in U.S. Appl. No. 13/949,092.
Chinese Fifth Office Action dated May 5, 2015 issued in Application No. 200980133560.1.
Taiwan Office Action dated Jun. 8, 2015 issued in TW 099107504.
Korean First Office Action dated Jul. 10, 2015 issued in KR Application No. 10-2014-0090283.
US Notice of Allowance, dated Sep. 9, 2015, issued in U.S. Appl. No. 14/097,160.
US Office Action, dated Oct. 8, 2015, issued in U.S. Appl. No. 13/774,350.
US Notice of Allowance, dated Sep. 25, 2015, issued in U.S. Appl. No. 14/502,817.
Taiwan Office Action dated Aug. 4, 2015 issued in TW Application No. 099111859.
Chinese Office Action [no translation] dated Sep. 6, 2015 issued in CN 201310320848.8.
U.S. Appl. No. 15/040,561, filed Feb. 10, 2016, entitled “Tungsten for Wordline Applications.”
U.S. Appl. No. 14/989,444, filed Jan. 6, 2016, entitled “Low Tempature Tungsten Film Deposition for Small Critical Dimension Contacts and Interconnects.”
U.S. Appl. No. 14/965,806, filed Dec. 10, 2015, entitled “Tungsten Feature Fill.”
US Office Action, dated Jan. 12, 2016, issued in U.S. Appl. No. 14/738,685.
US Final Office Action, dated Jan. 14, 2016, issued in U.S. Appl. No. 13/949,092.
Taiwan Office Action (Rejection Decision) dated Oct. 28, 2015 issued in Application No. TW 099130354.
Chinese Office Action [no translation] dated Feb. 26, 2016, issued in CN 201380022648.2.
Chinese First Office Action dated Mar. 18, 2016 issued in Application No. CN 201380022693.8.
Related Publications (1)
Number Date Country
20150179461 A1 Jun 2015 US