Mitigating surface damage of probe pads in preparation for direct bonding of a substrate

Information

  • Patent Grant
  • 11978681
  • Patent Number
    11,978,681
  • Date Filed
    Thursday, May 26, 2022
    2 years ago
  • Date Issued
    Tuesday, May 7, 2024
    a month ago
Abstract
Mitigating surface damage of probe pads in preparation for direct bonding of a substrate is provided. Methods and layer structures prepare a semiconductor substrate for direct bonding processes by restoring a flat direct-bonding surface after disruption of probe pad surfaces during test probing. An example method fills a sequence of metals and oxides over the disrupted probe pad surfaces and builds out a dielectric surface and interconnects for hybrid bonding. The interconnects may be connected to the probe pads, and/or to other electrical contacts of the substrate. A layer structure is described for increasing the yield and reliability of the resulting direct bonding process. Another example process builds the probe pads on a next-to-last metallization layer and then applies a direct bonding dielectric layer and damascene process without increasing the count of mask layers. Another example process and related layer structure recesses the probe pads to a lower metallization layer and allows recess cavities over the probe pads.
Description
BACKGROUND

Test probing is routinely carried out on substrates, such as substrates of semiconductor materials, and on dies and reconstituted panels in microelectronics. Test probes make physical contact with probe pads on the given substrate. But the test probes can leave “probe marks” and surface disruption (“protrusions”), which can rise above the level of the dielectric passivation layer usually present around or above the probe pads. While this occurrence may not be a problem for some types of finished substrates, wafers, and dies, the probe pad protrusions can ruin the flatness of the overall top surface of the substrate for purposes of direct bonding processes that would join the substrate efficiently to other surfaces, for example in wafer-to-wafer bonding or die-to-wafer bonding, for example.


Direct bonding processes include techniques that accomplish oxide-oxide direct-bonding between dielectrics, and also include techniques that accomplish hybrid bonding, which can bond metal interconnects together in an annealing step of the same operation that direct-bonds the dielectrics together.


Conventional solutions for probe pad damage include adding sacrificial metallization layers or sacrificial probe pads to wafers, but these solutions are awkward and expensive.





BRIEF DESCRIPTION OF THE DRAWINGS

Certain embodiments of the disclosure will hereafter be described with reference to the accompanying drawings, wherein like reference numerals denote like elements. It should be understood, however, that the accompanying figures illustrate the various implementations described herein and are not meant to limit the scope of various technologies described herein.



FIG. 1 is a diagram of a first example sequence of fabrication steps and resulting layer structures of an example wafer substrate, for mitigating damage to probe pads in preparation for direct bonding of the wafer substrate.



FIG. 2 is a diagram of a continuation of the example sequence of fabrication steps and resulting layer structures of FIG. 1, for mitigating damage to probe pads in preparation for direct bonding of the wafer substrate.



FIG. 3 is a diagram showing various layer structures of a wafer substrate with direct bonding enabled on one or both surfaces of the wafer substrate by the example process shown in FIGS. 1-2.



FIG. 4 is a diagram of a second example sequence of fabrication steps and resulting layer structures on a wafer substrate, for mitigating damage to probe pads in preparation for direct bonding of the wafer substrate.



FIG. 5 is a diagram of various embodiments of layer structures for a wafer substrate, in which probe pads are built in recessed cavities to prevent protrusions of disrupted probe pads from interfering with a direct bonding process at a direct bonding surface of the wafer substrate.



FIG. 6 is a diagram of the wafer substrate of FIG. 5, direct bonded to another wafer substrate, in which disrupted probe pads are isolated in recessed cavities that do not interfere with the direct bonding interface.



FIG. 7 is a diagram of an example technique of using a liquid metal in recessed cavities built around probe pads to make electrical connections during probe testing while preventing disruption of the solid surface of the probe pads.



FIG. 8 is a diagram of another example continuation of the sequence of fabrication steps and resulting layer structures of FIG. 1, for mitigating damage to probe pads in preparation for direct bonding of the wafer substrate, in which interconnects or bonding pads on a flat surface to be direct bonded are conductively connected to damaged probe pads via a copper region in direct contact with the damaged probe pads.



FIG. 9 is a flow diagram of an example method of preparing a wafer with probe pads for direct bonding after disruption of the probe pads, by filling-in and planarizing over the disrupted probe pads.



FIG. 10 is a flow diagram of an example method of preparing a wafer with probe pads for direct bonding after disruption of the probe pads, by eliminating a top metallization layer of the wafer and substituting a direct hybrid bonding layer as top layer of the wafer.



FIG. 11 is a flow diagram of an example method of preparing a wafer substrate with probe pads for direct bonding after disruption of the probe pads, by recessing the probe pads in recess cavities that isolate the probe pads from the direct bonding interface.





DETAILED DESCRIPTION

Overview


This disclosure describes methods and layer structures for mitigating surface damage of probe pads in preparation for direct bonding of a substrate, such as a reconstituted panel or the semiconductor substrate of a wafer or die.


One example method prepares a semiconductor wafer for direct bonding processes by restoring a flat surface suitable for direct-bonding after disruption of probe pad surfaces during test probing. The example method fills a sequence of metals and oxides over the disrupted probe pad surfaces and builds out a dielectric surface and interconnects for hybrid bonding. An example layer structure associated with the method is described for increasing the yield and reliability of the resulting direct bonding process. Another example process builds the probe pads on a next-to-last metallization layer and then applies a direct bonding dielectric layer and a patterning or damascene process without increasing the count of metallization mask layers. Another example process and related layer structure recesses the probe pads to a lower metallization layer than the conventional top layer for probe pads, and forms recess cavities over the probe pads, which do not interfere with direct bonding at the topmost surface. In one case, liquid metals can be used in the recess cavities for test probing without disrupting probe pad surfaces.


Example Processes and Layer Structures



FIG. 1 shows a section of an example substrate, in this case a semiconductor wafer (or die) 100 to be joined to another wafer, die, or substrate in a direct bonding process. The wafer (or die) 100 is made of semiconductor material, such as silicon, and includes one or more layers of metallization 102 and probe pads 104 for testing the wafer (or die) 100. The probe pads 104 may be aluminum metal (Al), copper (Cu), or other metal. The probe pads 104 may have protrusions 106 from contact with a test probe, which makes temporary contact during testing of the wafer (or die) 100. The protrusions 106 may rise above the top level of a surrounding dielectric, oxide, or nitride layer 108, preventing the top surface of the wafer (or die) 100 from attaining the flatness needed for direct bonding to another wafer or die.


An example method deposits or overfills a layer or region of metal 110, such as copper (Cu), over the probe pads 104 and over at least part of the protrusions 106. The metal deposited may overfill 111 in a layer that covers the field regions 112 of the wafer (or die) 100. In an implementation, an adhesion coating or a seed coating or a barrier coating of, for example, titanium (Ti), or Ta, or TaN, or TiN, or TiW (or a combination of these) may be deposited on at least the protrusions 106 and the probe pads 104 before the step of depositing or overfilling the metal 110 over the probe pads 104. The seed coating or barrier coating of Ti, Ta, TaN, TiN, or TiW, for example, may also cover larger areas of the field regions 112 prior to the step of depositing or overfilling the metal 110 over the probe pads 104 and potentially over these field regions 112 that may also have the barrier coating.


As shown in FIG. 2 (continues FIG. 1), the metal 110 deposited on the probe pads 104 and tops of the protrusions 106 are then planarized 114 by chemical mechanical polishing (CMP) or other polishing or flattening procedure to a flat surface 116 sufficient to meet a general planarization specification. The step of planarizing 114 both the metal 110 over the probe pads 104 and the protrusions 106 to the flat surface may include removing or polishing overfilled metal 111 on the field regions 112 of the wafer (or die) 100 to flatness until the metal 110 is removed from the field regions 112.


A layer of a dielectric material 118 is applied on the flat surface 116 provided by CMP. The layer of dielectric material 118 is a suitable material for direct bonding or hybrid bonding to another wafer, die, or substrate. In an implementation, the dielectric or oxide material 118 is a layer of “low temperature” oxide, applied by plasma enhanced chemical vapor deposition (PE-CVD), for example, such as a low temperature tetraethoxysilane (LT-TEOS), or another thermal oxide or other dielectric material suitable for direct bonding or hybrid bonding.


The example process then creates a pattern in the layer of dielectric 118 using a damascene or other technique to make openings 120 over electrical contacts 122, over through-silicon-vias (TSVs), or over other interconnects that are in contact with an underlying layer of metallization 102.


A metal 124 suitable for direct bonding is then deposited or plated in the openings 120 or in the pattern, to form interconnects. The deposited metal 124 may be prepared in various ways for the direct bonding process to occur at the topmost surface of the applied layers. In an implementation, a barrier layer of Ti, Ta, TaN, TiN, or TiW (or a combination of these) is deposited at least in the openings 120 or in both the openings 120 and the field regions 112 before depositing copper metal 124 or other metal in the openings 120 and on the field regions 112, both of which may have a seed layer or barrier coating applied to their surfaces after the step of patterning the layer of dielectric 118.


The metal 124 and the layer of dielectric 118, and the seed layer or barrier coating, when present, are then planarized with CMP or other technique to a flatness specification suitable for the direct bonding process or hybrid bonding process at the topmost surface 126.


In one example embodiment, the probe pads 104 are at least partially embedded in a layer of silicon nitride (Si3N4) or other dielectric. The metal 110 to be deposited, plated, or overfilled onto the probe pads 104 may be added up to a vertical height that reaches or fills-in to the top of a passivation layer, such as the silicon nitride or a silicon oxide layer 108, around the probe pads 104.



FIG. 3 shows various example stack structures for substrates, such as wafers (or dies) in this example, made possible in various implementations by applying the example method described with respect to FIGS. 1-2. The example stack structures enable direct bonding or hybrid bonding on one, or both, surfaces of the substrates, such as the wafer (or die) 100 and its built-up layers. The substrate may be part of a high bandwidth memory (HBM) wafer (or die) 100.


Example layer structure 302 for the wafer (or die) 100 provides a metal fill 110 and subsequent planarization 303 of disrupted probe pads 104 and the metal fill 110. A layer of dielectric 118 on the top and bottom of the structure 302 allows dielectric-to-dielectric (oxide-oxide) direct bonding at the bottom surface, and hybrid bonding of both dielectric regions and metal regions on the top surface of the structure 302. The oxide-oxide direct bonding (at the bottom surface) may be accomplished by oxide-to-oxide direct bonding, such as Zibond® brand direct bonding, for example (Xperi Corporation, San Jose, CA). The top surface provides a TSV reveal, with a surface possessing both metal regions and dielectric regions, enabled for hybrid bonding such as can be accomplished by DBI® brand hybrid bonding (Xperi Corporation, San Jose, CA).


Layer structure 304 has all the features of layer structure 302, above, with the addition of interconnect metal 124 added in a hybrid bonding layer at the bottom of the structure 304. In this example structure 304, the interconnect metal 124 is electrically connected to the same circuit that the probe pad 104 is also connected to, thereby offering the possibility of test probing the circuit before connecting the same circuit to another wafer, die, or substrate.


Layer structure 306 has all the features of the previous layer structure 304, above, with the addition of a fuller fill of interconnect metal 124 on a bottom hybrid bonding layer. Thus, the same hybrid bonding layer that mitigates the protrusions 106 of the disrupted probe pads 104 is used as a full hybrid bonding layer with many interconnects. The interconnect metal 124 may be provided as a regular or non-regular array, pattern, or layout that includes operational pads and/or non-operational “dummy” pads.


Layer structure 308 has all the features of the previous layer structure 306, with the addition of the fuller fill of interconnect metal 124 on both top and bottom surfaces of the structure, which are both hybrid bonding surfaces, enabling full 3D wafer (or die) stacking on both sides of the wafer (or die) 100, accomplished by hybrid bonding on both sides.


The example layer structures 302-308 may have, on a first side of the wafer (or die) 100, a probe pad 104 made of aluminum metal (Al) or copper metal (Cu) at least partially embedded in a layer of silicon nitride (Si3N4). A titanium (Ti) seed layer can be applied to at least protrusions 106 of the probe pad 104 caused by contact with a test probe. A copper region 110 is deposited on the titanium seed layer above the probe pad 104. Then, a first silicon oxide layer 118 for direct bonding is applied on the surface of the wafer (or die) with an opening over the copper region 110. Copper interconnects 124 for direct bonding are disposed through the silicon oxide layer 118 with at least some of the copper interconnects in contact with the copper region 110.


A tantalum (Ta) layer or other barrier layer material may also be applied between the copper region 110 and the silicon oxide layer 118. The wafer 100 may be a high bandwidth memory (HBM) wafer 100, including the vertical through-silicon vias (TSVs). The structures 302-308 may have other layers, such as another silicon oxide layer between the HBM wafer 100 and the layer of silicon nitride, and the silicon oxide layers 118 (or another dielectric) for direct bonding or hybrid bonding on one or more surfaces of the wafer 100.



FIG. 4 shows another layer structure and associated example method for preparing a substrate, such as a semiconductor wafer 400 for a direct bonding process after test probing the wafer 400 at the probe pads 104. This example method eliminates a usual metallization layer, such as a conventional M4 layer, and instead fabricates a hybrid bonding layer in place of the eliminated conventional layer. The example method, besides solving the disruption of the probe pads 104, results in no net increase in the number of mask layers for the wafer 400, while adding the capability of hybrid bonding at a top surface.


In greater detail, the example method includes creating the probe pads 104 in a metallization layer 402 that will underlie a top layer 404 for hybrid bonding to another wafer, die, or substrate. This example method builds the dielectric 406 of the top layer 404 directly over the probe pads 104, whereas the example method associated with FIGS. 1-2 filled-in a metal 110 directly over the probe pads 104 instead of the dielectric 406.


After disruption of the probe pads 104 by a test probe resulting in protrusions 106, the method deposits a layer of the dielectric 406, such as silicon oxide, above the probe pads 104. This layer of dielectric 406 is then planarized. Next, the layer of dielectric 406 is patterned to make openings over electrical contacts 408 in the underlying metallization layer 402. The openings are filled with metal 410 to make interconnects 410 to be direct bonded during the direct hybrid bonding process.


A top surface of the interconnects 410 and the layer of dielectric 406 is planarized to a flatness specification suitable for hybrid bonding of the metal regions 410 and the nonmetal regions 406, to another wafer, to a die, or to substrate.


In an implementation, the thickness of the underlying metallization layer 402 with respect to the top layer 404 may be increased, since a conventional layer may be eliminated in this example method.



FIG. 5 shows another example method for preparing a semiconductor wafer for a direct bonding process, and example layer structures associated with the method. In FIG. 5, a conventional structure 500 shows the probe pads 104 on a topmost metallization layer of the wafer. The example method, by contrast, recesses the probe pads 104 further down from the top layer, within the underlying layers being fabricated on the wafer. The example method then leaves a recess cavity 510 above the probe pads 104, thereby nullifying the effect of any protrusions 106 arising from the probe pads 104, which may rise up with sufficient vertical height to interfere with a layer above the probe pads 104, and may interfere with direct bonding to occur on the top layer, as would happen when the probe pads 104 are on top, as in the conventional structure 500.


The example method creates the probe pads 104 on a second-to-last metallization layer 502 or on a third-to-last metallization layer 504 of the wafer. After subsequent layers are built, the method creates a last layer 506 (topmost layer) including interconnects 508 compatible with a direct bonding process, the last layer 506 forming recess cavities 510 over the probe pads 104.


When the probe pads 104 are created on the third-to-last metallization layer 504, the second-to-last metallization layer 502 also forms part of the recess cavities 510 over the probe pads 104.


In one variation, the example method includes increasing a thickness of the second-to-last metallization layer 502 or the third-to-last metallization layer 504 on which the probe pads 104 reside, with respect to a subsequent layer above.


In FIG. 6, when the wafer 506, including at least the interconnects 508, is direct bonded at interface 602 to another wafer 604, die, or substrate, the recess cavities 510 are compatible with the direct bonding process, remaining open cavities 510 in one implementation.



FIG. 7 shows an example structure depicting another example process, related to the method described with respect to FIGS. 5-6. In FIG. 7, a liquid metal 702, such as gallium (Ga) may be placed in the recess cavities 510 to make an electrical contact between a test probe and a respective probe pad 104 without disrupting a metal surface of the probe pad 104. This example method creates the same recess cavities 510 as described with respect to FIGS. 5-6, but uses the recess cavities 510 to mitigate the problem of damaged probe pads 104, albeit in a different manner. The method of FIGS. 5-6 allows the protrusions 106 of the probe pads 104 to exist, and just isolates the protrusions 106 in the recess cavity 510, away from the direct bonding interface 602. The method of FIG. 7 prevents damage to the probe pads 104 in the first place, by making test probe connections through the liquid metal 702, ideally without contacting the solid surface of the probe pads 104.



FIG. 8 shows another process in continuation of the initial process steps shown in FIG. 1. As in FIG. 1, but referring to FIG. 8, a metal 110 is deposited directly on the probe pads 104 and on top of the protrusions 106 or at least around the protrusions 106 caused by probe damage, and this metal 110 and the tops (if any) of the protrusions 106 undergo a planarization process 114 by chemical mechanical polishing (CMP) or another flattening or polishing procedure to become a flat surface 116 sufficient to meet a general planarization specification. The step of planarizing 114 applied to both the metal 110 over or around the probe pads 104 and the protrusions 106 to create the flat surface 116 may include removing or polishing overfilled metal on the field regions of the wafer 100 to flatness until the metal 110 is removed from those field regions.


A layer of a dielectric material 118 is then applied on the flat surface 116 provided by CMP. The layer of dielectric material 118 is a suitable material for direct bonding or hybrid bonding to another wafer, die, or substrate. In an implementation, the dielectric or oxide material 118 is a layer of “low temperature” oxide, a low temperature tetraethoxysilane (LT-TEOS), or another dielectric material suitable for direct bonding or direct hybrid bonding as is known in the art.


The example process then creates a pattern 120 & 800 in the layer of dielectric 118 using a damascene or other technique to make openings 120 & 800 over electrical contacts 122, over through-silicon-vias (TSVs), or over other interconnects that are in contact with an underlying layer of metallization 102. In contrast to FIG. 2, openings are also made (or only made) over the probe pads 104 and over the metal 110 that has been deposited and subjected to planarization 114, over the probe pads 104.


A metal 124 & 802 suitable for direct bonding is then deposited or plated in the openings 120 & 800 or in the pattern, to form interconnects to be hybrid bonded at the direct bonding interface 804. The deposited metal 124 & 802 may be prepared in various ways for the hybrid bonding process to occur at the topmost surface 804 of the applied layers. In an implementation, a barrier layer of Ti, Ta, TaN, TiN, or TiW (or a combination of these) can be deposited before depositing the metal 124 & 802, such as copper or aluminum or another metal, in the openings 120 & 800 if intermixing of metal and semiconductor is an issue in a particular configuration, the barrier layer gets placed after patterning 120 & 800 the layer of dielectric 118.


The metal 124 & 802 and the layer of dielectric 118 is then planarized with CMP or other technique to a flatness specification suitable for the direct bonding process or hybrid bonding process at the topmost surface 804.


In one example embodiment, the probe pads 104 are at least partially embedded in a layer of silicon nitride (Si3N4) or other dielectric. The metal 110 to be deposited, plated, or overfilled onto the probe pads 104 may be added up to a vertical height that reaches or fills-in to the top of a passivation layer, such as a silicon nitride or a silicon oxide layer around the probe pads 104.


This example process of FIG. 8 provides a direct bonding surface 804 for hybrid bonding of the oxide layer 118 and the flat metal interconnects 124 & 802, in which some of the interconnects 802 are conductively connected to the damaged probe pads 104 below via the deposited metal 110. In an implementation, the interconnects 802 are only over the probe pads 104, or only over the electrical contacts 122 of a metallization layer 102, as in FIG. 2.


The hybrid bonding could also occur at flat surface 116 after planarization 114 and before placement of the dielectric layer 118 and interconnects 124 & 802. In an embodiment, the bonding surface 108 may be plasma activated in preparation for bonding.


If the wafer 100 is a substrate made of a dielectric or oxide material suitable for hybrid bonding, and not a semiconductor material, then the hybrid bonding may occur at surface 116 and one or more additional layers of metallization 118 & 124 & 802 may not be not needed.


The implementations shown in FIG. 8 are compatible with the interconnect structures and layer configurations illustrated in FIG. 3.


Example Methods



FIG. 9 shows an example method 900 of preparing a wafer with probe pads for direct bonding after disruption of the probe pads, by filling-in and planarizing over the disrupted probe pads. Operations of the example method 900 are shown in individual blocks.


At block 902, a wafer of semiconductor material is received, including at least one layer of metallization, and probe pads for testing the wafer. The probe pads may have protrusions and surface disturbances from contact with a test probe.


At block 904, a metal is deposited over the probe pads to cover at least part of the protrusions.


At block 906, the metal over the probe pads and protrusions is planarized into a flat surface.


At block 908, a layer of a dielectric is applied over the flat surface as a material for direct bonding.


At block 910, the layer of dielectric is patterned to make openings over electrical contacts in an underlying layer of metallization. The openings may be made by etching, a damascene process, or even by conventional via creation.


At block 912, a metal is deposited in the openings for making interconnects during direct bonding between the wafer and another wafer, or die, or substrate being direct bonded to.


At block 914, the metal in the openings, as well as the layer of dielectric is planarized to a flatness sufficient for direct bonding or direct hybrid bonding.



FIG. 10 shows an example method of preparing a wafer with probe pads for direct bonding after disruption of the probe pads, by eliminating a top metallization layer of the wafer and substituting a hybrid bonding layer as top layer of the wafer. Operations of the example method 1000 are shown in individual blocks.


At block 1002, probe pads are created in an underlying metallization layer disposed beneath a top layer of a semiconductor wafer.


At block 1004, after disruption of the probe pads by a test probe, a layer of dielectric is deposited above the probe pads.


At block 1006, the applied layer of dielectric is planarized to flatness.


At block 1008, the layer of dielectric is patterned to make openings over electrical contacts in the underlying metallization layer.


At block 1010, the openings are plated or filled with a metal to make interconnects during direct bonding.


At block 1012, the top surface of the interconnects and the layer of dielectric are planarized to provide a flat surface with metal regions and nonmetal regions for direct bonding, such as hybrid bonding.



FIG. 11 shows an example method of preparing a substrate, such as a wafer with probe pads for direct bonding after disruption of the probe pads, by recessing the probe pads in recess cavities that isolate the probe pads from the direct bonding interface. Operations of the example method 1100 are shown in individual blocks.


At block 1102, probe pads are created for a wafer substrate being fabricated for microelectronics by recessing the probe pads to a second-to-last or third-to-last metallization layer of the wafer substrate.


At block 1104, a last layer is created for the wafer substrate consisting of a dielectric and at least metal interconnects compatible with a direct bonding process, wherein the last layer has voids for forming recess cavities over the probe pads disposed on an underlying metallization layer.


At block 1106, when the probe pads are created on the third-to-last metallization layer, then the second-to-last metallization layer also forms part of the recess cavities over the probe pads.


At block 1108, the wafer is direct bonded to another wafer, a die, or a substrate, including direct bonding of the dielectric, and direct bonding of the interconnects. The recess cavities are compatible with the direct bonding process, and in one implementation remain open cavities after the direct bonding process.


In the foregoing description and in the accompanying drawings, specific terminology and drawing symbols have been set forth to provide a thorough understanding of the disclosed embodiments. In some instances, the terminology and symbols may imply specific details that are not required to practice those embodiments. For example, any of the specific dimensions, quantities, material types, fabrication steps and the like can be different from those described above in alternative embodiments. The term “coupled” is used herein to express a direct connection as well as a connection through one or more intervening circuits or structures. The terms “example,” “embodiment,” and “implementation” are used to express an example, not a preference or requirement. Also, the terms “may” and “can” are used interchangeably to denote optional (permissible) subject matter. The absence of either term should not be construed as meaning that a given feature or technique is required.


Various modifications and changes can be made to the embodiments presented herein without departing from the broader spirit and scope of the disclosure. For example, features or aspects of any of the embodiments can be applied in combination with any other of the embodiments or in place of counterpart features or aspects thereof. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.


While the present disclosure has been disclosed with respect to a limited number of embodiments, those skilled in the art, having the benefit of this disclosure, will appreciate numerous modifications and variations possible given the description. It is intended that the appended claims cover such modifications and variations as fall within the true spirit and scope of the disclosure.

Claims
  • 1. A structure for direct bonding, including a buried probe pad of a substrate for microelectronics, the structure comprising: the probe pad formed on a first side of the substrate, the probe pad at least partially embedded in a layer of a dielectric material, the probe pad having an upper surface sized for test contact;a first insulating layer formed over the upper surface of the probe pad on the first side of the substrate, the first insulating layer surrounding operational interconnects on opposite lateral sides of the probe pad, wherein the first insulating layer and the operational interconnects on opposite lateral sides of the probe pad are part of a bonding surface prepared for direct hybrid bonding; anda second insulating layer on a second side of the substrate opposite the first side, the second insulating layer prepared for direct bonding.
  • 2. The structure of claim 1, wherein the probe pad comprises at least one mark from probing the probe pad.
  • 3. The structure of claim 2, wherein the at least one mark comprises at least one protrusion.
  • 4. The structure of claim 2, further comprising a copper interconnect through the first insulating layer, wherein the copper interconnect is conductively connected to the probe pad.
  • 5. The structure of claim 4, wherein the probe pad comprises aluminum.
  • 6. The structure of claim 1, further comprising: vertical through-silicon vias (TSVs) in the substrate.
  • 7. The structure of claim 1, wherein the probe pad is in a second-to-last metallization layer of the substrate.
  • 8. The structure of claim 1, wherein the probe pad is in a third-to-last metallization layer of the substrate.
  • 9. The structure of claim 1, wherein the operational interconnects through the first insulating layer do not physically connect the upper surface of the probe pad to a direct hybrid bonding interface.
  • 10. The structure of claim 1, further comprising second interconnects through the second insulating layer such that the second insulating layer comprises a second direct hybrid bonding surface.
  • 11. A bonded structure, including a buried probe pad of a first substrate for microelectronics, the structure comprising: the first substrate including the probe pad at least partially embedded in a layer of a dielectric material, the probe pad having an upper surface sized for test contact;a first insulating layer formed over the upper surface of the probe pad;a first operational copper interconnect for direct bonding disposed through the first insulating layer, wherein the first operational copper interconnect is positioned on a first lateral side of the probe pad;a second operational copper interconnect for direct bonding disposed through the first insulating layer and electrically connected to metallization of the first substrate, wherein the second operational copper interconnect is positioned on a second lateral side of the probe pad opposite the first lateral side; anda second substrate including a second insulating layer and third and fourth operational copper interconnects through the second insulating layer, wherein the second insulating layer is directly bonded to the first insulating layer of the first substrate, and the first and second operational copper interconnects are directly bonded to the third and fourth operational copper interconnects, such that an interface between the first and second substrates is a direct hybrid bonding interface.
  • 12. The bonded structure of claim 11, wherein the probe pad comprises at least one mark from probing the probe pad.
  • 13. The bonded structure of claim 12, wherein the at least one mark comprises at least one protrusion.
  • 14. The bonded structure of claim 12, further comprising a fifth copper interconnect through the first insulating layer, the fifth copper interconnect positioned laterally between the first and second operational copper interconnects and electrically contacting the probe pad.
  • 15. The bonded structure of claim 12, wherein the probe pad comprises aluminum.
  • 16. The bonded structure of claim 12, wherein the first operational copper interconnect comprises a barrier layer.
  • 17. The bonded structure of claim 16, wherein the barrier layer comprises tantalum.
  • 18. The bonded structure of claim 12, wherein the first operational copper interconnect is part of a metallization layer, and the metallization layer does not electrically contact the upper surface of the probe pad.
  • 19. The bonded structure of claim 12, wherein the probe pad is in a second-to-last metallization layer of the first substrate.
  • 20. The bonded structure of claim 12, wherein the probe pad is in a third-to-last metallization layer of the first substrate and at least a portion of the first operational copper interconnect is formed in second-to-last and last metallization layers of the first substrate.
  • 21. A semiconductor device for a direct hybrid bonding process, comprising: a probe pad in a metallization layer of a semiconductor substrate, a contact surface of the probe pad comprising a mark characteristic of contact with a test probe;an insulating layer over the contact surface of the probe pad, the insulating layer including openings over electrical contacts in the substrate, the openings including a first opening and a second opening on opposite lateral sides of the probe pad; anda metal in the openings;wherein top surfaces of the metal, including the metal in the first and second openings, and the insulating layer provide a direct hybrid bonding surface with metal and nonmetal regions for the direct hybrid bonding process.
  • 22. The semiconductor device of claim 21, wherein the openings further comprise a third opening over the probe pad, and further comprising a metal layer on the probe pad, the metal layer formed between the probe pad and the metal in the third opening over the probe pad.
  • 23. The semiconductor device of claim 22, wherein the metal in the third opening over the probe pad electrically contacts the probe pad.
  • 24. The semiconductor device of claim 21, wherein the metallization layer of the probe pad is a second-to-last metallization layer of the substrate, and the metal in the openings is a last metallization layer of the substrate.
  • 25. The semiconductor device of claim 21, wherein the probe pad is formed of a second metal different from the metal in the openings.
  • 26. The semiconductor device of claim 25, wherein the second metal comprises aluminum and the metal in the openings comprises copper interconnects.
  • 27. A method of preparing a semiconductor substrate for direct hybrid bonding, comprising: providing the semiconductor substrate, including a plurality of conductive pads;testing circuitry of the semiconductor substrate including contacting at least one of the conductive pads with a test probe;after testing, depositing an insulating layer above the conductive pads;patterning the insulating layer to form openings on opposite lateral sides of the at least one conductive pad;providing metal in the openings to form operational bonding pads; andplanarizing the metal and the insulating layer to provide a surface having metal and nonmetal regions for direct hybrid bonding, the metal regions including the operational bonding pads in the openings on opposite lateral sides of the at least one conductive pad.
  • 28. The method of claim 27, further comprising depositing a metal material on the at least one conductive pad after testing and before depositing the insulating layer.
  • 29. The method of claim 27, wherein depositing the insulating layer comprises depositing on the at least one of the conductive pads.
  • 30. The method of claim 27, further comprising providing electrical connection through one or more additional insulating layers between the metal in the openings and at least some of the conductive pads.
  • 31. The method of claim 30, wherein providing electrical connection includes connecting the metal in one of the openings with the at least one conductive pad.
RELATED APPLICATIONS

This patent application is a continuation of U.S. patent application Ser. No. 16/845,913, filed on Apr. 10, 2020, which is a non-provisional of U.S. Provisional Patent Application No. 62/837,004, filed on Apr. 22, 2019, the disclosures of which are hereby incorporated by reference herein, in their entireties.

US Referenced Citations (224)
Number Name Date Kind
5391516 Wojnarowski Feb 1995 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
6080640 Gardner et al. Jun 2000 A
6140665 Chevallier Oct 2000 A
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6887769 Kellar et al. May 2005 B2
6908027 Tolchinsky et al. Jun 2005 B2
7045453 Canaperi et al. May 2006 B2
7061263 Ong Jun 2006 B1
7105980 Abbott et al. Sep 2006 B2
7193423 Dalton et al. Mar 2007 B1
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
8183127 Patti et al. May 2012 B2
8349635 Gan et al. Jan 2013 B1
8377798 Peng et al. Feb 2013 B2
8441131 Ryan May 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
9093350 Endo et al. Jul 2015 B2
9142517 Liu et al. Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269756 Uzoh Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10418277 Cheng et al. Sep 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10508030 Katkar et al. Dec 2019 B2
10522499 Enquist et al. Dec 2019 B2
10707087 Uzoh et al. Jul 2020 B2
10784191 Huang et al. Sep 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10840135 Uzoh Nov 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10854578 Morein Dec 2020 B2
10861808 Chen et al. Dec 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10886177 DeLaCruz et al. Jan 2021 B2
10892246 Uzoh Jan 2021 B2
10923408 Huang et al. Feb 2021 B2
10923413 DeLaCruz Feb 2021 B2
10950547 Mohammed et al. Mar 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10985133 Uzoh Apr 2021 B2
10991804 DeLaCruz et al. Apr 2021 B2
10998292 Lee et al. May 2021 B2
11004757 Katkar et al. May 2021 B2
11011494 Gao et al. May 2021 B2
11011503 Wang et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11037919 Uzoh et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11069734 Katkar Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11127738 DeLaCruz et al. Sep 2021 B2
11158573 Uzoh et al. Oct 2021 B2
11158606 Gao et al. Oct 2021 B2
11169326 Huang et al. Nov 2021 B2
11171117 Gao et al. Nov 2021 B2
11176450 Teig et al. Nov 2021 B2
11195748 Uzoh et al. Dec 2021 B2
11205625 DeLaCruz et al. Dec 2021 B2
11244920 Uzoh Feb 2022 B2
11256004 Haba et al. Feb 2022 B2
11264357 DeLaCruz et al. Mar 2022 B1
11276676 Enquist et al. Mar 2022 B2
11296044 Gao et al. Apr 2022 B2
11329034 Tao et al. May 2022 B2
11348898 DeLaCruz et al. May 2022 B2
11355404 Gao et al. Jun 2022 B2
11355443 Huang et al. Jun 2022 B2
11515291 DeLaCruz et al. Nov 2022 B2
11699662 Greco et al. Jul 2023 B2
20020003307 Suga Jan 2002 A1
20020135055 Cho Sep 2002 A1
20040084414 Sakai et al. May 2004 A1
20060057945 Hsu et al. Mar 2006 A1
20070111386 Kim et al. May 2007 A1
20110156032 Zhang Jun 2011 A1
20130072011 Zhang Mar 2013 A1
20140175655 Chen et al. Jun 2014 A1
20150064498 Tong Mar 2015 A1
20160343682 Kawasaki Nov 2016 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang May 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190333550 Fisch Oct 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385963 Chen Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20190393194 Chen Dec 2019 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200118973 Wang et al. Apr 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210098412 Haba et al. Apr 2021 A1
20210118864 DeLaCruz et al. Apr 2021 A1
20210143125 DeLaCruz et al. May 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193603 DeLaCruz et al. Jun 2021 A1
20210193624 DeLaCruz et al. Jun 2021 A1
20210193625 Katkar et al. Jun 2021 A1
20210233850 Greco et al. Jul 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
20220285303 Mirkarimi et al. Sep 2022 A1
20220319901 Suwito et al. Oct 2022 A1
20220320035 Uzoh et al. Oct 2022 A1
20220320036 Gao et al. Oct 2022 A1
20230005850 Fountain, Jr. Jan 2023 A1
20230019869 Mirkarimi et al. Jan 2023 A1
20230036441 Haba et al. Feb 2023 A1
20230067677 Lee et al. Mar 2023 A1
20230069183 Haba Mar 2023 A1
20230100032 Haba et al. Mar 2023 A1
20230115122 Uzoh et al. Apr 2023 A1
20230122531 Uzoh Apr 2023 A1
20230123423 Gao et al. Apr 2023 A1
20230125395 Gao et al. Apr 2023 A1
20230130259 Haba et al. Apr 2023 A1
20230132632 Katkar et al. May 2023 A1
20230140107 Uzoh et al. May 2023 A1
20230142680 Guevara et al. May 2023 A1
20230154816 Haba et al. May 2023 A1
20230154828 Haba et al. May 2023 A1
20230187264 Uzoh et al. Jun 2023 A1
20230187317 Uzoh Jun 2023 A1
20230187412 Gao et al. Jun 2023 A1
20230197453 Fountain, Jr. et al. Jun 2023 A1
20230197496 Theil Jun 2023 A1
20230197559 Haba et al. Jun 2023 A1
20230197560 Katkar et al. Jun 2023 A1
20230197655 Theil et al. Jun 2023 A1
20230207402 Fountain, Jr. et al. Jun 2023 A1
20230207437 Haba Jun 2023 A1
20230207474 Uzoh et al. Jun 2023 A1
20230207514 Gao et al. Jun 2023 A1
20230215836 Haba et al. Jul 2023 A1
20230245950 Haba et al. Aug 2023 A1
20230268300 Uzoh et al. Aug 2023 A1
20230299029 Theil et al. Sep 2023 A1
20230343734 Uzoh et al. Oct 2023 A1
20230360950 Gao Nov 2023 A1
20230361074 Uzoh et al. Nov 2023 A1
20230369136 Uzoh et al. Nov 2023 A1
20230375613 Haba et al. Nov 2023 A1
20240038702 Uzoh Feb 2024 A1
Foreign Referenced Citations (4)
Number Date Country
2002-353416 Dec 2002 JP
2013-033786 Feb 2013 JP
2018-160519 Oct 2018 JP
WO 2005043584 May 2005 WO
Non-Patent Literature Citations (12)
Entry
Morrison, Jim et al., “Samsung Galaxy S7 Edge Teardown,” Tech Insights, posted Apr. 24, 2016, https://www.techinsights.com/blog/samsung-galaxy-s7-edge-teardown, printed Jul. 11, 2023, 9 pages.
Panth, Shreepad, Probe-Pad Placement for Prebond Test of 3-D ICs, IEEE Transactions on Components, Packaging and Manufacturing Technology, Apr. 2016, vol. 6, No. 4, pp. 637-644.
International Search Report and Written Opinion dated Jul. 2019, for WO 2020/028080, 9 pages.
Ker, Ming-Dou et al., “Fully process-compatible layout design on bond pad to improve wire bond reliability in CMOS Ics,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Moriceau, H. et al., “Overview of recent direct wafer bonding advances and applications,” Advances in Natural Sciences-Nanoscience and Nanotechnology, 2010, 11 pages.
Nakanishi, H. et al., “Studies on SiO2—SiO2 bonding with hydrofluoric acid. Room temperature and low stress bonding technique for MEMS,” Sensors and Actuators, 2000, vol. 79, pp. 237-244.
Oberhammer, J. et al., “Sealing of adhesive bonded devices on wafer level,” Sensors and Actuators A, 2004, vol. 110, No. 1-3, pp. 407-412, see pp. 407-412, and Figures 1 (a)-1 (I), 6 pages.
Plobi, A. et al., “Wafer direct bonding: tailoring adhesion between brittle materials,” Materials Science and Engineering Review Journal, 1999, R25, 88 pages.
Suga et al., “Bump-less interconnect for next generation system packaging,” IEEE, 2001 and ECTC 2001, 6 pages.
Sony IMX260 image, cross section of Sony dual-pixel sensor product labeled IMX260, showing peripheral probe and wire bond pads in a bonded structure. The part in the image was shipped in Apr. 2016. Applicant makes no representation that the part in the image is identical to the part identified in the separately submitted reference Morrison et al. (Tech Insights article dated Apr. 24, 2016), describing and showing a similar sensor product within the Samsung Galaxy S7; however the imaged part and the part shown in the Morrison et al. article do share the part name “Sony IMX260.”
Bush, Steve, “Electronica: Automotive power modules from On Semi,” ElectronicsWeekly.com, indicating an Onsemi AR0820 product was to be demonstrated at a Nov. 2018 trade show, https://www.electronicsweekly.com/news/products/power-supplies/electronica-automotive-power-modules-semi-2018-11/ (published Nov. 8, 2018; downloaded Jul. 26, 2023).
Onsemi AR0820 image, cross section of a CMOS image sensor product. The part in the image was shipped on Sep. 16, 2021. Applicant makes no representation that the part in the image is identical to the part identified in the separately submitted reference BUSH, Nov. 8, 2018, ElectronicsWeekly.com (“BUSH article”); however, the imaged part and the part shown in the BUSH article share the part number “Onsemi AR0820.”.
Related Publications (1)
Number Date Country
20220285236 A1 Sep 2022 US
Provisional Applications (1)
Number Date Country
62837004 Apr 2019 US
Continuations (1)
Number Date Country
Parent 16845913 Apr 2020 US
Child 17825240 US