Process gas management for an inductively-coupled plasma deposition reactor

Information

  • Patent Grant
  • 10023960
  • Patent Number
    10,023,960
  • Date Filed
    Wednesday, March 22, 2017
    7 years ago
  • Date Issued
    Tuesday, July 17, 2018
    5 years ago
Abstract
Embodiments related to hardware and methods for processing a semiconductor substrate are disclosed. One example film deposition reactor includes a process gas distributor including a plasma gas-feed inlet located to supply plasma gas to a plasma generation region within the film deposition reactor and a precursor gas-feed inlet located to supply film precursor gas downstream of the plasma generation region; an insulating confinement vessel configured to maintain a plasma generation region at a reduced pressure within the film deposition reactor and an inductively-coupled plasma (ICP) coil arranged around a portion of a sidewall of the insulating confinement vessel and positioned so that the sidewall separates the plasma generation region from the ICP coil; and a susceptor configured to support the semiconductor substrate so that a film deposition surface of the semiconductor substrate is exposed to a reaction region formed downstream of the process gas distributor.
Description
BACKGROUND

Some semiconductor fabrication processes have low thermal budgets. For example, some processes used to deposit films after the formation of the first metal interconnect layer may be deposited at a low temperature to avoid electro-migration of the metal and potential damage to the device. Thus, thermal activation of some deposition reactions may be difficult under such conditions. Some previous approaches have attempted to employ easily decomposed molecules as starting points for film formation. However, these chemicals can be difficult to handle and may still suffer from low deposition rates. Other approaches have attempted to accelerate deposition using plasma energy. However, some devices may be sensitive to plasma damage under such conditions and some molecules may undergo undesirable reactions when exposed to plasma energy.


SUMMARY

Various embodiments are disclosed herein that relate to hardware and methods for processing a semiconductor substrate. In one embodiment, a film deposition reactor including an inductively-coupled plasma (ICP) comprises a process gas distributor including a plasma gas-feed inlet located to supply plasma gas to a plasma generation region within the film deposition reactor and a precursor gas-feed inlet located to supply film precursor gas downstream of the plasma generation region. The example film deposition reactor also includes an insulating confinement vessel configured to maintain a plasma generation region at a reduced pressure within the film deposition reactor and an ICP coil arranged around a portion of a sidewall of the insulating confinement vessel and positioned so that the sidewall separates the plasma generation region from the ICP coil. The example film deposition reactor further includes a susceptor configured to support the semiconductor substrate so that a film deposition surface of the semiconductor substrate is exposed to a reaction region formed downstream of the process gas distributor.


This Summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter. Furthermore, the claimed subject matter is not limited to implementations that solve any or all disadvantages noted in any part of this disclosure.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 schematically shows an example film deposition process reactor according to an embodiment of the present disclosure.



FIG. 2 schematically shows a cross-section of an example process gas distributor assembly according to an embodiment of the present disclosure.



FIG. 3 schematically shows a perspective view of an example precursor gas distributor included in the process gas distributor illustrated in FIG. 2.



FIG. 4 schematically shows a cross-section of another example precursor gas distributor according to an embodiment of the present disclosure.



FIG. 5 schematically shows a perspective view of the example precursor gas distributor shown in FIG. 4.



FIG. 6 schematically shows a cross-section of another example precursor gas distributor according to an embodiment of the present disclosure.



FIG. 7 schematically shows a perspective view of the example precursor gas distributor shown in FIG. 6.



FIG. 8 schematically shows an example of an insulating confinement vessel having a sidewall with a laminar flow profile according to an embodiment of the present disclosure.



FIG. 9 schematically shows another example of an insulating confinement vessel having a sidewall with a laminar flow profile according to an embodiment of the present disclosure.



FIG. 10 shows a flowchart for an example method of processing a semiconductor substrate according to an embodiment of the present disclosure.



FIG. 11 schematically shows, according to an embodiment of the present disclosure, an example of a semiconductor processing tool including one or more film deposition process reactors.





DETAILED DESCRIPTION

Semiconductor devices may include thin films formed by various deposition techniques. Chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes are sometimes used to deposit films used in semiconductor device fabrication processes. In some settings, thermal budget considerations may affect film formation conditions. For example, supplying thermal energy suitable to activate some molecular decomposition processes in CVD or ALD processes may alter device performance depending on a particular manufacturing stage where the deposition process is to be used.


In response, some deposition processes activate using a plasma. For example, radicals formed from a suitable plasma gas may react with species already chemisorbed on the semiconductor substrate, or radical species may themselves chemisorb to the substrate. However, plasma activation may present challenges for some processes. For example, reactant molecules adsorbed to other surfaces in the reactor may be activated by the radicals. Such activation may potentially lead to film accumulation and/or particle formation on those surfaces that may cause defects in the device and/or the processing tool.


Accordingly, the disclosed embodiments relate to hardware and methods for processing a semiconductor substrate. In one embodiment, a film deposition reactor including an inductively-coupled plasma (ICP) comprises a process gas distributor including a plasma gas-feed inlet located to supply plasma gas to a plasma generation region within the film deposition reactor and a precursor gas-feed inlet located to supply film precursor gas downstream of the plasma generation region. The example film deposition reactor also includes an insulating confinement vessel configured to maintain a plasma generation region at a reduced pressure within the film deposition reactor and an ICP coil arranged around a portion of a sidewall of the insulating confinement vessel and positioned so that the sidewall separates the plasma generation region from the ICP coil. The example film deposition reactor further includes a susceptor configured to support the semiconductor substrate so that a film deposition surface of the semiconductor substrate is exposed to a reaction region formed downstream of the process gas distributor.


Thus, harnessing plasma energy to activate/enhance deposition reactions may avoid some performance-altering effects that may result from thermal activation. Introducing plasma-sensitive precursors downstream of a plasma generation region may prevent unwanted reaction of those precursors. For example, gas phase reactions or condensed phase reactions of plasma-sensitive precursors may potentially be avoided by the gas distribution schemes disclosed herein. In turn, film formation may be focused on the exposed substrate instead of various surfaces of the reactor, such as surfaces within the plasma generation region. Film deposited on such surfaces may cause Faraday shielding of plasma generation hardware, clogging in gas distribution nozzles, and/or the generation of small particles that may be transported to the substrate surfaces.



FIG. 1 schematically shows an embodiment of a semiconductor processing module 100 for processing a semiconductor substrate 106. Semiconductor processing module 100 includes a film deposition reactor 102 and an ICP system 104 comprising an RF power source and an ICP coil. Film deposition reactor 102 is configured to deposit one or more thin films on substrate 106 under low pressure conditions. For example, a plasma generated in a plasma generation region 108 is used to produce radicals. In turn, those radicals are supplied to substrate 106 for deposition of a selected film.


In the example shown in FIG. 1, substrate 106 is shown supported on a susceptor 109, which may be heated by a heater to provide thermal energy to substrate 106 in some embodiments. Further, in some embodiments, susceptor 109 may be raised or lowered by an elevator so that substrate 106 may be transferred in and out of reactor 102 and positioned within reaction region 110.


Film deposition reactor 102 includes a process gas distributor 112 configured to deliver gases suitable for direct plasma activation to plasma generation region 108 while delivering one or more other process gases to reaction region 110 without exposing them to plasma conditions. For example, process gas distributor 112 may supply one or more plasma gases and, in some embodiments, one or more reactant gases, to plasma generation region 108. Process gas distributor 112 may also supply one or more plasma-sensitive precursor gases to reaction region 110.


As used herein, a precursor gas refers to a plasma-sensitive process gas (e.g., process gases that may undergo undesirable reactions when exposed to plasma conditions, potentially leading to particle generation in some cases) that contributes matter to a film formed on a substrate in a film forming reaction. In some examples, precursor gases may include various metal-organic or metal-halide compounds having ligands that may easily be eliminated upon exposure to plasma energy. Process gases that are comparatively less sensitive to plasma conditions and that may either contribute to plasma generation (e.g., a plasma gas) or that may be desirably activated by a plasma, such as some reactant gases that may participate in film-forming reactions, may be supplied to reaction region 110 directly or from an upstream location. In turn, radicals and plasma-sensitive process gases may be supplied to reaction region 110 so that film deposition processing may proceed while potentially undesirable plasma-mediated reactions of the precursor gases may be mitigated.



FIG. 2 schematically shows an embodiment of a process gas distributor 112 for use with film deposition reactor 102. In the embodiment shown in FIG. 2, process gas distributor 112 includes a plasma gas distributor 114 and a precursor gas distributor 116. The gas distributors are supported by a support structure 118 and electrically separated from one another by an insulator 120 as described in more detail below. While the embodiment shown in FIG. 2 depicts a process gas distributor 112 that may be assembled from tube-style subunits (e.g., precursor gas distributor 116 may be fabricated from suitable tubing), it will be appreciated that process gas distributor 112 may be fabricated in any suitable way from any suitable materials.


Plasma gas distributor 114 includes one or more plasma gas-feed inlets 202. Plasma gas-feed inlets 202 are positioned to supply plasma gas to plasma generation region 108 within film deposition reactor 102. Any suitable configuration/orientation of plasma gas-feed inlets 202 may be employed without departing from the scope of the present disclosure. For example, in some embodiments, plasma gas-feed inlets 202 may be configured to contribute to the formation of a desired flow regime within plasma generation region 108. For example, a laminar flow regime may be generated within plasma generation region 108 to discourage formation of backflow and/or eddy currents that might dislodge and circulate small particles toward substrate 106 or that might cause precursor gas to be directed toward plasma generation region 108. In some embodiments, plasma gas-feed inlets 202 may be radially arranged, as shown in FIG. 2, or angled, or otherwise suitably oriented to direct exiting plasma gas within plasma generation region 108. Moreover, in some embodiments plasma gas-feed inlets 202 may include suitable nozzles, reducers and/or expanders to adjust the velocity of the exiting plasma gas.


Plasma gas is supplied to plasma gas-feed inlets 202 via one or more plasma gas supply lines (see plasma gas supply line 122 in FIG. 1). In some embodiments, plasma gas supply lines 122 may be arranged within support structure 118. Including gas supply lines within support structure 118 may protect the gas lines from damage during maintenance operations. Further, in some settings, the adventitious formation of conductive films on some gas lines may lead to unintended electrical leakage across insulator 120. Locating the gas lines within support structure 118 may prevent such film formation on the gas lines.


In some embodiments, plasma gas distributor 114 may include one or more reactant gas-feed inlets 204 positioned to supply reactant gas to plasma generation region 108. Reactant gas may be delivered to reactant gas-feed inlets 204 via a reactant gas supply line (see reactant gas supply line 124 in FIG. 1). In some embodiments, precursor gas supply lines 126 may be included within support structure 118. In some embodiments, reactant gas may be supplied to plasma generation region 108 via one or more plasma gas-feed inlets 202. In such embodiments, reactant gas may be supplied to plasma gas-feed inlets 202 via a dedicated reactant gas supply line or by feeding reactant gas through plasma gas supply lines 122.


Precursor gas distributor 116 includes a plurality of precursor gas-feed inlets 206 and a plurality of plasma pass-throughs 208. Precursor gas-feed inlets 206 are provided so that precursor gas is directed toward reaction region 110, and ultimately an exposed surface of substrate 106. Similarly, plasma pass-throughs 208 are openings provided in precursor gas distributor 116 so that radicals may be delivered to reaction region 110.


Plasma pass-throughs 208 deliver radicals generated in plasma generation region 108 to reaction region 110. It will be appreciated that plasma passthroughs 208 of any suitable size may be included in any suitable number without departing from the scope of the present disclosure.


In some embodiments, a critical dimension for one or more plasma pass-throughs 208 may be sized in view of one or more dimensionless values reflective of flow and/or molecular kinetic conditions in an environment near precursor gas distributor 116 and/or within a plasma pass-through. As used herein, a critical dimension refers to a dimension that may be used during a design phase for precursor gas distributor 116. The dimension is not intended to be a limiting dimension in any sense, but is described here for discussion purposes. In other words, the value may not be critical to the operation or function of the distributor or any other hardware disclosed herein. Instead, the critical dimension may serve as a reference value for other dimensions during design and fabrication.


In some embodiments, a critical dimension for one or more plasma pass-throughs 208 may be sized in view of the Mach number of the fluid. The Mach number is a dimensionless number relating the velocity of the radical to the speed of sound in the fluid the radical is traveling in at that pressure and temperature. Mach number may be expressed as:






M
=

V

c


(

T
,
P

)








where M is the Mach number, V is the fluid velocity, and c is the speed of sound for that fluid as a function of temperature and pressure. Without wishing to be bound by theory, a radical characterized with a higher Mach number may be less likely to be quenched while traveling through plasma pass-through 208 via collision relative to a radical characterized with a lower Mach number. This may result from a comparative probabilities of surface collisions or intermolecular collisions associated with the radicals.


In some embodiments, a critical dimension for one or more plasma pass-throughs 208 may be sized at least in part in view of the Knudsen number. The Knudsen number is a dimensionless number relating the mean free path of the radical to a characteristic physical dimension (e.g., the critical dimension) of the plasma passthrough expressed by:






Kn
=

λ
l






where Kn is the Knudsen number, λ is the critical dimension of plasma pass-through 208, and 1 is the radical mean free path. Put another way, plasma pass-throughs 208 may be sized so that so that a radical is unlikely to be quenched by a collision with a wall or surface defining a portion of a particular plasma pass-through 208 while traveling through it. In some conditions, the mean free path between collisions with plasma pass-through 208 may be approximated by a mean free path with respect to collision with another molecule or radical, as defined by:






l
=



k
B


T



2


π






d
2


P







where l is the mean free path, k is the Boltzmann constant, T is the temperature, d is the radical diameter, and P is the local pressure within that particular plasma passthrough 208. As Kn increases in magnitude, surface effects from sidewalls of plasma pass-through 208 may increase with respect to the mean free path of the radicals. Conversely, radical passage through plasma pass-through 208 may become more ballistic as Kn decreases in magnitude. Thus, in some embodiments, λ may be determined based at least in part on a selected Knudsen number.


It will be appreciated that the critical dimensions referred to above may include any suitable dimension that affects flow through plasma pass-throughs 208. For example, in some embodiments, a critical dimension may be a minor dimension or a minimum dimension for a plasma pass-through 208 in one direction. A critical dimension may represent the vertical dimension of the gap through which radicals pass towards the wafer, as shown in 116 of FIG. 2 and 610 of FIGS. 6 and 7. Alternatively, the critical dimension may be regarded as the horizontal gap shown in the same figures. It will be appreciated that the ratio of the vertical gap to the horizontal gap constitutes a dimensionless aspect ratio, which may be an important consideration, as a high aspect ratio constitutes greater restriction and greater radical recombination loss than a gap with low aspect ratio.


Further, it will be appreciated that different plasma pass-throughs 208 may have different sizes according to positioning within precursor gas distributor 116. For example, in some embodiments, plasma pass-throughs 208 positioned farther from a center of precursor gas distributor 116 may have a larger opening relative to plasma pass-throughs 208 positioned closer to the center. Variation in plasma passthrough size (e.g., radial dependence in some embodiments) may compensate for upstream flow variation, such as in embodiments where a laminar flow profile exists upstream. For example, a laminar flow distribution in plasma generation region 108 may be reshaped toward a plug-flow distribution in reaction region 110.


Regardless of how plasma pass-throughs 208 are shaped and/or sized in precursor gas distributor 116, it will be appreciated that factors other than radical lifetime may be considered in some embodiments. For example, pass-throughs may be shaped and sized so that radical flow through the reactor is substantially unidirectional (e.g., from plasma generation region 108 toward reaction region 110) to avoid backstreaming of radicals and other process gases, including various plasma-sensitive precursors. It will be appreciated that optimal gas flow may be effected by a slight pressure differential between the top and bottom of the showerhead. A slight pressure differential may help equalize flow across the diameter of the showerhead. However, a design to increase pressure differential may be balanced against a potential need to have a high proportion of the radicals surviving through the showerhead gaps.


Precursor gas distributor 116 also includes a plurality of precursor gas-feed inlets 206 that distribute precursor gas to reaction region 110 downstream of plasma generation region 108. Because the local flow of precursor gas may affect the film formation rate for that locality, in some embodiments, individual precursor gas-feed inlets 206 may be positioned and/or sized/shaped so that precursor gas has a selected flow profile toward substrate 106.


For example, in some embodiments, a radial distribution (with respect to a central axis of process gas distributor 112) of the number and/or size of precursor gas-feed inlets 206 may be selected to achieve a uniform flow (within an acceptable tolerance) of precursor at the outlet, within reaction region 110, and/or at an exposed surface of substrate 106. Assuming that a central point of substrate 106 is aligned with a central axis of process gas distributor 112, such a flow condition may enhance within-substrate film thickness uniformity. Of course, it will be understood that any suitable flow profile may be created to adjust any suitable film property, including bulk properties, interfacial properties, electrical properties, physical properties, and so on.


Precursor is supplied to precursor gas-feed inlets 206 via suitable gas distribution structures fluidly coupled with one or more precursor gas supply lines (see precursor gas supply line 126 in FIG. 1). In some embodiments, precursor gas supply lines 126 may be included within support structure 118. In the embodiment shown in FIG. 2, precursor gas distributor 116 includes a plurality of azimuthal precursor gas distributors 210 and a plurality of radial precursor gas distributors 212. FIG. 3 schematically shows a top perspective view of the embodiment of precursor distributor 116 shown in FIG. 2, and illustrates these structures with respect to one another and to plasma pass-throughs 208.


In the example shown in FIGS. 2 and 3, these distributors are configured so that precursor gas-feed inlets 206 may be arranged concentrically around support structure 118. Precursor gas-feed inlets 206 may be included in either or both of these distributors. So arranged, precursor gas may be distributed to reaction region 110 and the exposed surface of substrate 106 without substantially changing the flow direction of the radicals and/or plasma gas flowing through the reactor. Because alterations of flow may lead to defect generation and/or to thickness non-uniformity in some settings, the flow conditions depicted in FIGS. 2 and 3 (e.g., in a substantially downward direction) may reduce defect inclusion and/or thickness excursion potential during substrate processing.


In the example shown in FIGS. 2 and 3, each of a plurality of gas distribution arms 214 delivers a portion of the precursor gas from a precursor gas supply line included in support structure 118 to azimuthal precursor gas distributors 210 and radial precursor gas distributors 212 at a precursor gas entry location 216 positioned between a center and an outer edge of the precursor gas distributor 116. Dividing the precursor flow upstream of the gas entry location and delivering it as shown may help overcome pressure drop within azimuthal precursor gas distributors 210 and/or radial precursor gas distributors 212, potentially enhancing distribution efficiency to reaction region 110.


It will be appreciated that any suitable precursor gas distributor may be employed without departing from the scope of the present disclosure. For example, FIG. 4 schematically shows a cross-sectional view of another embodiment of a precursor gas distributor 400, and FIG. 5 schematically shows a top perspective view of precursor gas distributor 400 as shown in FIG. 4. In the example shown in FIGS. 4 and 5, precursor gas is supplied at a precursor gas entry location 402 position at a center of precursor gas distributor 400. Supplying precursor gas from a central location may provide a simple, robust approach to distributing precursor gas using a less complex precursor gas distributor relative to the example shown in FIGS. 2 and 3. Once supplied from the central location, precursor gas is distributed via precursor gas-feed inlets that may be included in azimuthal precursor gas distributors 404 and/or radial precursor gas distributors 406.


As yet another example, FIG. 6 schematically shows a cross-section of an embodiment of a precursor gas distributor 600 that includes a no-mix showerhead assembly 602. FIG. 7 schematically shows a top perspective view of the embodiment of precursor gas distributor 600 shown in FIG. 6. As shown in FIG. 6, no-mix showerhead assembly 602 includes a top structure 604 and a bottom structure 606. When assembled, these structures form a plurality of precursor gas-feed inlets 608 and a plurality of plasma pass-throughs 610. No-mix showerhead assembly 602 is supported by support structure 612 so that precursor gas is introduced downstream of plasma generation region 108 (see FIG. 1). In the example shown in FIGS. 6 and 7, precursor gas is supplied from a precursor gas supply line included in support structure 612. Precursor gas distribution channels within no-mix showerhead assembly 602 route the precursor gas to precursor gas-feed inlets 608 for distribution to reaction region 110.


It will be appreciated that precursor gas may also be distributed via a showerhead configured to mix precursor gas and plasma/radical gases prior to delivery to reaction region 110 in some embodiments. For example, such mixing may take place within a suitable mixing showerhead but downstream of plasma generation region 108.


In some embodiments, precursor gas distributor 116 may include one or more reactant gas-feed inlets positioned to supply reactant gas to reaction region 110. Reactant gas may be delivered to such reactant gas-feed inlets via a reactant gas supply line or by feeding reactant gas through another suitable supply line, either of which may be included within support structure 118 in some embodiments.


Turning back to FIG. 2, plasma gas distributor 114 and precursor gas distributor 116 are supported by support structure 118. In the example shown in FIG. 2, support structure 118 co-axially supports plasma gas distributor 114 and precursor gas distributor 116, so that precursor gas distributor 116 extends from plasma gas distributor 114 along a common axis. Thus, in the embodiment shown in FIG. 2, process gas distributor 112 is supported from above by reactor 102 so that a gap is formed between precursor gas distributor 116 and a sidewall of reactor 102 (see FIG. 1). This may help maintain electrical isolation of precursor gas distributor 116 when screening ions as described in more detail below. While not shown in FIG. 1 or FIG. 2, it will be appreciated that one or more supplementary supports may be provided to brace precursor gas distributor 116 and/or process gas distributor 112 in some embodiments. For example, in one scenario one or more insulating braces may be provided at a circumferential edge of precursor gas distributor 116. Such braces may maintain an electrical and flow gap while aiding in the structural support of process gas distributor 112.


Centrally supporting the gas distributors may also position a central axis of process gas distributor 112 over a center of substrate 106. In turn, radial gas distribution into reaction region 110 and onto an exposed surface of substrate 106 may be comparatively enhanced relative to examples where one or more of the plasma or precursor gases are introduced from a side position. Put differently, directing the precursor gas within precursor gas distributor 116 to flow radially outward from the centrally-positioned support structure 118 toward an edge of precursor gas distributor 116 may enhance precursor gas distribution above the surface of substrate 106. In contrast, side injection of a gas into the gas distributor may lead to a non-uniform pressure distribution within the distributor. In turn, less gas may exit a side of the distributor away from the side injector relative to an exit position closer to the side injector. Substrate thickness and/or particle distribution may track the distribution non-uniformity in some processes, potentially leading to wedge-shaped thickness profiles and/or particle sprays. By providing gas flow to plasma gas distributor 114 and precursor gas distributor 116 relative to a centrally-positioned support structure 118, the disclosed embodiments may enhance radial gas distribution uniformity relative to a center of process gas distributor 112. In turn, the gas distribution profiles disclosed herein may be translated into radial uniformity relative to a center position of substrate 106.


Support structure 118 also includes an electrical insulator 120 disposed between plasma gas distributor 114 and precursor gas distributor 116 to accommodate a voltage difference between those distributors. Providing a voltage difference between the gas distributors may cause precursor gas distributor 116 to act as an ion filter for plasma generation region 108. In turn, radicals may be supplied to reaction region 110 while ions are filtered out, potentially reducing ion bombardment damage to substrate 106. In the embodiment shown in FIG. 1 bias source 128 is electrically coupled with precursor gas distributor 116 via a first electrical connection 130 and with plasma gas distributor 114 via a second electrical connection 132.


For example, FIG. 1 shows a bias source 128 adapted to establish a voltage difference between plasma gas distributor 114 and precursor gas distributor 116. Ions generated in plasma generation region 108 may be collected by precursor gas distributor 116. In some embodiments, bias source 128 may include a suitable DC power supply. In some other embodiments, bias source 128 may be a ground.


In some embodiments, a choke 134 may be electrically coupled with bias source 128. Choke 134 may prevent radio frequency energy from entering and damaging bias source 128. It will be appreciated that suitable choke(s) may be included in other power sources, gas lines, cooling lines, and so on to mitigate RF leakage as described herein.


The example film deposition reactor also includes an insulating confinement vessel 136 configured to separate plasma generation region 108 from ambient conditions. Thus, insulating confinement vessel 136 may act with a vacuum source (see FIG. 1) so that plasma generation region 108 operates at a reduced pressure relative to the ambient. In the example shown in FIG. 1, an ICP coil 138 for inducing a plasma within plasma generation region 108 is arranged around a portion of a sidewall of insulating confinement vessel 136. An electromagnetic shield 140 covers ICP coil 138 to screen electromagnetic fields generated by ICP coil 138 from the ambient environment. Insulating confinement vessel 136 may be formed from any suitable electrically-insulating material. For example, in some embodiments, quartz may be used to form insulating confinement vessel 136, though other dielectric materials may be employed in some embodiments.


Various mass transfer and/or kinetic processes during film formation may lead to radial thickness variation in the formed film or to radial variation in some other property (e.g., density, refractive index, etc.). Thus, in some film formation processes, it may be helpful to vary the radial density of radicals within reaction region 110. In some embodiments, the radial distribution of radicals may be adjusted by adjusting flow dynamics within plasma generation region 108.


In some embodiments, flow within plasma generation region 108 may be adjusted to form a laminar flow regime. In theory, a laminar flow regime avoids turbulence, so that a fluid element positioned away from a boundary layer may remain at approximately the same radial position within a constant-diameter portion of a flow path. Thus, a laminar flow regime may provide a stable, predictable flow regime for radical transport.


In some embodiments, such flow regimes may be created by selective shaping of insulating confinement vessel 136. For example, FIG. 8 illustrates an embodiment of an insulating confinement vessel 800 having a sidewall 802 exhibiting, on a low-pressure face (e.g., a face of sidewall 802 exposed to a low pressure environment such as plasma generation region 108), a profile conducive to forming laminar flow within the vessel under typical flow conditions. In the embodiment shown in FIG. 8, an example ICP coil placement position 804 is shown around an outside surface of sidewall 802 to illustrate that a plasma generation region 108 may be formed therein. In the example shown in FIG. 8, sidewall 802 exhibits a cross-section that curves inward toward a central axis of symmetry near inlet 806 (see 808 in FIG. 2) and then reverses to curve outward near outlet 810 (see 812 in FIG. 2) if viewed in cross-section taken along the central axis. The bottle-shaped vessel illustrated in FIG. 8 may, in some embodiments, enhance radial distribution of radicals so that the flux of radicals toward the exposed surface of substrate 106 may be more evenly distributed across the surface when compared to vessels that do not provide laminar flow regimes.


As another example, FIG. 9 shows an embodiment of an insulating confinement vessel 900 having a sidewall 902 exhibiting, on a low-pressure face (e.g., a face of sidewall 902 exposed to a low pressure environment such as plasma generation region 108), a profile conducive to forming laminar flow within the vessel under typical flow conditions. For clarity, FIG. 9 does not illustrate an example ICP coil placement position, though it will be appreciated that a plasma generation region 108 may be formed therein. In the example shown in FIG. 9, sidewall 902 exhibits a cross-section that curves outward toward a central axis of symmetry near inlet 904 (see 906 in FIG. 2) and then reverses to curve inward near outlet 908 (see 910 in FIG. 2) if viewed in cross-section taken along the central axis. The horn-shaped vessel illustrated in FIG. 9 may also enhance the radial distribution of radicals so that the flux of radicals toward the exposed surface of substrate 106 may be more evenly distributed across the surface.


It will be appreciated that laminar profiles may be generated with any suitable shape, including vessels having sidewalls with profiles exhibiting intermediate shapes to those shown in FIGS. 8 and 9. For example, in some embodiments, a vessel having a laminar profile may exhibits a cross-section that is monotonic in some aspect (e.g., horn-shaped). In some embodiments, laminar flow regimes may also be created and/or controlled by suitable adjustment of gas flow rates, etc. within the reactor.


It will be appreciated that the radial distribution of radicals may also be adjusted dynamically (e.g., during processing and/or without altering the shape of plasma generation region 108. For example, FIG. 1 depicts ICP coil 138 as a single coil including a plurality of plasma density adjustment taps 142 for varying plasma density within respective plasma generation region 108. Power for generating plasma is supplied to ICP coil 138 from an ICP power source 144 and matching network 146 via a multi-tap transformer 148. Each of the plasma density adjustment taps 142 may be adjusted to vary power within corresponding plasma zones 150. Varying power within each plasma zone 150 changes the generation rate of radicals, effectively altering the radial distribution of radicals within plasma generation region 108.


Use of a single ICP coil 138 with a plurality of plasma density adjustment taps 142 may provide a comparatively less complex approach to varying plasma density relative to systems including a plurality of coils and associated power supplies. Alternatively, in some embodiments, ICP system 104 may include a plurality of independent ICP coils and associated power supplies capable of independently varying plasma density within plasma zones 150.


In the embodiment shown in FIG. 1, each plasma density adjustment tap 142 includes a plasma density tuner 152 used to adjust power in each plasma zone 150. As shown in FIG. 1, plasma density tuners 152 include components used to adjust zone attenuation (for example, via a variable capacitor) and zone phase shift (for example, via a variable inductor). In some embodiments, plasma density tuners 152 may be purely passive/reactive, including no transistors or other active components.


It will be appreciated that the laminar flow regimes discussed above may provide a predictable, stable flow in which radical populations may be adjusted on a zone-by-zone basis in some embodiments. Put differently, radicals may be generated within plasma generation region 108 according to a selected radial distribution. Those radicals may then be moved, with little turbulent mixing, toward reaction region 110 via a suitable laminar flow regime created within the reactor, potentially providing a selected radical distribution at an exposed surface of substrate 106. Meanwhile, precursor gas may also be supplied to an exposed surface of substrate 106 without exposing the precursor gas to plasma generation region 108. In turn, a film may be formed on substrate 106 exhibiting comparatively fewer particle defects and/or exhibiting a selected radial profile of an electrical or physical property.


As an example, FIG. 10 shows a flow chart illustrating an embodiment of a method 1000 of forming a film on a semiconductor substrate in an inductively-coupled plasma (ICP) processing tool. It will be appreciated that embodiments of method 1000 may be performed by any suitable hardware, including the hardware disclosed herein. Further, it will be appreciated that portions of the processes described in method 1000 may be omitted, reordered, and/or supplemented without departing from the scope of the present disclosure.


At 1002, method 1000 includes supporting the semiconductor substrate on a susceptor so that the semiconductor substrate is exposed to a reaction region within an inductively-coupled plasma (ICP) processing tool. In some embodiments, supporting the substrate in the reactor may include adjusting one or more reactor conditions, such as temperature, pressure, and/or carrier gas (e.g., Ar, N2, or He) flow rate, to conditions suitable for film formation prior to processing the substrate. It will be appreciated that such film formation conditions may vary according to film deposition process chemistry, substrate surface termination, and so on.


For example, reactor conditions may be adjusted to facilitate the formation of surface active species from suitable film precursors by activating surface adsorption and decomposition processes. In some scenarios, reactor conditions may be adjusted to avoid gas phase decomposition reactions for one or more process gases, potentially avoiding film contamination from decomposition products and/or poor step coverage resulting from diffusion effects. Further, in some scenarios, reactor conditions may be adjusted to avoid condensation of process gases on various reactor surfaces, potentially avoiding small particle defect generation processes.


In the example shown in FIG. 10, method 1000 enters a film formation cycle after supporting the substrate in the reactor at 1002. As used herein, a film formation cycle refers to a film formation event that includes a single exposure of the precursor to the substrate and a single exposure of radicals to the substrate. It will be appreciated that any suitable adjustments to the reactor conditions may be made during the film formation cycle, including adjustments to temperature, pressure, and/or the flow rates of various gases supplied to the reactor during the film formation cycle. The film formation cycle shown in FIG. 10 includes processes 1004 through 1014. It will be appreciated that the arrangement and order of processes shown in the film formation cycle depicted in FIG. 10 are provided for illustrative purposes only, and may be varied in any suitable way in some embodiments.


At 1004, method 1000 includes supplying precursor gas to the reaction region. Because the precursor may be sensitive to plasma (e.g., because the precursor may undesirably react in the presence of plasma), the precursor is introduced to the reaction region without exposing the precursor to plasma conditions. For example, the precursor may be introduced to the reaction region via a suitable precursor gas distributor. It will be appreciated that the amount of precursor gas supplied to the reaction region may vary depending on, among other factors, the topography of the exposed surface of the substrate, the film formation conditions present in the reaction region, and the adsorption rate and/or the sticking coefficient of the precursor on the surface under those conditions.


Supplying precursor gas to the reaction region at 1004 includes adsorbing reactive precursor intermediates to an exposed surface of the semiconductor substrate. Without wishing to be bound by theory, as the precursor is supplied to the reaction region, gas phase molecules of the precursor may adsorb on the exposed surface of the substrate. Some of the gas phase molecules may become chemically adsorbed (e.g., chemisorbed) to the surface at sites on the surface that activate such chemisorption reactions. Such chemisorbed species may form surface-active intermediate species of the precursor. Because such intermediate species are bound to at least one surface site until a further reaction occurs, adsorption of the precursor may occur in a self-limiting manner. In turn, the film formed during a film formation cycle may be moderated at least in part by the surface reactions of the active species with a subsequently-supplied reactant, as described in more detail below.


After the precursor is supplied to the reaction region and intermediates become adsorbed to the substrate, residual precursor is typically removed. Thus, supplying precursor gas to the reaction region at 1004 may include removing the precursor from the reaction region in some embodiments. Removing the precursor from the reaction region includes removing gas phase molecules of the precursor and molecules of the precursor that are condensed on the surface but that are not chemically adsorbed to it. Such physically adsorbed (e.g., physisorbed) molecules may be condensed on the surface in more than one layer or may be distributed in non-uniform ways (such as being condensed within narrow openings formed in the exposed surface). Removing non-chemisorbed precursor molecules may prevent reaction of such molecules with subsequently-introduced reactant and/or may assist with deposition thickness control. Put another way, removing residual precursor may avoid non-uniform, non-conformal film formation and/or small particle defect generation. It will be appreciated that any suitable approach for removing residual precursor from the reaction region may be employed without departing from the scope of the present disclosure. For example, in some embodiments, the reactor may be evacuated to a base pressure. Additionally or alternatively, in some embodiments, the reactor may be supplied with a suitable displacement gas, such as Ar, N2, or He.


Once chemisorbed to the surface, the precursor intermediates await plasma-activated reaction to complete the film layer. As discussed in more detail below, in some embodiments, the precursor intermediates may react directly with reactant radicals (e.g., plasma-activated reactant formed in a plasma generation region and supplied to the reaction region), while in other embodiments the radicals may themselves chemisorb to the substrate.


Further, in some embodiments, reactant may be supplied to the reaction region and the substrate surface prior to plasma generation and radical delivery, as illustrated at 1006. For example, the reactant gas may be introduced to a plasma generation region via a suitable plasma gas distributor and allowed to flow downstream into the reaction region. In another example, the reactant gas may be introduced to the reaction region via a suitable precursor gas distributor. Once supplied, reactant species may adsorb to the substrate surface. Thus, in some embodiments, supplying reactant to the reaction region may include adsorbing reactive reactant intermediates to the substrate.


If a reactant gas is provided at 1006, in some embodiments, process 1006 may also include removing residual reactant gas. Removing residual reactant may avoid non-uniform, non-conformal film formation and/or small particle defect generation. It will be appreciated that any suitable approach for removing residual reactant from the reaction region may be employed without departing from the scope of the present disclosure. For example, in some embodiments, the reactor may be evacuated to a base pressure. Additionally or alternatively, in some embodiments, the reactor may be supplied with a suitable displacement gas, such as Ar, N2, or He. Alternatively, in some embodiments, reactant gas may remain in the reaction region and/or the plasma generation region in preparation for plasma generation, discussed in more detail below.


Process 1006 may also include removing residual reactant gas. Removing residual reactant may avoid non-uniform, non-conformal film formation and/or small particle defect generation. It will be appreciated that any suitable approach for removing residual reactant from the reaction region may be employed without departing from the scope of the present disclosure. For example, in some embodiments, the reactor may be evacuated to a base pressure. Additionally or alternatively, in some embodiments, the reactor may be supplied with a suitable displacement gas, such as Ar, N2, or He. Alternatively, in some embodiments, reactant gas may remain in the reaction region and/or the plasma generation region in preparation for plasma generation, discussed in more detail below.


At 1008, method 1000 includes supplying a plasma gas to the plasma generation region, and, at 1010, generating radicals from the plasma gas in the plasma generation region so that a pulse of radicals is generated with the plasma. In one non-limiting example, plasma power may be cycled on and off to create a radical pulse. In another example, a plasma may be maintained in the plasma generation region while a selected plasma gas and/or reactant gas is pulsed into the plasma generation region to generate a radical pulse.


It will be appreciated that plasma gas may be introduced to the plasma generation region in any suitable way. For example, the plasma gas may be introduced to the plasma generation region via a suitable plasma gas distributor. Further, any suitable plasma gas may be supplied. Non-limiting examples include Ar, H2, and He.


It will also be appreciated that any suitable manner of generating a plasma may be employed without departing from the scope of the present disclosure. For example, a suitable ICP source and coil may be used to strike a plasma in the plasma generation region. In some embodiments, plasma density during radical generation may be adjusted within the plasma generation region via one or more plasma density adjustment taps electrically coupled with the ICP coil or by varying power supplied to independent ICP coils. Adjustment of the plasma density in plasma zones may provide an approach to adjusting radial plasma density within the plasma generation region and within the reaction region downstream. Further, in some embodiments, ions generated during plasma generation may be filtered using a suitable ion filter. For example, the precursor gas distributor described above may be used with a bias source to filter ions from the gas stream. Collecting the ions may avoid potential ion bombardment damage to the substrate surface.


In some embodiments, reactant radicals may be generated from reactant gas present in/supplied to reaction region during plasma generation. In other words, a non-reactive plasma may be used to generate reactant radicals for delivery to the substrate surface and subsequent reaction with the precursor intermediates adsorbed thereon. In some embodiments, radicals from a reactive plasma (e.g., a reactive plasma gas) may be supplied to the substrate surface for reaction.


At 1012, method 1000 includes delivering radicals to the reaction region. For example, radicals may be delivered to the reaction region via plasma passthroughs included in a precursor gas distributor like those described herein. Once delivered to the reaction region, the radicals react with surface-adsorbed intermediates to form a layer of film. Thus, method 1000 includes, at 1014, forming film on the substrate surface. In some embodiments, forming the film may include reacting radicals with precursor intermediates adsorbed to the exposed surface to form the film. For example, radicals may react directly with adsorbed precursor intermediates to form a film layer. In some other embodiments, forming the film may include reacting radicals with precursor intermediates and reactant intermediates adsorbed to the exposed surface to form the film. For example, radicals may activate a reaction between adsorbed reactant and precursor intermediates on the surface. In still other embodiments, forming the film may include activating gas phase reactions between the radicals and gas phase reactant in the reaction region that trigger surface reactions with surface-adsorbed precursor intermediates.


After forming the film, in some embodiments, the plasma power may be switched off and residual radicals may be removed from the plasma generation region and the reaction region. It will be appreciated that any suitable approach for removing residual plasma gas may be employed without departing from the scope of the present disclosure. For example, in some embodiments, the reactor may be evacuated to a base pressure. Additionally or alternatively, in some embodiments, the reactor may be supplied with a suitable displacement gas, such as Ar, N2, or He. Alternatively, in some embodiments, plasma gas may remain in the reactor while the plasma power is switched off.


Because the film layer may be formed via a self-limiting adsorption and reaction process, in some embodiments, each film formation cycle may yield a predictable thickness of film, within an acceptable tolerance. Consequently, in some of such embodiments, any suitable thickness of film may be formed by repeating the film formation cycle a suitable number of times. Thus, method 1000 includes, at 1018, determining whether to form another layer of film. If another layer is to be formed, method 1000 returns to 1004; if not, film formation is completed and the substrate is removed from the reactor at 1020.


While method 1000 generally describes an atomic layer deposition film formation process, it will be appreciated that any suitable film formation process may be employed without departing from the scope of the present disclosure. In some embodiments, the layer-by-layer film formation process provided by plasma-enhanced ALD (PEALD) may permit precise, predictable control of film thickness. However, in some embodiments, plasma-enhanced chemical vapor deposition (PECVD) may be employed, as PECVD techniques typically form films at a relatively faster deposition rate than PEALD processes.


In some embodiments, the film deposition reactors and the methods of forming films described herein may be formed using a suitable semiconductor processing tool. FIG. 11 schematically shows a top view of an embodiment of a semiconductor processing tool 1100 including a plurality of semiconductor processing modules 1102. While the depicted embodiment includes two modules, it will be appreciated that any suitable number of semiconductor processing modules may be provided. For example, some processing tools may include just one module while other processing tools may include more than two modules.



FIG. 11 also shows load locks 1104 for moving substrates between portions of semiconductor processing tool 1100 that exhibit ambient atmospheric pressure conditions and portions of the tool that are at pressures lower than atmospheric conditions. An atmospheric transfer module 1108, including an atmospheric substrate handling robot 1110, moves substrates between load ports 1106 and load locks 1104, where a portion of the ambient pressure is removed by a vacuum source (not shown) or is restored by backfilling with a suitable gas, depending on whether substrates are being transferred into or out of the tool. Low-pressure substrate handling robot 1112 moves substrates between load locks 1104 and semiconductor processing modules 1102 within low-pressure transfer module 1114. Substrates may also be moved among the semiconductor processing modules 1102 within low-pressure transfer module 1114 using low-pressure substrate handling robot 1112, so that sequential and/or parallel processing of substrates may be performed without exposure to air and/or without a vacuum break.



FIG. 11 also shows a user interface 1120 connected to a system process controller 1122. User interface 1120 is adapted to receive user input to system process controller 1122. User interface 1120 may optionally include a display subsystem, and suitable user input devices such as keyboards, mice, control pads, and/or touch screens, for example, that are not shown in FIG. 11.



FIG. 11 shows an embodiment of a system process controller 1122 provided for controlling semiconductor processing tool 1100. System process controller 1122 may operate process module control subsystems, such as gas control subsystems, pressure control subsystems, temperature control subsystems, electrical control subsystems, and mechanical control subsystems. Such control subsystems may receive various signals provided by sensors, relays, and controllers and make suitable adjustments in response.


System process controller 1122 comprises a computing system that includes a data-holding subsystem 1124 and a logic subsystem 1126. Data-holding subsystem 1124 may include one or more physical, non-transitory devices configured to hold data and/or instructions executable by logic subsystem 1126 to implement the methods and processes described herein. Logic subsystem 1126 may include one or more physical devices configured to execute one or more instructions stored in data-holding subsystem 1124. Logic subsystem 1126 may include one or more processors that are configured to execute software instructions.


In some embodiments, such instructions may control the execution of process recipes. Generally, a process recipe includes a sequential description of process parameters used to process a substrate, such parameters including, but not limited to, time, temperature, pressure, and concentration, as well as various parameters describing electrical, mechanical, and environmental aspects of the tool during substrate processing. The instructions may also control the execution of various maintenance recipes used during maintenance procedures.


In some embodiments, such instructions may be stored on removable computer-readable storage media 1128, which may be used to store and/or transfer data and/or instructions executable to implement the methods and processes described herein, excluding a signal per se. It will be appreciated that any suitable removable computer-readable storage media 1128 may be employed without departing from the scope of the present disclosure. Non-limiting examples include DVDs, CD-ROMs, floppy discs, and flash drives.


It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Thus, the various acts illustrated may be performed in the sequence illustrated, in other sequences, or omitted in some cases.


The subject matter of the present disclosure includes all novel and nonobvious combinations and subcombinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims
  • 1. A method of forming a film on a semiconductor substrate including a plasma generation region and a reaction region, the plasma generation region located upstream from the reaction region, the method comprising: supporting the semiconductor substrate on a susceptor so that the semiconductor substrate is exposed to the reaction region within the processing tool;in a first phase: introducing precursor gas to the reaction region without exposing the precursor gas to plasma conditions, andadsorbing reactive precursor intermediates to an exposed surface of the semiconductor substrate;in a second phase: supplying a plasma gas to the plasma generation region,generating radicals with the plasma gas in the plasma generation region with an ICP source,delivering radicals to the reaction region, andreacting radicals with precursor intermediates adsorbed to the exposed surface to form the film.
  • 2. The method of claim 1, where introducing precursor gas to the reaction region includes: supplying the precursor gas to a precursor gas distributor from a precursor gas supply line included in a centrally-positioned precursor gas distributor support;directing the precursor gas within the precursor gas distributor to flow radially outward from the centrally-positioned precursor gas distributor support toward an edge of the precursor gas distributor; andejecting the precursor gas from the precursor gas distributor from a plurality of precursor gas-feed inlets positioned between the centrally-positioned precursor gas distributor support and the edge of the precursor gas distributor so that the precursor gas is directed toward the surface of the semiconductor substrate exposed to the reaction region.
  • 3. The method of claim 2, where generating radicals comprises: generating a plasma in the plasma generation region; andgenerating a radial distribution of radicals with the plasma by varying a radial density of plasma in the plasma generation region.
  • 4. A method of forming a film on a semiconductor substrate in an inductively-coupled plasma (ICP) processing tool including a plasma generation region and a reaction region, the plasma generation region located upstream from the reaction region, the method comprising: supporting the semiconductor substrate on a susceptor so that the semiconductor substrate is exposed to the reaction region within the ICP processing tool;in a first phase: introducing precursor gas to the reaction region without exposing the precursor gas to plasma conditions, andadsorbing reactive precursor intermediates to an exposed surface of the semiconductor substrate;in a second phase: supplying a plasma gas to the plasma generation region,generating radicals with the plasma gas in the plasma generation region with an ICP source,delivering radicals to the reaction region, andreacting radicals with precursor intermediates adsorbed to the exposed surface to form the film.
  • 5. The method of claim 4, where introducing precursor gas to the reaction region includes: supplying the precursor gas to a precursor gas distributor from a precursor gas supply line included in a centrally-positioned precursor gas distributor support;directing the precursor gas within the precursor gas distributor to flow radially outward from the centrally-positioned precursor gas distributor support toward an edge of the precursor gas distributor; andejecting the precursor gas from the precursor gas distributor from a plurality of precursor gas-feed inlets positioned between the centrally-positioned precursor gas distributor support and the edge of the precursor gas distributor so that the precursor gas is directed toward the surface of the semiconductor substrate exposed to the reaction region.
  • 6. The method of claim 4, where generating radicals comprises: generating a plasma in the plasma generation region; andgenerating a radial distribution of radicals with the plasma by varying a radial density of plasma in the plasma generation region.
  • 7. The method of claim 4, further comprising, in a third phase: introducing reactant gas to the reaction region;adsorbing reactive reactant intermediates to the exposed surface of the semiconductor substrate; andreacting radicals with precursor intermediates and reactant intermediates adsorbed to the exposed surface to form the film.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of and claims priority to U.S. patent application Ser. No. 14/659,152, entitled “PROCESS GAS MANAGEMENT FOR AN INDUCTIVELY-COUPLED PLASMA DEPOSITION REACTOR,” and filed Mar. 16, 2015, which is a continuation of and claims priority to U.S. patent application Ser. No. 13/612,538, entitled “PROCESS GAS MANAGEMENT FOR AN INDUCTIVELY-COUPLED PLASMA DEPOSITION REACTOR,” and filed Sep. 12, 2012, the disclosures of which are hereby incorporated herein by reference.

US Referenced Citations (1818)
Number Name Date Kind
D56051 Cohn Aug 1920 S
2161626 Loughner et al. Jun 1939 A
2745640 Cushman May 1956 A
2990045 Root Sep 1959 A
3089507 Drake et al. May 1963 A
3094396 Flugge et al. Jun 1963 A
3232437 Hultgren Feb 1966 A
3647387 Benson Mar 1972 A
3833492 Bollyky Sep 1974 A
3854443 Baerg Dec 1974 A
3862397 Anderson et al. Jan 1975 A
3885504 Baermann May 1975 A
3887790 Ferguson Jun 1975 A
3904371 Neti Sep 1975 A
3913617 van Laar Oct 1975 A
4054071 Patejak Oct 1977 A
4058430 Suntola et al. Nov 1977 A
4126027 Smith et al. Nov 1978 A
4134425 Gussefeld et al. Jan 1979 A
4145699 Hu et al. Mar 1979 A
4164959 Wurzburger Aug 1979 A
4176630 Elmer Dec 1979 A
4181330 Kojima Jan 1980 A
4194536 Stine et al. Mar 1980 A
4322592 Martin Mar 1982 A
4333735 Hardy Jun 1982 A
4389973 Suntola et al. Jun 1983 A
4393013 McMenamin Jul 1983 A
4401507 Engle Aug 1983 A
4414492 Hanlet Nov 1983 A
4436674 McMenamin Mar 1984 A
4479831 Sandow Oct 1984 A
4499354 Hill et al. Feb 1985 A
4512113 Budinger Apr 1985 A
4570328 Price et al. Feb 1986 A
4578560 Tanaka et al. Mar 1986 A
4579623 Suzuki et al. Apr 1986 A
D288556 Wallgren Mar 1987 S
4653541 Oehlschlaeger et al. Mar 1987 A
4654226 Jackson et al. Mar 1987 A
4681134 Paris Jul 1987 A
4718637 Contin Jan 1988 A
4722298 Rubin et al. Feb 1988 A
4724272 Raniere et al. Feb 1988 A
4735259 Vincent Apr 1988 A
4753192 Goldsmith et al. Jun 1988 A
4756794 Yoder Jul 1988 A
4771015 Kanai Sep 1988 A
4780169 Stark et al. Oct 1988 A
4789294 Sato et al. Dec 1988 A
4821674 deBoer et al. Apr 1989 A
4827430 Aid et al. May 1989 A
4837185 Yau et al. Jun 1989 A
4854263 Chang et al. Aug 1989 A
4857137 Tashiro et al. Aug 1989 A
4857382 Sheng et al. Aug 1989 A
4882199 Sadoway et al. Nov 1989 A
4976996 Monkowski et al. Dec 1990 A
4978567 Miller Dec 1990 A
4984904 Nakano et al. Jan 1991 A
4985114 Okudaira Jan 1991 A
4986215 Yamada Jan 1991 A
4987856 Hey Jan 1991 A
4991614 Hammel Feb 1991 A
5013691 Lory et al. May 1991 A
5027746 Frijlink Jul 1991 A
5028366 Harakal et al. Jul 1991 A
5057436 Ball Oct 1991 A
5060322 Delepine Oct 1991 A
5062386 Christensen Nov 1991 A
5065698 Koike Nov 1991 A
5074017 Toya et al. Dec 1991 A
5098638 Sawada Mar 1992 A
5104514 Quartarone Apr 1992 A
5116018 Friemoth et al. May 1992 A
D327534 Manville Jun 1992 S
5119760 McMillan et al. Jun 1992 A
5130003 Conrad Jul 1992 A
5137286 Whitford Aug 1992 A
5167716 Boitnott et al. Dec 1992 A
5178682 Tsukamoto et al. Jan 1993 A
5183511 Yamazaki et al. Feb 1993 A
5192717 Kawakami Mar 1993 A
5194401 Adams et al. Mar 1993 A
5199603 Prescott Apr 1993 A
5213650 Wang et al. May 1993 A
5221556 Hawkins et al. Jun 1993 A
5225366 Yoder et al. Jul 1993 A
5226383 Bhat Jul 1993 A
5242539 Kumihashi et al. Sep 1993 A
5243195 Nishi Sep 1993 A
5246500 Samata et al. Sep 1993 A
5259881 Edwards et al. Nov 1993 A
5266526 Aoyama Nov 1993 A
5271967 Kramer et al. Dec 1993 A
5278494 Obigane Jan 1994 A
5284519 Gadgil Feb 1994 A
5288684 Yarnazaki et al. Feb 1994 A
5306946 Yamamoto Apr 1994 A
5310456 Kadomura May 1994 A
5315092 Takahashi et al. May 1994 A
5326427 Jerbic Jul 1994 A
5336327 Lee Aug 1994 A
5354580 Goela et al. Oct 1994 A
5356478 Chen et al. Oct 1994 A
5360269 Ogawa et al. Nov 1994 A
5380367 Bertone Jan 1995 A
5382311 Ishikawa et al. Jan 1995 A
5404082 Hernandez et al. Apr 1995 A
5413813 Cruse et al. May 1995 A
5414221 Gardner May 1995 A
5415753 Hurwitt et al. May 1995 A
5421893 Perlov Jun 1995 A
5422139 Fischer Jun 1995 A
5430011 Tanaka et al. Jul 1995 A
5444217 Moore Aug 1995 A
5453124 Moslehi et al. Sep 1995 A
5494494 Mizuno et al. Feb 1996 A
5496408 Motoda et al. Mar 1996 A
5504042 Cho et al. Apr 1996 A
5514439 Sibley May 1996 A
5518549 Hellwig May 1996 A
5527417 Iida et al. Jun 1996 A
5531835 Fodor et al. Jul 1996 A
5540898 Davidson Jul 1996 A
5558717 Zhao et al. Sep 1996 A
5559046 Oishi et al. Sep 1996 A
5574247 Nishitani et al. Nov 1996 A
5576629 Turner Nov 1996 A
5577331 Suzuki Nov 1996 A
5583736 Anderson et al. Dec 1996 A
5589002 Su Dec 1996 A
5589110 Motoda et al. Dec 1996 A
5595606 Fujikawa et al. Jan 1997 A
5601641 Stephens Feb 1997 A
5604410 Vollkommer et al. Feb 1997 A
5616947 Tamura Apr 1997 A
5621982 Yamashita Apr 1997 A
5632919 MacCracken et al. May 1997 A
D380527 Velez Jul 1997 S
5656093 Burkhart et al. Aug 1997 A
5665608 Chapple-Sokol et al. Sep 1997 A
5679215 Barnes et al. Oct 1997 A
5681779 Pasch et al. Oct 1997 A
5683517 Shan Nov 1997 A
5695567 Kordina Dec 1997 A
5700729 Lee et al. Dec 1997 A
5711811 Suntola et al. Jan 1998 A
5718574 Shimazu Feb 1998 A
5724748 Brooks Mar 1998 A
5728223 Murakarni et al. Mar 1998 A
5730801 Tepman et al. Mar 1998 A
5732744 Barr et al. Mar 1998 A
5736314 Hayes et al. Apr 1998 A
5777838 Tamagawa et al. Jul 1998 A
5781693 Balance et al. Jul 1998 A
5782979 Kaneno Jul 1998 A
5792272 Van Os et al. Aug 1998 A
5796074 Edelstein et al. Aug 1998 A
5801104 Schuegraf et al. Sep 1998 A
5819434 Herchen et al. Oct 1998 A
5827757 Robinson, Jr. et al. Oct 1998 A
5836483 Disel Nov 1998 A
5837058 Chen et al. Nov 1998 A
5837320 Hampden-Smith et al. Nov 1998 A
5846332 Zhao et al. Dec 1998 A
5851294 Young et al. Dec 1998 A
5852879 Schumaier Dec 1998 A
5853484 Jeong Dec 1998 A
5855680 Soininen et al. Jan 1999 A
5855681 Maydan et al. Jan 1999 A
5873942 Park Feb 1999 A
5877095 Tamura et al. Mar 1999 A
5879128 Tietz et al. Mar 1999 A
D409894 McClurg May 1999 S
5908672 Ryu Jun 1999 A
5916365 Sherman Jun 1999 A
5920798 Higuchi et al. Jul 1999 A
5937323 Orczyk et al. Aug 1999 A
5968275 Lee et al. Oct 1999 A
5975492 Brenes Nov 1999 A
5979506 Aarseth Nov 1999 A
5997588 Goodwin Dec 1999 A
5997768 Scully Dec 1999 A
6001267 Van Os et al. Dec 1999 A
D419652 Hall et al. Jan 2000 S
6013553 Wallace Jan 2000 A
6015465 Kholodenko et al. Jan 2000 A
6017779 Miyasaka Jan 2000 A
6017818 Lu Jan 2000 A
6024799 Chen Feb 2000 A
6035101 Sajoto et al. Mar 2000 A
6042652 Hyun Mar 2000 A
6044860 Nue Apr 2000 A
6048154 Wytman Apr 2000 A
6050506 Guo et al. Apr 2000 A
6060691 Minami et al. May 2000 A
6068441 Raaijmakers et al. May 2000 A
6072163 Armstrong Jun 2000 A
6074443 Venkatesh Jun 2000 A
6083321 Lei et al. Jul 2000 A
6086677 Umotoy et al. Jul 2000 A
6093253 Lofgren Jul 2000 A
6096267 Kishkovich Aug 2000 A
6099302 Hong et al. Aug 2000 A
6122036 Yamasaki et al. Sep 2000 A
6124600 Moroishi et al. Sep 2000 A
6125789 Gupta et al. Oct 2000 A
6126848 Li et al. Oct 2000 A
6129044 Zhao et al. Oct 2000 A
6129546 Sada Oct 2000 A
6134807 Komino Oct 2000 A
6137240 Bogdan et al. Oct 2000 A
6140252 Cho et al. Oct 2000 A
6148761 Majewski et al. Nov 2000 A
6160244 Ohashi Dec 2000 A
6161500 Kopacz et al. Dec 2000 A
6162323 Koshimizu et al. Dec 2000 A
6174809 Kang et al. Jan 2001 B1
6178918 Van Os et al. Jan 2001 B1
6180979 Hofman et al. Jan 2001 B1
6187691 Fukuda Feb 2001 B1
6190634 Lieber et al. Feb 2001 B1
6191399 Van Bilsen Feb 2001 B1
6194037 Terasaki et al. Feb 2001 B1
6201999 Jevtic Mar 2001 B1
6207932 Yoo Mar 2001 B1
6212789 Kato Apr 2001 B1
6214122 Thompson Apr 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6218288 Li et al. Apr 2001 B1
6242359 Misra Jun 2001 B1
6250250 Maishev et al. Jun 2001 B1
6264467 Andreas et al. Jul 2001 B1
6271148 Kao Aug 2001 B1
6274878 Li et al. Aug 2001 B1
6281098 Wang Aug 2001 B1
6281141 Das et al. Aug 2001 B1
6287965 Kang et al. Sep 2001 B1
D449873 Bronson Oct 2001 S
6296909 Spitsberg Oct 2001 B1
6299133 Waragai et al. Oct 2001 B2
6302964 Umotoy et al. Oct 2001 B1
6303523 Cheung Oct 2001 B2
6305898 Yamagishi et al. Oct 2001 B1
6312525 Bright et al. Nov 2001 B1
6315512 Tabrizi et al. Nov 2001 B1
D451893 Robson Dec 2001 S
D452220 Robson Dec 2001 S
6325858 Wengert Dec 2001 B1
6326597 Lubomirsky et al. Dec 2001 B1
6329297 Balish Dec 2001 B1
6342427 Choi et al. Jan 2002 B1
6344232 Jones et al. Feb 2002 B1
6347636 Xia Feb 2002 B1
6350391 Livshits et al. Feb 2002 B1
6352945 Matsuki Mar 2002 B1
6367410 Leahey et al. Apr 2002 B1
6368987 Kopacz et al. Apr 2002 B1
6370796 Zucker Apr 2002 B1
6372583 Tyagi Apr 2002 B1
6374831 Chandran Apr 2002 B1
6375312 Ikeda et al. Apr 2002 B1
6375750 Van Os et al. Apr 2002 B1
D457609 Piano May 2002 S
6383566 Zagdoun May 2002 B1
6383955 Matsuki May 2002 B1
6387207 Janakiraman May 2002 B1
6391803 Kim et al. May 2002 B1
6398184 Sowada et al. Jun 2002 B1
6410459 Blalock et al. Jun 2002 B2
6413321 Kim et al. Jul 2002 B1
6413583 Moghadam et al. Jul 2002 B1
6420279 Ono et al. Jul 2002 B1
D461233 Whalen Aug 2002 S
D461882 Piano Aug 2002 S
6435798 Satoh Aug 2002 B1
6436819 Zhang Aug 2002 B1
6437444 Andideh Aug 2002 B2
6445574 Saw et al. Sep 2002 B1
6446573 Hirayama et al. Sep 2002 B2
6447651 Ishikawa et al. Sep 2002 B1
6448192 Kaushik Sep 2002 B1
6450757 Saeki Sep 2002 B1
6454860 Metzner et al. Sep 2002 B2
6455445 Matsuki Sep 2002 B2
6461435 Littau et al. Oct 2002 B1
6468924 Lee Oct 2002 B2
6471779 Nishio et al. Oct 2002 B1
6472266 Yu et al. Oct 2002 B1
6475276 Elers et al. Nov 2002 B1
6475930 Junker et al. Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6482331 Lu et al. Nov 2002 B2
6482663 Buckland Nov 2002 B1
6483989 Okada et al. Nov 2002 B1
6494065 Babbitt Dec 2002 B2
6499533 Yamada Dec 2002 B2
6503562 Saito et al. Jan 2003 B1
6503826 Oda Jan 2003 B1
6511539 Raaijmakers Jan 2003 B1
6514313 Spiegelman Feb 2003 B1
6521295 Remington Feb 2003 B1
6521547 Chang et al. Feb 2003 B1
6528430 Kwan Mar 2003 B2
6528767 Bagley et al. Mar 2003 B2
6531193 Fonash et al. Mar 2003 B2
6531412 Conti et al. Mar 2003 B2
6534133 Kaloyeros et al. Mar 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6552209 Lei et al. Apr 2003 B1
6558755 Berry et al. May 2003 B2
6569239 Arai et al. May 2003 B2
6608745 Tsuruta et al. May 2003 B2
6573030 Fairbairn et al. Jun 2003 B1
6576062 Matsuse Jun 2003 B2
6576064 Griffiths et al. Jun 2003 B2
6576300 Berry et al. Jun 2003 B1
6578589 Mayusumi Jun 2003 B1
6579833 McNallan et al. Jun 2003 B1
6583048 Vincent et al. Jun 2003 B1
6589868 Rossman Jul 2003 B2
6590251 Kang et al. Jul 2003 B2
6594550 Okrah Jul 2003 B1
6596653 Tan Jul 2003 B2
6598559 Vellore et al. Jul 2003 B1
6624064 Sahin Sep 2003 B1
6627503 Ma et al. Sep 2003 B2
6632478 Gaillard et al. Oct 2003 B2
6633364 Hayashi Oct 2003 B2
6635117 Kinnard et al. Oct 2003 B1
6638839 Deng et al. Oct 2003 B2
6645304 Yamaguchi Nov 2003 B2
6648974 Ogliari et al. Nov 2003 B1
6649921 Cekic et al. Nov 2003 B1
6652924 Sherman Nov 2003 B2
6656281 Ueda Dec 2003 B1
6660662 Ishikawa et al. Dec 2003 B2
6662817 Yamagishi Dec 2003 B2
6673196 Oyabu Jan 2004 B1
6682973 Paton et al. Jan 2004 B1
D486891 Cronce Feb 2004 S
6688784 Templeton Feb 2004 B1
6689220 Nguyen Feb 2004 B1
6692575 Omstead et al. Feb 2004 B1
6692576 Halpin et al. Feb 2004 B2
6699003 Saeki Mar 2004 B2
6709989 Ramdani et al. Mar 2004 B2
6710364 Guldi et al. Mar 2004 B2
6710857 Kondo Mar 2004 B2
6713824 Mikata Mar 2004 B1
6716571 Gabriel Apr 2004 B2
6723642 Lim et al. Apr 2004 B1
6730614 Lim et al. May 2004 B1
6734090 Agarwala et al. May 2004 B2
6740853 Kitayama et al. May 2004 B1
6743475 Skarp et al. Jun 2004 B2
6743738 Todd et al. Jun 2004 B2
6745095 Ben-Dov Jun 2004 B1
6753507 Fure et al. Jun 2004 B2
6756293 Li et al. Jun 2004 B2
6756318 Nguyen et al. Jun 2004 B2
6759098 Han Jul 2004 B2
6760981 Leap Jul 2004 B2
6784108 Donohoe et al. Aug 2004 B1
D497977 Engelbrektsson Nov 2004 S
6815350 Kim et al. Nov 2004 B2
6820570 Kilpela et al. Nov 2004 B2
6821910 Adomaitis et al. Nov 2004 B2
6824665 Shelnut et al. Nov 2004 B2
6825134 Law et al. Nov 2004 B2
6831004 Byun Dec 2004 B2
6835039 Van Den Berg Dec 2004 B2
6846515 Vrtis Jan 2005 B2
6846742 Rossman Jan 2005 B2
6847014 Benjamin et al. Jan 2005 B1
6858524 Haukka et al. Feb 2005 B2
6858547 Metzner Feb 2005 B2
6863019 Shamouilian Mar 2005 B2
6863281 Endou et al. Mar 2005 B2
6864041 Brown Mar 2005 B2
6872258 Park et al. Mar 2005 B2
6872259 Strang Mar 2005 B2
6874247 Tsang-Hung Hsu Apr 2005 B1
6874480 Ismailov Apr 2005 B1
6875677 Conley, Jr. et al. Apr 2005 B1
6876017 Goodner Apr 2005 B2
6884066 Nguyen et al. Apr 2005 B2
6884319 Kim Apr 2005 B2
6889864 Lindfors et al. May 2005 B2
6895158 Alyward et al. May 2005 B2
6899507 Yamagishi et al. May 2005 B2
6909839 Wang et al. Jun 2005 B2
6911092 Sneh Jun 2005 B2
6913796 Albano et al. Jul 2005 B2
6917755 Nguyen et al. Jul 2005 B2
6929700 Tan et al. Aug 2005 B2
6930059 Conley, Jr. et al. Aug 2005 B2
6935269 Lee et al. Aug 2005 B2
6939817 Sandhu et al. Sep 2005 B2
6942753 Choi et al. Sep 2005 B2
6951587 Narushima Oct 2005 B1
6953609 Carollo Oct 2005 B2
6955836 Kumagai et al. Oct 2005 B2
6972478 Waite et al. Dec 2005 B1
6974781 Timmermans et al. Dec 2005 B2
6976822 Woodruff Dec 2005 B2
6984595 Yamazaki Jan 2006 B1
6990430 Hosek Jan 2006 B2
7005391 Min Feb 2006 B2
7017514 Shepherd Mar 2006 B1
7021881 Yamagishi Apr 2006 B2
7036453 Ishikawa et al. May 2006 B2
7041609 Vaartstra May 2006 B2
7045430 Ahn et al. May 2006 B2
7049247 Gates et al. May 2006 B2
7053009 Conley, Jr. et al. May 2006 B2
7055875 Bonora Jun 2006 B2
7062161 Kusuda et al. Jun 2006 B2
7071051 Jeon et al. Jul 2006 B1
7084060 Furukawa Aug 2006 B1
7084079 Conti et al. Aug 2006 B2
7088003 Gates et al. Aug 2006 B2
7092287 Beulens et al. Aug 2006 B2
7098149 Lukas Aug 2006 B2
7101763 Anderson et al. Sep 2006 B1
7109098 Ramaswamy et al. Sep 2006 B1
7109114 Chen et al. Sep 2006 B2
7115838 Kurara et al. Oct 2006 B2
7122085 Shero et al. Oct 2006 B2
7122222 Xiao et al. Oct 2006 B2
7129165 Basol et al. Oct 2006 B2
7132360 Schaeffer et al. Nov 2006 B2
7135421 Ahn et al. Nov 2006 B2
7143897 Guzman et al. Dec 2006 B1
7147766 Uzoh et al. Dec 2006 B2
7153542 Nguyen et al. Dec 2006 B2
7163393 Adachi et al. Jan 2007 B2
7163721 Zhang et al. Jan 2007 B2
7163900 Weber Jan 2007 B2
7172497 Basol et al. Feb 2007 B2
7186648 Rozbicki Mar 2007 B1
7192824 Ahn et al. Mar 2007 B2
7192892 Alm et al. Mar 2007 B2
7195693 Cowans Mar 2007 B2
7201943 Park et al. Apr 2007 B2
7204887 Kawamura et al. Apr 2007 B2
7205246 MacNeil et al. Apr 2007 B2
7205247 Lee et al. Apr 2007 B2
7207763 Lee Apr 2007 B2
7208389 Tipton et al. Apr 2007 B1
7210925 Adachi May 2007 B2
7211524 Ryu et al. May 2007 B2
7208413 Byun et al. Jun 2007 B2
7234476 Arai Jun 2007 B2
7235137 Kitayama et al. Jun 2007 B2
7235482 Wu Jun 2007 B2
7235501 Ahn et al. Jun 2007 B2
7238596 Kouvetakis et al. Jul 2007 B2
7265061 Cho et al. Sep 2007 B1
D553104 Oohashi et al. Oct 2007 S
7290813 Bonora Nov 2007 B2
7294581 Haverkort et al. Nov 2007 B2
7297641 Todd et al. Nov 2007 B2
7298009 Yan et al. Nov 2007 B2
D557226 Uchino et al. Dec 2007 S
7307178 Kiyomori et al. Dec 2007 B2
7312148 Ramaswamy et al. Dec 2007 B2
7312162 Ramaswamy et al. Dec 2007 B2
7312494 Alm et al. Dec 2007 B2
7323401 Ramaswamy et al. Jan 2008 B2
7326657 Xia et al. Feb 2008 B2
7327948 Shrinivasan Feb 2008 B1
7329947 Adachi et al. Feb 2008 B2
7335611 Ramaswamy et al. Feb 2008 B2
7354847 Chan et al. Apr 2008 B2
7357138 Ji et al. Apr 2008 B2
7381644 Soubramonium et al. Jun 2008 B1
7387685 Choi et al. Jun 2008 B2
7393207 Imai Jul 2008 B2
7393418 Yokogawa Jul 2008 B2
7393736 Ahn et al. Jul 2008 B2
7393765 Hanawa et al. Jul 2008 B2
7396491 Marking et al. Jul 2008 B2
7399388 Moghadam et al. Jul 2008 B2
7402534 Mahajani Jul 2008 B2
7405166 Liang et al. Jul 2008 B2
7405454 Ahn et al. Jul 2008 B2
D575713 Ratcliffe Aug 2008 S
7411352 Madocks Aug 2008 B2
7414281 Fastow Aug 2008 B1
7422653 Blahnik et al. Sep 2008 B2
7422775 Ramaswamy et al. Sep 2008 B2
7429532 Ramaswamy et al. Sep 2008 B2
7431966 Derderian et al. Oct 2008 B2
7432476 Morita et al. Oct 2008 B2
7437060 Wang et al. Oct 2008 B2
7442275 Cowans Oct 2008 B2
7476291 Wang et al. Jan 2009 B2
7479198 Guffrey Jan 2009 B2
7482283 Yamasaki et al. Jan 2009 B2
D585968 Elkins et al. Feb 2009 S
7489389 Shibazaki et al. Feb 2009 B2
7494882 Vitale Feb 2009 B2
7498242 Kumar et al. Mar 2009 B2
7501292 Matsushita et al. Mar 2009 B2
7503980 Kida et al. Mar 2009 B2
D590933 Vansell Apr 2009 S
7514375 Shanker et al. Apr 2009 B1
7541297 Mallick et al. Apr 2009 B2
D593969 Li Jun 2009 S
7547363 Tomiyasu et al. Jun 2009 B2
7550396 Frohberg et al. Jun 2009 B2
7563715 Haukka et al. Jul 2009 B2
7566891 Rocha-Alvarez et al. Jul 2009 B2
7575968 Sadaka et al. Aug 2009 B2
7579785 DeVincentis et al. Aug 2009 B2
7582555 Lang Sep 2009 B1
7589003 Kouvetakis et al. Sep 2009 B2
7589029 Derderian et al. Sep 2009 B2
D602575 Breda Oct 2009 S
7598513 Kouvetakis et al. Oct 2009 B2
7601223 Lindfors et al. Oct 2009 B2
7601225 Tuominen et al. Oct 2009 B2
7611751 Elers Nov 2009 B2
7611980 Wells et al. Nov 2009 B2
7618226 Takizawa Nov 2009 B2
D606952 Lee Dec 2009 S
7629277 Ghatnagar Dec 2009 B2
7632549 Goundar Dec 2009 B2
7640142 Tachikawa et al. Dec 2009 B2
7645341 Kennedy et al. Jan 2010 B2
7645484 Ishizaka Jan 2010 B2
7651583 Kent et al. Jan 2010 B2
7651961 Clark Jan 2010 B2
D609652 Munetoshi Feb 2010 S
D609655 Sugimoto Feb 2010 S
7678197 Maki Mar 2010 B2
7678715 Mungekar et al. Mar 2010 B2
7682454 Sneh Mar 2010 B2
7682657 Sherman Mar 2010 B2
D613829 Griffin et al. Apr 2010 S
D614153 Fondurulia et al. Apr 2010 S
D614267 Breda Apr 2010 S
D614268 Breda Apr 2010 S
D614593 Lee Apr 2010 S
7690881 Yamagishi Apr 2010 B2
7691205 Ikedo Apr 2010 B2
7695808 Tuma Apr 2010 B2
7713874 Milligan May 2010 B2
7720560 Menser et al. May 2010 B2
7723648 Tsukamoto May 2010 B2
7727864 Elers Jun 2010 B2
7732343 Niroomand et al. Jun 2010 B2
7736528 Okita et al. Jun 2010 B2
7740705 Li Jun 2010 B2
7745346 Hausmann et al. Jun 2010 B2
7748760 Kushida Jul 2010 B2
7754621 Putjkonen Jul 2010 B2
7763869 Matsushita et al. Jul 2010 B2
7767262 Clark Aug 2010 B2
7771796 Kohno et al. Aug 2010 B2
7780440 Shibagaki et al. Aug 2010 B2
7789965 Matsushita et al. Sep 2010 B2
7790633 Tarafdar et al. Sep 2010 B1
7803722 Liang Sep 2010 B2
7807578 Bencher et al. Oct 2010 B2
7816278 Reed et al. Oct 2010 B2
7824492 Tois et al. Nov 2010 B2
7825040 Fukazawa et al. Nov 2010 B1
7833353 Furukawahara et al. Nov 2010 B2
7838084 Derderian et al. Nov 2010 B2
7842518 Miyajima Nov 2010 B2
7842622 Lee et al. Nov 2010 B1
D629874 Hermans Dec 2010 S
7850449 Yang et al. Dec 2010 B2
7851019 Tuominen et al. Dec 2010 B2
7851232 van Schravendijk et al. Dec 2010 B2
7865070 Nakamura Jan 2011 B2
7884918 Hattori Feb 2011 B2
7888233 Gauri Feb 2011 B1
D634719 Yasuda et al. Mar 2011 S
7897215 Fair et al. Mar 2011 B1
7902582 Forbes et al. Mar 2011 B2
7910288 Abatchev et al. Mar 2011 B2
7915139 Lang Mar 2011 B1
7919416 Lee et al. Apr 2011 B2
7925378 Gilchrist et al. Apr 2011 B2
7935940 Smargiassi May 2011 B1
7939447 Bauer et al. May 2011 B2
7942969 Riker et al. May 2011 B2
7951262 Koshiishi et al. May 2011 B2
7955516 Chandrachood Jun 2011 B2
7963736 Takizawa et al. Jun 2011 B2
7972980 Lee et al. Jul 2011 B2
7981751 Zhu et al. Jul 2011 B2
D643055 Takahashi Aug 2011 S
7989736 Park et al. Aug 2011 B2
7992318 Kawaji Aug 2011 B2
7994721 Espiau et al. Aug 2011 B2
7998875 DeYoung Aug 2011 B2
8003174 Fukazawa Aug 2011 B2
8003919 Goto et al. Aug 2011 B2
8004198 Bakre et al. Aug 2011 B2
8020315 Nishimura Sep 2011 B2
8030129 Jeong Oct 2011 B2
8033771 Gage et al. Oct 2011 B1
8038835 Hayashi et al. Oct 2011 B2
8041197 Kasai et al. Oct 2011 B2
8041450 Takizawa et al. Oct 2011 B2
8043972 Liu et al. Oct 2011 B1
8055378 Numakura Nov 2011 B2
8060252 Gage et al. Nov 2011 B2
8083853 Choi et al. Nov 2011 B2
8071451 Uzoh Dec 2011 B2
8071452 Raisanen Dec 2011 B2
8072578 Yasuda et al. Dec 2011 B2
8076230 Wei Dec 2011 B2
8076237 Uzoh Dec 2011 B2
8082946 Laverdiere et al. Dec 2011 B2
D652896 Gether Jan 2012 S
8092604 Tomiyasu et al. Jan 2012 B2
D653734 Sisk Feb 2012 S
D654884 Honma Feb 2012 S
D655055 Toll Feb 2012 S
8119466 Avouris Feb 2012 B2
8137462 Fondurulia et al. Mar 2012 B2
8137465 Shrinivasan et al. Mar 2012 B1
8138676 Mills Mar 2012 B2
8142862 Lee et al. Mar 2012 B2
8143174 Xia et al. Mar 2012 B2
8147242 Shibagaki et al. Apr 2012 B2
8173554 Lee et al. May 2012 B2
8187951 Wang May 2012 B1
8272516 Salvador May 2012 B2
8192901 Kageyama Jun 2012 B2
8196234 Glunk Jun 2012 B2
8197915 Oka et al. Jun 2012 B2
8216380 White et al. Jul 2012 B2
8231799 Bera et al. Jul 2012 B2
D665055 Yanagisawa et al. Aug 2012 S
8241991 Hsieh et al. Aug 2012 B2
8242031 Mallick et al. Aug 2012 B2
8252114 Vukovic Aug 2012 B2
8252659 Huyghabaert et al. Aug 2012 B2
8252691 Beynet et al. Aug 2012 B2
8267633 Obikane Sep 2012 B2
8278176 Bauer et al. Oct 2012 B2
8282769 Iizuka Oct 2012 B2
8282847 Romano Oct 2012 B2
8287648 Reed et al. Oct 2012 B2
8293016 Bahng et al. Oct 2012 B2
8293642 Kim Oct 2012 B2
8298951 Nakano Oct 2012 B1
8307472 Saxon et al. Nov 2012 B1
8309173 Tuominen et al. Nov 2012 B2
8323413 Son Dec 2012 B2
8328939 Choi et al. Dec 2012 B2
8329599 Fukazawa et al. Dec 2012 B2
8334219 Lee et al. Dec 2012 B2
D676943 Kluss Feb 2013 S
8367528 Bauer et al. Feb 2013 B2
8372204 Nakamura Feb 2013 B2
8393091 Kawamoto Mar 2013 B2
8394466 Hong et al. Mar 2013 B2
8415259 Lee et al. Apr 2013 B2
8440259 Chiang et al. May 2013 B2
8444120 Gregg et al. May 2013 B2
8445075 Xu et al. May 2013 B2
8450191 Wang May 2013 B2
8465811 Ueda Jun 2013 B2
8466411 Arai Jun 2013 B2
8470187 Ha Jun 2013 B2
8484846 Dhindsa Jul 2013 B2
8492170 Xie et al. Jul 2013 B2
8496756 Cruse et al. Jul 2013 B2
8506713 Takagi Aug 2013 B2
8535767 Kimura Sep 2013 B1
D691974 Osada et al. Oct 2013 S
8551892 Nakano Oct 2013 B2
8563443 Fukazawa Oct 2013 B2
8569184 Oka Oct 2013 B2
8586484 Matsuyama et al. Nov 2013 B2
8591659 Fang et al. Nov 2013 B1
8592005 Ueda Nov 2013 B2
8608885 Goto et al. Nov 2013 B2
8617411 Singh Dec 2013 B2
8633115 Chang et al. Jan 2014 B2
D698904 Milligan et al. Feb 2014 S
8647722 Kobayashi et al. Feb 2014 B2
8664627 Ishikawa et al. Mar 2014 B1
8667654 Gros-Jean Mar 2014 B2
8668957 Dussarrat et al. Mar 2014 B2
8669185 Onizawa Mar 2014 B2
D702188 Jacobs Apr 2014 S
8683943 Onodera et al. Apr 2014 B2
8711338 Liu et al. Apr 2014 B2
D705745 Kurs et al. May 2014 S
8720965 Hino et al. May 2014 B2
8721791 Choi et al. May 2014 B2
8722546 Fukazawa et al. May 2014 B2
8726837 Patalay et al. May 2014 B2
8728832 Raisanen et al. May 2014 B2
8742668 Nakano et al. Jun 2014 B2
8759223 Sapre et al. Jun 2014 B2
8764085 Urabe Jul 2014 B2
8784950 Fukazawa et al. Jul 2014 B2
8784951 Fukazawa et al. Jul 2014 B2
8785215 Kobayashi et al. Jul 2014 B2
8790749 Omori et al. Jul 2014 B2
8802201 Raisanen et al. Aug 2014 B2
8820809 Ando et al. Sep 2014 B2
8821640 Cleary et al. Sep 2014 B2
8841182 Chen et al. Sep 2014 B1
8845806 Aida et al. Sep 2014 B2
D715410 Lohmann Oct 2014 S
8864202 Schrameyer Oct 2014 B1
D716742 Jang et al. Nov 2014 S
8877655 Shero et al. Nov 2014 B2
8883270 Shero et al. Nov 2014 B2
8901016 Ha et al. Dec 2014 B2
8911553 Baluja et al. Dec 2014 B2
8911826 Adachi et al. Dec 2014 B2
8912101 Tsuji et al. Dec 2014 B2
D720838 Yamagishi et al. Jan 2015 S
8933375 Dunn et al. Jan 2015 B2
8940646 Chandrasekharan Jan 2015 B1
D723153 Borkholder Feb 2015 S
8945306 Tsuda Feb 2015 B2
8946830 Jung et al. Feb 2015 B2
8956971 Huakka Feb 2015 B2
8956983 Swaminathan Feb 2015 B2
D724553 Choi Mar 2015 S
D724701 Yamagishi et al. Mar 2015 S
D725168 Yamagishi Mar 2015 S
8967608 Mitsumori et al. Mar 2015 B2
8974868 Ishizaka et al. Mar 2015 B2
8986456 Fondurulia et al. Mar 2015 B2
8991887 Shin et al. Mar 2015 B2
8993054 Jung et al. Mar 2015 B2
D726365 Weigensberg Apr 2015 S
D726884 Yamagishi et al. Apr 2015 S
9005539 Halpin et al. Apr 2015 B2
9017481 Pettinger et al. Apr 2015 B1
9018093 Tsuji et al. Apr 2015 B2
9018111 Milligan et al. Apr 2015 B2
9021985 Alokozai et al. May 2015 B2
9023737 Beynet et al. May 2015 B2
9029253 Milligan et al. May 2015 B2
9029272 Nakano May 2015 B1
D732145 Yamagishi Jun 2015 S
D732644 Yamagishi et al. Jun 2015 S
D733261 Yamagishi et al. Jun 2015 S
D733262 Yamagishi et al. Jul 2015 S
D734377 Hirakida Jul 2015 S
D735836 Yamagishi Aug 2015 S
9096931 Yednak et al. Aug 2015 B2
9117657 Nakano et al. Aug 2015 B2
9117866 Marquardt et al. Aug 2015 B2
D739222 Chadbourne Sep 2015 S
9123510 Nakano et al. Sep 2015 B2
9123577 Fujimoto et al. Sep 2015 B2
9136108 Matsushita et al. Sep 2015 B2
9142393 Okabe et al. Sep 2015 B2
9166012 Sim et al. Oct 2015 B2
9169975 Sarin et al. Oct 2015 B2
9171714 Mori Oct 2015 B2
9171716 Fukuda Oct 2015 B2
D743357 Vyne Nov 2015 S
D743513 Yamagishi Nov 2015 S
9177784 Raisanen et al. Nov 2015 B2
9190263 Ishikawa et al. Nov 2015 B2
9196483 Lee et al. Nov 2015 B1
9202727 Dunn et al. Dec 2015 B2
9228259 Haukka et al. Jan 2016 B2
9240412 Xie et al. Jan 2016 B2
9281277 Baek et al. Mar 2016 B2
9299595 Dunn et al. Mar 2016 B2
9305836 Gates et al. Apr 2016 B1
9315897 Byun Apr 2016 B2
9324811 Weeks Apr 2016 B2
9324846 Camillo Apr 2016 B1
9341296 Yednak May 2016 B2
9384987 Jung et al. Jul 2016 B2
9394608 Shero et al. Jul 2016 B2
9396934 Tolle Jul 2016 B2
9396956 Fukazawa Jul 2016 B1
9404587 Shugrue Aug 2016 B2
9412564 Milligan Aug 2016 B2
9447498 Shiba et al. Sep 2016 B2
9449793 Shaji et al. Sep 2016 B2
9455138 Fukazawa Sep 2016 B1
9543180 Kamiya Jan 2017 B2
9556516 Takamure Jan 2017 B2
9558931 Tang Jan 2017 B2
9574268 Dunn et al. Feb 2017 B1
9589770 Winkler Mar 2017 B2
9605342 Alokozai et al. Mar 2017 B2
9605343 Winkler Mar 2017 B2
9607837 Namba Mar 2017 B1
9627221 Zaitsu et al. Apr 2017 B1
9640416 Arai May 2017 B2
9647114 Margetis May 2017 B2
9657845 Shugrue May 2017 B2
9659799 Lawson May 2017 B2
9663857 Nakano et al. May 2017 B2
9691771 Lansalot-Matras Jun 2017 B2
9698031 Kobayashi et al. Jul 2017 B2
9711345 Shiba et al. Jul 2017 B2
9735024 Zaitsu Aug 2017 B2
9754818 Shiu et al. Sep 2017 B2
9790595 Jung et al. Oct 2017 B2
9793135 Zaitsu et al. Oct 2017 B1
9793148 Yamagishi et al. Oct 2017 B2
9808246 Shelton et al. Nov 2017 B2
9812319 Fukazawa et al. Nov 2017 B1
9812320 Pore et al. Nov 2017 B1
20010001953 Griffiths et al. May 2001 A1
20010006070 Shang Jul 2001 A1
20010007645 Honma Jul 2001 A1
20010017103 Takeshita et al. Aug 2001 A1
20010018267 Shinriki et al. Aug 2001 A1
20010019777 Tanaka et al. Sep 2001 A1
20010019900 Hasegawa Sep 2001 A1
20010020715 Yamasaki Sep 2001 A1
20010028924 Sherman Oct 2001 A1
20010031535 Agnello et al. Oct 2001 A1
20010046765 Cappellani et al. Nov 2001 A1
20010049202 Maeda et al. Dec 2001 A1
20020001974 Chan Jan 2002 A1
20020001976 Danek Jan 2002 A1
20020011210 Satoh et al. Jan 2002 A1
20020014204 Pyo Feb 2002 A1
20020031644 Malofsky et al. Mar 2002 A1
20020041931 Suntola et al. Apr 2002 A1
20020064592 Datta et al. May 2002 A1
20020076507 Chiang et al. Jun 2002 A1
20020078893 Van Os et al. Jun 2002 A1
20020079714 Soucy et al. Jun 2002 A1
20020088542 Nishikawa et al. Jul 2002 A1
20020098627 Pomarede et al. Jul 2002 A1
20020108670 Baker et al. Aug 2002 A1
20020110695 Yang et al. Aug 2002 A1
20020110991 Li Aug 2002 A1
20020114886 Chou et al. Aug 2002 A1
20020115252 Haukka et al. Aug 2002 A1
20020127351 Ishikawa et al. Sep 2002 A1
20020134511 Ushioda et al. Sep 2002 A1
20020136909 Yang Sep 2002 A1
20020139775 Chang Oct 2002 A1
20020146512 Rossman Oct 2002 A1
20020164420 Derderian et al. Nov 2002 A1
20020172768 Endo et al. Nov 2002 A1
20020179011 Jonnalagadda et al. Dec 2002 A1
20020187650 Blalock et al. Dec 2002 A1
20020187656 Tan et al. Dec 2002 A1
20020197849 Mandal Dec 2002 A1
20030003607 Kagoshima Jan 2003 A1
20030003635 Paranjpe et al. Jan 2003 A1
20030003696 Gelatos et al. Jan 2003 A1
20030010452 Park et al. Jan 2003 A1
20030012632 Saeki Jan 2003 A1
20030015596 Evans Jan 2003 A1
20030017268 Hu Jan 2003 A1
20030019428 Ku et al. Jan 2003 A1
20030019580 Strang Jan 2003 A1
20030022523 Irino et al. Jan 2003 A1
20030024901 Ishikawa Feb 2003 A1
20030025146 Narwankar et al. Feb 2003 A1
20030029475 Hua et al. Feb 2003 A1
20030040158 Saitoh Feb 2003 A1
20030042419 Katsumata et al. Mar 2003 A1
20030049375 Nguyen et al. Mar 2003 A1
20030049937 Suzuki Mar 2003 A1
20030054670 Wang et al. Mar 2003 A1
20030059535 Luo et al. Mar 2003 A1
20030059980 Chen et al. Mar 2003 A1
20030066826 Lee et al. Apr 2003 A1
20030071015 Chinn et al. Apr 2003 A1
20030075925 Lindfors et al. Apr 2003 A1
20030082307 Chung et al. May 2003 A1
20030091938 Fairbairn et al. May 2003 A1
20030094133 Yoshidome et al. May 2003 A1
20030111963 Tolmachev et al. Jun 2003 A1
20030116087 Nguyen Jun 2003 A1
20030121608 Chen Jul 2003 A1
20030133854 Tabata et al. Jul 2003 A1
20030134038 Paranjpe Jul 2003 A1
20030141820 White et al. Jul 2003 A1
20030143328 Chen Jul 2003 A1
20030157436 Manger et al. Aug 2003 A1
20030159656 Tan Aug 2003 A1
20030168001 Sneh Sep 2003 A1
20030170583 Nakashima Sep 2003 A1
20030180458 Sneh Sep 2003 A1
20030183156 Dando Oct 2003 A1
20030183856 Wieczorek et al. Oct 2003 A1
20030188685 Wang Oct 2003 A1
20030192875 Bieker et al. Oct 2003 A1
20030198587 Kaloyeros Oct 2003 A1
20030209323 Yokogaki Nov 2003 A1
20030209326 Lee et al. Nov 2003 A1
20030211735 Rossman Nov 2003 A1
20030217915 Ouellet Nov 2003 A1
20030226840 Dalton Dec 2003 A1
20030228772 Cowans Dec 2003 A1
20030232138 Tuominen et al. Dec 2003 A1
20030232491 Yamaguchi Dec 2003 A1
20040002224 Chono et al. Jan 2004 A1
20040009307 Koh et al. Jan 2004 A1
20040009679 Yeo et al. Jan 2004 A1
20040013577 Ganguli et al. Jan 2004 A1
20040013818 Moon et al. Jan 2004 A1
20040016637 Yang Jan 2004 A1
20040018304 Chung et al. Jan 2004 A1
20040018307 Park et al. Jan 2004 A1
20040018723 Byun et al. Jan 2004 A1
20040018750 Sophie et al. Jan 2004 A1
20040023516 Londergan et al. Feb 2004 A1
20040029052 Park et al. Feb 2004 A1
20040036129 Forbes et al. Feb 2004 A1
20040048439 Soman Mar 2004 A1
20040048492 Ishikawa et al. Mar 2004 A1
20040050325 Samoilov Mar 2004 A1
20040062081 Drewes Apr 2004 A1
20040063289 Ohta Apr 2004 A1
20040071897 Verplancken et al. Apr 2004 A1
20040077182 Lim et al. Apr 2004 A1
20040079960 Shakuda Apr 2004 A1
20040080697 Song Apr 2004 A1
20040082171 Shin et al. Apr 2004 A1
20040094402 Gopalraja May 2004 A1
20040099213 Adomaitis et al. May 2004 A1
20040101622 Park et al. May 2004 A1
20040103914 Cheng et al. Jun 2004 A1
20040106249 Huotari Jun 2004 A1
20040124131 Aitchison Jul 2004 A1
20040124549 Curran Jul 2004 A1
20040126990 Ohta Jul 2004 A1
20040134429 Yamanaka Jul 2004 A1
20040144980 Ahn et al. Jul 2004 A1
20040146644 Xia et al. Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040152287 Sherrill et al. Aug 2004 A1
20040159343 Shimbara et al. Aug 2004 A1
20040168627 Conley et al. Sep 2004 A1
20040169032 Murayama et al. Sep 2004 A1
20040187777 Okamoto et al. Sep 2004 A1
20040198069 Metzner et al. Oct 2004 A1
20040200499 Harvey et al. Oct 2004 A1
20040203251 Kawaguchi et al. Oct 2004 A1
20040206305 Choi et al. Oct 2004 A1
20040209477 Buxbaum et al. Oct 2004 A1
20040211357 Gadgil Oct 2004 A1
20040212947 Nguyen Oct 2004 A1
20040213921 Leu Oct 2004 A1
20040214399 Ahn et al. Oct 2004 A1
20040214445 Shimizu et al. Oct 2004 A1
20040217217 Han et al. Nov 2004 A1
20040219793 Hishiya et al. Nov 2004 A1
20040221807 Verghese et al. Nov 2004 A1
20040238523 Kuibira et al. Dec 2004 A1
20040241998 Hanson Dec 2004 A1
20040247779 Selvamanickam et al. Dec 2004 A1
20040261712 Hayashi et al. Dec 2004 A1
20040266011 Lee et al. Dec 2004 A1
20050003662 Jurisch et al. Jan 2005 A1
20050008799 Tomiyasu et al. Jan 2005 A1
20050019026 Wang et al. Jan 2005 A1
20050019494 Moghadam et al. Jan 2005 A1
20050020071 Sonobe et al. Jan 2005 A1
20050023624 Ahn et al. Feb 2005 A1
20050034674 Ono Feb 2005 A1
20050037154 Koh et al. Feb 2005 A1
20050037610 Cha Feb 2005 A1
20050048797 Fukazawa Mar 2005 A1
20050051093 Makino et al. Mar 2005 A1
20050054228 March Mar 2005 A1
20050059262 Yin et al. Mar 2005 A1
20050064207 Senzaki et al. Mar 2005 A1
20050064719 Liu Mar 2005 A1
20050066893 Soininen Mar 2005 A1
20050069651 Miyoshi Mar 2005 A1
20050070123 Hirano Mar 2005 A1
20050070729 Kiyomori et al. Mar 2005 A1
20050072357 Shero et al. Apr 2005 A1
20050074983 Shinriki et al. Apr 2005 A1
20050092247 Schmidt May 2005 A1
20050092249 Kilpela et al. May 2005 A1
20050095770 Kumagai et al. May 2005 A1
20050100669 Kools et al. May 2005 A1
20050101154 Huang May 2005 A1
20050106893 Wilk May 2005 A1
20050110069 Kil et al. May 2005 A1
20050118804 Byun et al. Jun 2005 A1
20050120805 Lane Jun 2005 A1
20050120962 Ushioda et al. Jun 2005 A1
20050123690 Derderian et al. Jun 2005 A1
20050132957 El-Raghy Jun 2005 A1
20050133161 Carpenter et al. Jun 2005 A1
20050142361 Nakanishi Jun 2005 A1
20050145338 Park et al. Jul 2005 A1
20050153571 Senzaki Jul 2005 A1
20050173003 Laverdiere et al. Aug 2005 A1
20050175789 Helms Aug 2005 A1
20050181535 Yun et al. Aug 2005 A1
20050181555 Haukka et al. Aug 2005 A1
20050187647 Wang et al. Aug 2005 A1
20050191828 Al-Bayati et al. Sep 2005 A1
20050199013 Vandroux et al. Sep 2005 A1
20050208718 Lim et al. Sep 2005 A1
20050211167 Gunji Sep 2005 A1
20050212119 Shero Sep 2005 A1
20050214457 Schmitt et al. Sep 2005 A1
20050214458 Meiere Sep 2005 A1
20050218462 Ahn et al. Oct 2005 A1
20050221618 AmRhein et al. Oct 2005 A1
20050223982 Park et al. Oct 2005 A1
20050223994 Blomiley et al. Oct 2005 A1
20050227502 Schmitt et al. Oct 2005 A1
20050229848 Shinriki Oct 2005 A1
20050229972 Hoshi et al. Oct 2005 A1
20050241176 Shero et al. Nov 2005 A1
20050241763 Huang et al. Nov 2005 A1
20050249876 Kawahara et al. Nov 2005 A1
20050250340 Chen et al. Nov 2005 A1
20050251990 Choi Nov 2005 A1
20050252449 Nguyen et al. Nov 2005 A1
20050255257 Choi et al. Nov 2005 A1
20050258280 Goto et al. Nov 2005 A1
20050260347 Narwankar et al. Nov 2005 A1
20050260850 Loke Nov 2005 A1
20050263075 Wang et al. Dec 2005 A1
20050263932 Heugel Dec 2005 A1
20050271813 Kher et al. Dec 2005 A1
20050274323 Seidel et al. Dec 2005 A1
20050277271 Beintner Dec 2005 A1
20050282101 Adachi Dec 2005 A1
20050287725 Kitagawa Dec 2005 A1
20050287771 Seamons et al. Dec 2005 A1
20060013946 Park et al. Jan 2006 A1
20060014384 Lee et al. Jan 2006 A1
20060014397 Seamons et al. Jan 2006 A1
20060016783 Wu et al. Jan 2006 A1
20060019033 Muthukrishnan et al. Jan 2006 A1
20060019502 Park et al. Jan 2006 A1
20060021572 Wolden Feb 2006 A1
20060021703 Umotoy et al. Feb 2006 A1
20060024439 Tuominen et al. Feb 2006 A2
20060046518 Hill et al. Mar 2006 A1
20060051520 Behle et al. Mar 2006 A1
20060051925 Ahn et al. Mar 2006 A1
20060057828 Omura Mar 2006 A1
20060060930 Metz et al. Mar 2006 A1
20060062910 Meiere Mar 2006 A1
20060063346 Lee et al. Mar 2006 A1
20060068121 Lee et al. Mar 2006 A1
20060068125 Radhakrishnan Mar 2006 A1
20060087638 Hirayanagi Apr 2006 A1
20060096540 Choi May 2006 A1
20060099782 Ritenour May 2006 A1
20060105566 Waldfried et al. May 2006 A1
20060107898 Blomberg May 2006 A1
20060110934 Fukuchi May 2006 A1
20060113675 Chang et al. Jun 2006 A1
20060113806 Tsuji et al. Jun 2006 A1
20060128168 Ahn et al. Jun 2006 A1
20060130767 Herchen Jun 2006 A1
20060137609 Puchacz et al. Jun 2006 A1
20060147626 Blomberg Jul 2006 A1
20060148180 Ahn et al. Jul 2006 A1
20060154424 Yang et al. Jul 2006 A1
20060163612 Kouvetakis et al. Jul 2006 A1
20060172531 Lin et al. Aug 2006 A1
20060177855 Utermohlen Aug 2006 A1
20060191555 Yoshida et al. Aug 2006 A1
20060193979 Meiere et al. Aug 2006 A1
20060196420 Ushakov et al. Sep 2006 A1
20060199357 Wan et al. Sep 2006 A1
20060205223 Smayling Sep 2006 A1
20060208215 Metzner et al. Sep 2006 A1
20060211243 Ishizaka et al. Sep 2006 A1
20060213439 Ishizaka Sep 2006 A1
20060219169 Chen et al. Oct 2006 A1
20060223301 Vanhaelemeersch et al. Oct 2006 A1
20060226117 Bertram et al. Oct 2006 A1
20060228496 Choi Oct 2006 A1
20060228863 Zhang et al. Oct 2006 A1
20060228888 Lee et al. Oct 2006 A1
20060236934 Choi et al. Oct 2006 A1
20060240574 Yoshie Oct 2006 A1
20060240662 Conley et al. Oct 2006 A1
20060251827 Nowak Nov 2006 A1
20060252228 Jeng Nov 2006 A1
20060257563 Doh et al. Nov 2006 A1
20060257584 Derderian et al. Nov 2006 A1
20060258078 Lee et al. Nov 2006 A1
20060258173 Xiao et al. Nov 2006 A1
20060260545 Ramaswamy et al. Nov 2006 A1
20060263522 Byun Nov 2006 A1
20060264060 Ramaswamy et al. Nov 2006 A1
20060264066 Bartholomew Nov 2006 A1
20060266289 Verghese et al. Nov 2006 A1
20060269692 Balseanu Nov 2006 A1
20060278524 Stowell Dec 2006 A1
20060283629 Kikuchi et al. Dec 2006 A1
20060286818 Wang et al. Dec 2006 A1
20060291982 Tanaka Dec 2006 A1
20070006806 Imai Jan 2007 A1
20070010072 Bailey et al. Jan 2007 A1
20070020830 Speranza Jan 2007 A1
20070020953 Tsai et al. Jan 2007 A1
20070022954 Iizuka et al. Feb 2007 A1
20070026148 Arai et al. Feb 2007 A1
20070028842 Inagawa et al. Feb 2007 A1
20070031598 Okuyama et al. Feb 2007 A1
20070031599 Gschwandtner et al. Feb 2007 A1
20070032082 Ramaswamy et al. Feb 2007 A1
20070037412 Dip et al. Feb 2007 A1
20070042117 Kupurao et al. Feb 2007 A1
20070049053 Mahajani Mar 2007 A1
20070054499 Jang Mar 2007 A1
20070056843 Ye et al. Mar 2007 A1
20070056850 Ye et al. Mar 2007 A1
20070059948 Metzner et al. Mar 2007 A1
20070062453 Ishikawa Mar 2007 A1
20070065578 McDougall Mar 2007 A1
20070066010 Ando Mar 2007 A1
20070066079 Kolster et al. Mar 2007 A1
20070077355 Chacin et al. Apr 2007 A1
20070082132 Shinriki Apr 2007 A1
20070084405 Kim Apr 2007 A1
20070087579 Kitayama et al. Apr 2007 A1
20070089670 Ikedo Apr 2007 A1
20070096194 Streck et al. May 2007 A1
20070098527 Hall et al. May 2007 A1
20070107845 Ishizawa et al. May 2007 A1
20070111545 Lee et al. May 2007 A1
20070116873 Li et al. May 2007 A1
20070119370 Ma et al. May 2007 A1
20070123037 Lee et al. May 2007 A1
20070125762 Cui et al. Jun 2007 A1
20070128538 Fairbairn et al. Jun 2007 A1
20070128876 Fukiage Jun 2007 A1
20070128888 Goto et al. Jun 2007 A1
20070134942 Ahn et al. Jun 2007 A1
20070146621 Yeom Jun 2007 A1
20070148990 Deboer et al. Jun 2007 A1
20070155138 Tomasini et al. Jul 2007 A1
20070157466 Kida et al. Jul 2007 A1
20070158026 Amikura Jul 2007 A1
20070163440 Kim et al. Jul 2007 A1
20070166457 Yamoto et al. Jul 2007 A1
20070166966 Todd et al. Jul 2007 A1
20070166999 Vaarstra Jul 2007 A1
20070173071 Afzali-Ardakani et al. Jul 2007 A1
20070175393 Nishimura et al. Aug 2007 A1
20070175397 Tomiyasu et al. Aug 2007 A1
20070178235 Yamada et al. Aug 2007 A1
20070186952 Honda et al. Aug 2007 A1
20070187363 Oka et al. Aug 2007 A1
20070207275 Nowak et al. Sep 2007 A1
20070209590 Li Sep 2007 A1
20070210890 Hsu et al. Sep 2007 A1
20070215048 Suzuki et al. Sep 2007 A1
20070218200 Suzuki et al. Sep 2007 A1
20070218705 Matsuki et al. Sep 2007 A1
20070224777 Hamelin Sep 2007 A1
20070224833 Morisada et al. Sep 2007 A1
20070232031 Singh et al. Oct 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070232501 Tonomura Oct 2007 A1
20070234955 Suzuki et al. Oct 2007 A1
20070237697 Clark Oct 2007 A1
20070237699 Clark Oct 2007 A1
20070241688 DeVincentis et al. Oct 2007 A1
20070248767 Okura Oct 2007 A1
20070249131 Allen et al. Oct 2007 A1
20070251444 Gros-Jean et al. Nov 2007 A1
20070251456 Herchen et al. Nov 2007 A1
20070252244 Srividya et al. Nov 2007 A1
20070252532 DeVincentis et al. Nov 2007 A1
20070264807 Leone et al. Nov 2007 A1
20070266945 Shuto et al. Nov 2007 A1
20070269983 Sneh Nov 2007 A1
20070275166 Thridandam et al. Nov 2007 A1
20070277735 Mokhesi et al. Dec 2007 A1
20070281082 Mokhesi et al. Dec 2007 A1
20070281105 Mokhesi et al. Dec 2007 A1
20070281496 Ingle et al. Dec 2007 A1
20070298362 Rocha-Alvarez et al. Dec 2007 A1
20080003824 Padhi et al. Jan 2008 A1
20080003838 Haukka et al. Jan 2008 A1
20080006208 Ueno et al. Jan 2008 A1
20080018004 Steidl Jan 2008 A1
20080023436 Gros-Jean et al. Jan 2008 A1
20080026574 Brcka Jan 2008 A1
20080026597 Munro et al. Jan 2008 A1
20080029790 Ahn et al. Feb 2008 A1
20080036354 Letz et al. Feb 2008 A1
20080038485 Lukas Feb 2008 A1
20080050536 Aing et al. Feb 2008 A1
20080050538 Hirata Feb 2008 A1
20080054332 Kim et al. Mar 2008 A1
20080054813 Espiau et al. Mar 2008 A1
20080057659 Forbes et al. Mar 2008 A1
20080061667 Gaertner et al. Mar 2008 A1
20080066778 Matsushita et al. Mar 2008 A1
20080069955 Hong et al. Mar 2008 A1
20080075881 Won et al. Mar 2008 A1
20080076266 Fukazawa et al. Mar 2008 A1
20080081104 Hasebe et al. Apr 2008 A1
20080081113 Clark Apr 2008 A1
20080081121 Morita et al. Apr 2008 A1
20080085226 Fondurulia et al. Apr 2008 A1
20080092815 Chen et al. Apr 2008 A1
20080102203 Wu May 2008 A1
20080113094 Casper May 2008 A1
20080113096 Mahajani May 2008 A1
20080113097 Mahajani et al. May 2008 A1
20080121177 Bang et al. May 2008 A1
20080124197 van der Meulen et al. May 2008 A1
20080124908 Forbes et al. May 2008 A1
20080124946 Xiao et al. May 2008 A1
20080133154 Krauss et al. Jun 2008 A1
20080142483 Hua Jun 2008 A1
20080149031 Chu et al. Jun 2008 A1
20080152463 Chidambaram et al. Jun 2008 A1
20080153311 Padhi et al. Jun 2008 A1
20080157157 Tonomura Jul 2008 A1
20080157365 Ott et al. Jul 2008 A1
20080173238 Nakashima et al. Jul 2008 A1
20080173240 Furukawahara Jul 2008 A1
20080173326 Gu et al. Jul 2008 A1
20080176375 Erben et al. Jul 2008 A1
20080178805 Paterson et al. Jul 2008 A1
20080179104 Zhang Jul 2008 A1
20080179715 Coppa Jul 2008 A1
20080182075 Chopra Jul 2008 A1
20080182390 Lemmi et al. Jul 2008 A1
20080191193 Li et al. Aug 2008 A1
20080199977 Weigel et al. Aug 2008 A1
20080202416 Provencher Aug 2008 A1
20080203487 Hohage et al. Aug 2008 A1
20080211423 Shinmen et al. Sep 2008 A1
20080211526 Shinma Sep 2008 A1
20080216077 Emani et al. Sep 2008 A1
20080216742 Takebayashi Sep 2008 A1
20080220619 Matsushita et al. Sep 2008 A1
20080224240 Ahn et al. Sep 2008 A1
20080233288 Clark Sep 2008 A1
20080237572 Chui et al. Oct 2008 A1
20080241384 Jeong Oct 2008 A1
20080242116 Clark Oct 2008 A1
20080248310 Kim et al. Oct 2008 A1
20080257494 Hayashi et al. Oct 2008 A1
20080261413 Mahajani Oct 2008 A1
20080264337 Sano et al. Oct 2008 A1
20080267598 Nakamura Oct 2008 A1
20080277715 Ohmi et al. Nov 2008 A1
20080282970 Heys et al. Nov 2008 A1
20080283962 Dyer Nov 2008 A1
20080295872 Riker et al. Dec 2008 A1
20080298945 Cox Dec 2008 A1
20080299326 Fukazawa Dec 2008 A1
20080302303 Choi et al. Dec 2008 A1
20080305014 Honda Dec 2008 A1
20080305246 Choi et al. Dec 2008 A1
20080305443 Nakamura Dec 2008 A1
20080315292 Ji et al. Dec 2008 A1
20080317972 Hendriks Dec 2008 A1
20090000550 Tran et al. Jan 2009 A1
20090000551 Choi et al. Jan 2009 A1
20090011608 Nabatame Jan 2009 A1
20090020072 Mizunaga et al. Jan 2009 A1
20090023229 Matsushita Jan 2009 A1
20090029503 Arai Jan 2009 A1
20090029528 Sanchez et al. Jan 2009 A1
20090029564 Yamashita et al. Jan 2009 A1
20090033907 Watson Feb 2009 A1
20090035947 Horii Feb 2009 A1
20090041952 Yoon et al. Feb 2009 A1
20090041984 Mayers et al. Feb 2009 A1
20090042344 Ye et al. Feb 2009 A1
20090045829 Awazu Feb 2009 A1
20090050621 Awazu Feb 2009 A1
20090053023 Wakabayashi Feb 2009 A1
20090053906 Miya et al. Feb 2009 A1
20090056629 Katz et al. Mar 2009 A1
20090057269 Katz et al. Mar 2009 A1
20090061083 Chiang et al. Mar 2009 A1
20090061644 Chiang et al. Mar 2009 A1
20090061647 Mallick et al. Mar 2009 A1
20090085156 Dewey et al. Apr 2009 A1
20090090382 Morisada Apr 2009 A1
20090093094 Ye et al. Apr 2009 A1
20090095221 Tam et al. Apr 2009 A1
20090104351 Kakegawa Apr 2009 A1
20090104789 Mallick et al. Apr 2009 A1
20090107404 Ogliari et al. Apr 2009 A1
20090120580 Kagoshima et al. May 2009 A1
20090122293 Shibazaki May 2009 A1
20090130331 Asai May 2009 A1
20090136668 Gregg et al. May 2009 A1
20090136683 Fukasawa et al. May 2009 A1
20090139657 Lee et al. Jun 2009 A1
20090142905 Yamazaki Jun 2009 A1
20090142935 Fukazawa et al. Jun 2009 A1
20090146322 Weling et al. Jun 2009 A1
20090156015 Park et al. Jun 2009 A1
20090159424 Liu et al. Jun 2009 A1
20090162996 Ramaswamry et al. Jun 2009 A1
20090163038 Miyoshi Jun 2009 A1
20090197015 Kudela et al. Aug 2009 A1
20090200494 Hatem Aug 2009 A1
20090206056 Xu Aug 2009 A1
20090209081 Matero Aug 2009 A1
20090211523 Kuppurao et al. Aug 2009 A1
20090211525 Sarigiannis et al. Aug 2009 A1
20090227094 Bateman Sep 2009 A1
20090236014 Wilson Sep 2009 A1
20090239386 Suzaki et al. Sep 2009 A1
20090242957 Ma et al. Oct 2009 A1
20090246374 Vukovic Oct 2009 A1
20090246399 Goundar Oct 2009 A1
20090246971 Reid et al. Oct 2009 A1
20090250955 Aoki Oct 2009 A1
20090255901 Okita Oct 2009 A1
20090261331 Yang et al. Oct 2009 A1
20090269506 Okura et al. Oct 2009 A1
20090269941 Raisanen Oct 2009 A1
20090275205 Kiehlbauch et al. Nov 2009 A1
20090277510 Shikata Nov 2009 A1
20090283041 Tomiyasu et al. Nov 2009 A1
20090283217 Lubomirsky et al. Nov 2009 A1
20090286400 Heo et al. Nov 2009 A1
20090286402 Xia et al. Nov 2009 A1
20090289300 Sasaki et al. Nov 2009 A1
20090304558 Patton Dec 2009 A1
20090311857 Todd et al. Dec 2009 A1
20090315093 Li et al. Dec 2009 A1
20090325391 De Vusser et al. Dec 2009 A1
20090325469 Koo et al. Dec 2009 A1
20100001409 Humbert et al. Jan 2010 A1
20100003406 Lam et al. Jan 2010 A1
20100006031 Choi et al. Jan 2010 A1
20100006923 Fujitsuka Jan 2010 A1
20100014479 Kim Jan 2010 A1
20100015813 McGinnis et al. Jan 2010 A1
20100024727 Kim et al. Feb 2010 A1
20100024872 Kishimoto Feb 2010 A1
20100025796 Dabiran Feb 2010 A1
20100041179 Lee Feb 2010 A1
20100041243 Cheng et al. Feb 2010 A1
20100050943 Kato et al. Mar 2010 A1
20100055312 Kato et al. Mar 2010 A1
20100055442 Kellock Mar 2010 A1
20100058984 Marubayashi Mar 2010 A1
20100068009 Kimura Mar 2010 A1
20100068891 Hatanaka et al. Mar 2010 A1
20100075507 Chang et al. Mar 2010 A1
20100089320 Kim Apr 2010 A1
20100089870 Hiroshima et al. Apr 2010 A1
20100090149 Thompson et al. Apr 2010 A1
20100092696 Shinriki Apr 2010 A1
20100093187 Lee et al. Apr 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100105936 Tada Apr 2010 A1
20100116209 Kato May 2010 A1
20100124610 Aikawa et al. May 2010 A1
20100124618 Kobayashi et al. May 2010 A1
20100124621 Kobayashi et al. May 2010 A1
20100126415 Ishino et al. May 2010 A1
20100126539 Lee et al. May 2010 A1
20100126605 Stones May 2010 A1
20100129990 Nishizawa et al. May 2010 A1
20100130015 Nakajima et al. May 2010 A1
20100130017 Luo et al. May 2010 A1
20100130105 Lee May 2010 A1
20100134023 Mills Jun 2010 A1
20100136216 Tsuei et al. Jun 2010 A1
20100140221 Kikuchi et al. Jun 2010 A1
20100143609 Fukazawa et al. Jun 2010 A1
20100144162 Lee et al. Jun 2010 A1
20100151206 Wu et al. Jun 2010 A1
20100159638 Jeong Jun 2010 A1
20100162752 Tabata et al. Jul 2010 A1
20100162956 Murakami et al. Jul 2010 A1
20100163524 Arai Jul 2010 A1
20100163937 Clendenning Jul 2010 A1
20100168404 Girolami et al. Jul 2010 A1
20100170441 Won et al. Jul 2010 A1
20100173432 White et al. Jul 2010 A1
20100178137 Chintalapati et al. Jul 2010 A1
20100178423 Shimizu et al. Jul 2010 A1
20100180819 Hatanaka et al. Jul 2010 A1
20100183825 Becker et al. Jul 2010 A1
20100184302 Lee et al. Jul 2010 A1
20100186669 Shin et al. Jul 2010 A1
20100193501 Zucker et al. Aug 2010 A1
20100195392 Freeman Aug 2010 A1
20100221452 Kang Sep 2010 A1
20100229795 Tanabe Sep 2010 A1
20100230051 Iizuka Sep 2010 A1
20100233886 Yang et al. Sep 2010 A1
20100236691 Yamazaki Sep 2010 A1
20100243166 Hayashi et al. Sep 2010 A1
20100244688 Braun et al. Sep 2010 A1
20100248465 Yi et al. Sep 2010 A1
20100255198 Cleary et al. Oct 2010 A1
20100255625 De Vries Oct 2010 A1
20100255658 Aggarwal Oct 2010 A1
20100259152 Yasuda et al. Oct 2010 A1
20100266765 White et al. Oct 2010 A1
20100267248 Ma et al. Oct 2010 A1
20100270675 Harada Oct 2010 A1
20100275846 Kitagawa Nov 2010 A1
20100282170 Nishizawa Nov 2010 A1
20100282645 Wang Nov 2010 A1
20100285319 Kwak et al. Nov 2010 A1
20100294199 Tran et al. Nov 2010 A1
20100297391 Kley Nov 2010 A1
20100301752 Bakre et al. Dec 2010 A1
20100304047 Yang et al. Dec 2010 A1
20100307415 Shero et al. Dec 2010 A1
20100317198 Antonelli Dec 2010 A1
20100322604 Fondurulia et al. Dec 2010 A1
20100326358 Choi Dec 2010 A1
20110000619 Suh Jan 2011 A1
20110006402 Zhou Jan 2011 A1
20110006406 Urbanowicz et al. Jan 2011 A1
20110014795 Lee Jan 2011 A1
20110027999 Sparks et al. Feb 2011 A1
20110034039 Liang et al. Feb 2011 A1
20110048642 Mihara et al. Mar 2011 A1
20110052833 Hanawa et al. Mar 2011 A1
20110053383 Shero et al. Mar 2011 A1
20110056513 Hombach et al. Mar 2011 A1
20110056626 Brown et al. Mar 2011 A1
20110057248 Ma et al. Mar 2011 A1
20110061810 Ganguly et al. Mar 2011 A1
20110070380 Shero et al. Mar 2011 A1
20110081519 Dillingh Apr 2011 A1
20110083496 Lin et al. Apr 2011 A1
20110086516 Lee et al. Apr 2011 A1
20110089469 Merckling Apr 2011 A1
20110097901 Banna et al. Apr 2011 A1
20110107512 Gilbert May 2011 A1
20110108194 Yoshioka et al. May 2011 A1
20110108741 Ingram May 2011 A1
20110108929 Meng May 2011 A1
20110117490 Bae et al. May 2011 A1
20110117737 Agarwala et al. May 2011 A1
20110117749 Sheu May 2011 A1
20110124196 Lee May 2011 A1
20110139748 Donnelly et al. Jun 2011 A1
20110143032 Vrtis et al. Jun 2011 A1
20110143461 Fish et al. Jun 2011 A1
20110159202 Matsushita Jun 2011 A1
20110159673 Hanawa et al. Jun 2011 A1
20110159680 Yoo Jun 2011 A1
20110168330 Sakaue et al. Jul 2011 A1
20110171775 Yamamoto et al. Jul 2011 A1
20110175011 Ehrne et al. Jul 2011 A1
20110180233 Bera et al. Jul 2011 A1
20110183079 Jackson et al. Jul 2011 A1
20110183269 Zhu Jul 2011 A1
20110183527 Cho Jul 2011 A1
20110192820 Yeom et al. Aug 2011 A1
20110198417 Detmar et al. Aug 2011 A1
20110198736 Shero et al. Aug 2011 A1
20110210468 Shannon et al. Sep 2011 A1
20110220874 Hanrath Sep 2011 A1
20110236600 Fox et al. Sep 2011 A1
20110237040 Ng et al. Sep 2011 A1
20110239936 Suzaki et al. Oct 2011 A1
20110254052 Kouvetakis Oct 2011 A1
20110256675 Avouris Oct 2011 A1
20110256726 Lavoie et al. Oct 2011 A1
20110256727 Beynet et al. Oct 2011 A1
20110256734 Hausmann et al. Oct 2011 A1
20110265549 Cruse et al. Nov 2011 A1
20110265715 Keller Nov 2011 A1
20110265725 Tsuji Nov 2011 A1
20110265951 Xu et al. Nov 2011 A1
20110275166 Shero et al. Nov 2011 A1
20110277690 Rozenzon et al. Nov 2011 A1
20110281417 Gordon et al. Nov 2011 A1
20110283933 Makarov et al. Nov 2011 A1
20110294075 Chen et al. Dec 2011 A1
20110308453 Su et al. Dec 2011 A1
20110308460 Hong et al. Dec 2011 A1
20120003500 Yoshida et al. Jan 2012 A1
20120006489 Okita Jan 2012 A1
20120009802 Lavoie Jan 2012 A1
20120024227 Takasuka et al. Feb 2012 A1
20120024479 Palagashvili et al. Feb 2012 A1
20120031333 Kurita et al. Feb 2012 A1
20120032311 Gates Feb 2012 A1
20120040528 Kim et al. Feb 2012 A1
20120043556 Dube et al. Feb 2012 A1
20120046421 Darling et al. Feb 2012 A1
20120052681 Marsh Mar 2012 A1
20120058630 Quinn Mar 2012 A1
20120068242 Shin et al. Mar 2012 A1
20120070136 Koelmel et al. Mar 2012 A1
20120070997 Larson Mar 2012 A1
20120077349 Li et al. Mar 2012 A1
20120080756 Suzuki Apr 2012 A1
20120090704 Laverdiere et al. Apr 2012 A1
20120098107 Raisanen et al. Apr 2012 A1
20120100464 Kageyama Apr 2012 A1
20120103264 Choi et al. May 2012 A1
20120103939 Wu et al. May 2012 A1
20120107607 Takaki et al. May 2012 A1
20120108039 Zajaji May 2012 A1
20120114877 Lee May 2012 A1
20120121823 Chhabra May 2012 A1
20120122302 Weisman et al. May 2012 A1
20120128897 Xiao et al. May 2012 A1
20120135145 Je et al. May 2012 A1
20120149213 Nittala Jun 2012 A1
20120156108 Fondurulia et al. Jun 2012 A1
20120160172 Warnura et al. Jun 2012 A1
20120161405 Mohn Jun 2012 A1
20120164327 Sato Jun 2012 A1
20120164837 Tan et al. Jun 2012 A1
20120164842 Watanabe Jun 2012 A1
20120170170 Gros-Jean Jul 2012 A1
20120171391 Won Jul 2012 A1
20120171874 Thridandam et al. Jul 2012 A1
20120175751 Gatineau et al. Jul 2012 A1
20120190178 Wang et al. Jul 2012 A1
20120196048 Ueda Aug 2012 A1
20120207456 Kim et al. Aug 2012 A1
20120212121 Lin Aug 2012 A1
20120214318 Fukazawa et al. Aug 2012 A1
20120219824 Prolier et al. Aug 2012 A1
20120220139 Lee et al. Aug 2012 A1
20120225561 Watanabe Sep 2012 A1
20120238074 Santhanam et al. Sep 2012 A1
20120240858 Taniyatna et al. Sep 2012 A1
20120241411 Darling et al. Sep 2012 A1
20120263876 Haukka et al. Oct 2012 A1
20120264051 Angelov et al. Oct 2012 A1
20120270339 Xie et al. Oct 2012 A1
20120270393 Pore et al. Oct 2012 A1
20120289053 Holland Nov 2012 A1
20120295427 Bauer Nov 2012 A1
20120304935 Oosterlaken et al. Dec 2012 A1
20120305196 Mori et al. Dec 2012 A1
20120305987 Hirler et al. Dec 2012 A1
20120315113 Hiroki Dec 2012 A1
20120318334 Bedell et al. Dec 2012 A1
20120321786 Satitpunwaycha et al. Dec 2012 A1
20120322252 Son et al. Dec 2012 A1
20120325148 Yamagishi et al. Dec 2012 A1
20120328780 Yamagishi et al. Dec 2012 A1
20130005122 Schwarzenbach et al. Jan 2013 A1
20130011983 Tsai Jan 2013 A1
20130014697 Kanayama Jan 2013 A1
20130014896 Shoji et al. Jan 2013 A1
20130019944 Hekmatshoar-Tabai et al. Jan 2013 A1
20130019945 Hekmatshoar-Tabai et al. Jan 2013 A1
20130023129 Reed Jan 2013 A1
20130037886 Tsai et al. Feb 2013 A1
20130048606 Mao et al. Feb 2013 A1
20130061755 Frederick Mar 2013 A1
20130064973 Chen et al. Mar 2013 A1
20130068727 Okita Mar 2013 A1
20130068970 Matsushita Mar 2013 A1
20130078392 Xiao et al. Mar 2013 A1
20130081702 Mohammed et al. Apr 2013 A1
20130084156 Shimamoto Apr 2013 A1
20130084714 Oka et al. Apr 2013 A1
20130089716 Krishnamurthy et al. Apr 2013 A1
20130095664 Matero et al. Apr 2013 A1
20130095973 Kroneberger et al. Apr 2013 A1
20130104988 Yednak et al. May 2013 A1
20130104992 Yednak et al. May 2013 A1
20130115383 Lu et al. May 2013 A1
20130115763 Takamure et al. May 2013 A1
20130115768 Pore et al. May 2013 A1
20130122712 Kim et al. May 2013 A1
20130126515 Shero et al. May 2013 A1
20130129577 Halpin et al. May 2013 A1
20130134148 Tachikawa May 2013 A1
20130157409 Vaidya Jun 2013 A1
20130160709 White Jun 2013 A1
20130168354 Kanarik Jul 2013 A1
20130175596 Cheng et al. Jul 2013 A1
20130180448 Sakaue et al. Jul 2013 A1
20130183814 Huang et al. Jul 2013 A1
20130203266 Hintze Aug 2013 A1
20130210241 Lavoie et al. Aug 2013 A1
20130217239 Mallick et al. Aug 2013 A1
20130217240 Mallick et al. Aug 2013 A1
20130217241 Underwood et al. Aug 2013 A1
20130217243 Underwood et al. Aug 2013 A1
20130224964 Fukazawa Aug 2013 A1
20130230814 Dunn et al. Sep 2013 A1
20130256265 Darling et al. Oct 2013 A1
20130256838 Sanchez et al. Oct 2013 A1
20130264659 Jung Oct 2013 A1
20130269612 Cheng et al. Oct 2013 A1
20130276978 Bluck et al. Oct 2013 A1
20130285155 Glass Oct 2013 A1
20130287526 Bluck et al. Oct 2013 A1
20130288480 Sanchez et al. Oct 2013 A1
20130288485 Liang Oct 2013 A1
20130292047 Tian et al. Nov 2013 A1
20130292676 Milligan et al. Nov 2013 A1
20130292807 Raisanen et al. Nov 2013 A1
20130302999 Won et al. Nov 2013 A1
20130313656 Tong Nov 2013 A1
20130319290 Xiao et al. Dec 2013 A1
20130320429 Thomas Dec 2013 A1
20130323435 Xiao et al. Dec 2013 A1
20130330165 Wimplinger Dec 2013 A1
20130330911 Huang et al. Dec 2013 A1
20130330933 Fukazawa et al. Dec 2013 A1
20130337583 Kobayashi et al. Dec 2013 A1
20130337653 Kovalgin et al. Dec 2013 A1
20130340619 Tammera Dec 2013 A1
20130344248 Clark Dec 2013 A1
20140000843 Dunn et al. Jan 2014 A1
20140001520 Glass Jan 2014 A1
20140014642 Elliot et al. Jan 2014 A1
20140014644 Akiba et al. Jan 2014 A1
20140015186 Wessel et al. Jan 2014 A1
20140020619 Vincent et al. Jan 2014 A1
20140027884 Tang et al. Jan 2014 A1
20140033978 Adachi et al. Feb 2014 A1
20140036274 Marquardt et al. Feb 2014 A1
20140048765 Ma et al. Feb 2014 A1
20140056679 Yamabe et al. Feb 2014 A1
20140057454 Subramonium Feb 2014 A1
20140060147 Sarin et al. Mar 2014 A1
20140062304 Nakano et al. Mar 2014 A1
20140065841 Matero Mar 2014 A1
20140067110 Lawson et al. Mar 2014 A1
20140073143 Alokozai et al. Mar 2014 A1
20140077240 Roucka et al. Mar 2014 A1
20140084341 Weeks Mar 2014 A1
20140087544 Tolle Mar 2014 A1
20140094027 Azumo et al. Apr 2014 A1
20140096716 Chung et al. Apr 2014 A1
20140099798 Tsuji Apr 2014 A1
20140103145 White et al. Apr 2014 A1
20140106574 Kang et al. Apr 2014 A1
20140110798 Cai Apr 2014 A1
20140113457 Sims Apr 2014 A1
20140116335 Tsuji et al. May 2014 A1
20140120487 Kaneko May 2014 A1
20140120723 Fu et al. May 2014 A1
20140120738 Jung May 2014 A1
20140127907 Yang May 2014 A1
20140138779 Xie et al. May 2014 A1
20140141625 Fuzazawa et al. May 2014 A1
20140158786 Santo Jun 2014 A1
20140159170 Raisanen et al. Jun 2014 A1
20140174354 Arai Jun 2014 A1
20140175054 Carlson et al. Jun 2014 A1
20140182053 Huang Jul 2014 A1
20140193983 Lavoie Jul 2014 A1
20140202386 Taga Jul 2014 A1
20140202388 Um et al. Jul 2014 A1
20140209976 Yang et al. Jul 2014 A1
20140217065 Winkler et al. Aug 2014 A1
20140220247 Haukka et al. Aug 2014 A1
20140225065 Rachmady et al. Aug 2014 A1
20140227072 Lee et al. Aug 2014 A1
20140227861 Wu et al. Aug 2014 A1
20140227881 Lubomirsky et al. Aug 2014 A1
20140251953 Winkler et al. Sep 2014 A1
20140251954 Winkler et al. Sep 2014 A1
20140252134 Chen Sep 2014 A1
20140252479 Utomo et al. Sep 2014 A1
20140264902 Ting et al. Sep 2014 A1
20140273428 Shero Sep 2014 A1
20140273477 Niskanen Sep 2014 A1
20140273510 Chen et al. Sep 2014 A1
20140273528 Niskanen Sep 2014 A1
20140273530 Nguyen Sep 2014 A1
20140273531 Niskanen Sep 2014 A1
20140283747 Kasai et al. Sep 2014 A1
20140346650 Raisanen et al. Nov 2014 A1
20140349033 Nonaka et al. Nov 2014 A1
20140363980 Kawamata et al. Dec 2014 A1
20140363983 Nakano et al. Dec 2014 A1
20140363985 Jang et al. Dec 2014 A1
20140367043 Bishara et al. Dec 2014 A1
20140377960 Koiwa Dec 2014 A1
20150004316 Thompson et al. Jan 2015 A1
20150004317 Dussarrat et al. Jan 2015 A1
20150007770 Chandrasekharan et al. Jan 2015 A1
20150010381 Cai Jan 2015 A1
20150014632 Kim et al. Jan 2015 A1
20150017794 Takamure Jan 2015 A1
20150021599 Ridgeway Jan 2015 A1
20150024609 Milligan et al. Jan 2015 A1
20150048485 Tolle Feb 2015 A1
20150078874 Sansoni Mar 2015 A1
20150079311 Nakano Mar 2015 A1
20150086316 Greenberg Mar 2015 A1
20150091057 Xie et al. Apr 2015 A1
20150096973 Dunn et al. Apr 2015 A1
20150099072 Takamure et al. Apr 2015 A1
20150099342 Tsai Apr 2015 A1
20150111374 Bao Apr 2015 A1
20150111395 Hashimoto et al. Apr 2015 A1
20150122180 Chang et al. May 2015 A1
20150132212 Winkler et al. May 2015 A1
20150140210 Jung et al. May 2015 A1
20150147483 Fukazawa May 2015 A1
20150147877 Jung May 2015 A1
20150162168 Oehrlien Jun 2015 A1
20150162185 Pore Jun 2015 A1
20150162214 Thompson Jun 2015 A1
20150167159 Halpin et al. Jun 2015 A1
20150170914 Haukka et al. Jun 2015 A1
20150170947 Bluck Jun 2015 A1
20150170954 Agarwal Jun 2015 A1
20150174768 Rodnick Jun 2015 A1
20150179501 Jhaveri et al. Jun 2015 A1
20150184291 Alokozai et al. Jul 2015 A1
20150187559 Sano Jul 2015 A1
20150187568 Pettinger et al. Jul 2015 A1
20150217330 Haukka Aug 2015 A1
20150217456 Tsuji et al. Aug 2015 A1
20150228572 Yang et al. Aug 2015 A1
20150240359 Jdira et al. Aug 2015 A1
20150243542 Yoshihara et al. Aug 2015 A1
20150243545 Tang Aug 2015 A1
20150243658 Joshi et al. Aug 2015 A1
20150259790 Newman Sep 2015 A1
20150267295 Hill et al. Sep 2015 A1
20150267297 Shiba Sep 2015 A1
20150267298 Saitou et al. Sep 2015 A1
20150267299 Hawkins Sep 2015 A1
20150267301 Hill et al. Sep 2015 A1
20150270146 Yoshihara et al. Sep 2015 A1
20150279708 Kobayashi et al. Oct 2015 A1
20150284848 Nakano et al. Oct 2015 A1
20150287626 Arai Oct 2015 A1
20150299848 Haukka Oct 2015 A1
20150308586 Shugrue et al. Oct 2015 A1
20150315704 Nakano et al. Nov 2015 A1
20150343741 Shibata et al. Dec 2015 A1
20150361553 Murakawa Dec 2015 A1
20150367253 Kanyal et al. Dec 2015 A1
20150376211 Girard Dec 2015 A1
20150376785 Knaapen et al. Dec 2015 A1
20160013022 Ayoub Jan 2016 A1
20160013024 Milligan et al. Jan 2016 A1
20160020092 Kang Jan 2016 A1
20160024656 White et al. Jan 2016 A1
20160035566 LaVoie Feb 2016 A1
20160051964 Tolle et al. Feb 2016 A1
20160099150 Tsai Apr 2016 A1
20160133307 Lee et al. May 2016 A1
20160133628 Xie May 2016 A1
20160141172 Kang May 2016 A1
20160148821 Singh May 2016 A1
20160163561 Hudson et al. Jun 2016 A1
20160181128 Mori Jun 2016 A1
20160190137 Tsai et al. Jun 2016 A1
20160362813 Bao et al. Jun 2016 A1
20160211135 Noda et al. Jul 2016 A1
20160211147 Fukazawa Jul 2016 A1
20160256187 Shelton et al. Sep 2016 A1
20160268107 White Sep 2016 A1
20160276148 Qian et al. Sep 2016 A1
20160289828 Shero et al. Oct 2016 A1
20160358772 Xie Dec 2016 A1
20160376700 Haukka Dec 2016 A1
20160379851 Swaminathan et al. Dec 2016 A1
20160381732 Moench et al. Dec 2016 A1
20170011889 Winkler et al. Jan 2017 A1
20170011950 Schmotzer Jan 2017 A1
20170018477 Kato Jan 2017 A1
20170025280 Milligan Jan 2017 A1
20170037513 Haukka Feb 2017 A1
20170040164 Wang et al. Feb 2017 A1
20170040206 Schmotzer et al. Feb 2017 A1
20170047446 Margetis et al. Feb 2017 A1
20170062209 Shiba Mar 2017 A1
20170062258 Bluck Mar 2017 A1
20170091320 Psota et al. Mar 2017 A1
20170092469 Kurita et al. Mar 2017 A1
20170092531 Coomer Mar 2017 A1
20170100742 Pore et al. Apr 2017 A1
20170103907 Chu et al. Apr 2017 A1
20170107621 Suemori Apr 2017 A1
20170110313 Tang et al. Apr 2017 A1
20170117141 Zhu et al. Apr 2017 A1
20170117202 Tang et al. Apr 2017 A1
20170117203 Tang et al. Apr 2017 A1
20170130332 Stumpf May 2017 A1
20170136578 Yoshimura May 2017 A1
20170154757 Winkler et al. Jun 2017 A1
20170173696 Sheinman Jun 2017 A1
20170186754 Blomberg et al. Jun 2017 A1
20170196562 Shelton Jul 2017 A1
20170250068 Ishikawa et al. Aug 2017 A1
20170256429 Lawson et al. Sep 2017 A1
20170260649 Coomer Sep 2017 A1
20170267531 Huakka Sep 2017 A1
20170278707 Margetis et al. Sep 2017 A1
20170306478 Raisanen et al. Oct 2017 A1
20170306479 Raisanen et al. Oct 2017 A1
20170306480 Zhu et al. Oct 2017 A1
20170316933 Xie et al. Nov 2017 A1
20170316940 Ishikawa et al. Nov 2017 A1
20170317194 Tang et al. Nov 2017 A1
20170342559 Fukazawa et al. Nov 2017 A1
20170372884 Margetis et al. Dec 2017 A1
20180010247 Niskanen Jan 2018 A1
Foreign Referenced Citations (71)
Number Date Country
1563483 Jan 2005 CN
101330015 Dec 2008 CN
101522943 Sep 2009 CN
101423937 Sep 2011 CN
102383106 Mar 2012 CN
102008052750 Jun 2009 DE
2036600 Mar 2009 EP
2426233 Jul 2012 EP
61038863 Feb 1986 JP
03-044472 Feb 1991 JP
H04115531 Apr 1992 JP
05171446 Jul 1993 JP
07-034936 Aug 1995 JP
7-272694 Oct 1995 JP
H07283149 Oct 1995 JP
08-181135 Jul 1996 JP
H08335558 Dec 1996 JP
10-064696 Mar 1998 JP
10-0261620 Sep 1998 JP
2845163 Jan 1999 JP
11-097163 Apr 1999 JP
2001-15698 Jan 2001 JP
2001342570 Dec 2001 JP
2004014952 Jan 2004 JP
2004091848 Mar 2004 JP
2004128019 Apr 2004 JP
2004134553 Apr 2004 JP
2004294638 Oct 2004 JP
2004310019 Nov 2004 JP
2004538374 Dec 2004 JP
2005507030 Mar 2005 JP
2006186271 Jul 2006 JP
3140111 Mar 2008 JP
2008060304 Mar 2008 JP
2008527748 Jul 2008 JP
2008202107 Sep 2008 JP
2009016815 Jan 2009 JP
2009099938 May 2009 JP
2010097834 Apr 2010 JP
2010205967 Sep 2010 JP
2010251444 Oct 2010 JP
2011162830 Aug 2011 JP
2011049592 Oct 2011 JP
2012089837 May 2012 JP
2012146939 Aug 2012 JP
2013235912 Nov 2013 JP
2014522104 Aug 2014 JP
20100020834 Feb 2010 KR
20100032812 Mar 2010 KR
I226380 Jan 2005 TW
200701301 Jan 2007 TW
1996017107 Jun 1996 WO
1997003223 Jan 1997 WO
1998032893 Jul 1998 WO
2004008827 Jan 2004 WO
2004010467 Jan 2004 WO
2006054854 May 2006 WO
2006056091 Jun 2006 WO
2006078666 Jul 2006 WO
2006080782 Aug 2006 WO
2006101857 Sep 2006 WO
2007140376 Dec 2007 WO
2009099776 Aug 2009 WO
2009154889 Dec 2009 WO
2010039363 Apr 2010 WO
2010100702 Sep 2010 WO
2010118051 Jan 2011 WO
2011019950 Feb 2011 WO
2011149640 Dec 2011 WO
2013078065 May 2013 WO
2013078066 May 2013 WO
Non-Patent Literature Citations (606)
Entry
USPTO; Office Action dated Aug. 27, 2010 in U.S. Appl. No. 12/118,596.
USPTO; Office Action dated Feb. 15, 2011 in U.S. Appl. No. 12/118,596.
USPTO; Notice of Allowance dated Aug. 4, 2011 in U.S. Appl. No. 12/118,596.
USPTO; Non-Final Office Action dated Apr. 1, 2010 in U.S. Appl. No. 12/357,174.
USPTO; Final Office Action dated Sep. 1, 2010 in U.S. Appl. No. 12/357,174.
USPTO; Notice of Allowance dated Dec. 13, 2010 in U.S. Appl. No. 12/357,174.
USPTO; Non-Final Office Action dated Dec. 29, 2010 in U.S. Appl. No. 12/362,023.
USPTO; Non-Final Office Action dated Jul. 26, 2011 in U.S. Appl. No. 12/416,809.
USPTO; Final Office Action dated Dec. 6, 2011 in U.S. Appl. No. 12/416,809.
USPTO; Notice of Allowance dated Jun. 16, 2011 in U.S. Appl. No. 12/430,751.
USPTO; Notice of Allowance dated Jul. 27, 2011 in U.S. Appl. No. 12/430,751.
USPTO; Notice of Allowance dated Oct. 1, 2010 in U.S. Appl. No. 12/467,017.
USPTO; Non-Final Office Action dated Mar. 18, 2010 in U.S. Appl. No. 12/489,252.
USPTO; Notice of Allowance dated Sep. 2, 2010 in U.S. Appl. No. 12/489,252.
USPTO; Non-Final Office Action dated Dec. 15, 2010 in U.S. Appl. No. 12/553,759.
USPTO; Final Office Action dated May 4, 2011 in U.S. Appl. No. 12/553,759.
USPTO; Non-Final Office Action dated Sep. 6, 2011 in U.S. Appl. No. 12/553,759.
USPTO; Notice of Allowance dated Jan. 24, 2012 in U.S. Appl. No. 12/553,759.
USPTO; Non-Final Office Action dated Oct. 19, 2012 in U.S. Appl. No. 12/618,355.
USPTO; Final Office Action dated May 8, 2013 in U.S. Appl. No. 12/618,355.
USPTO; Non-Final Office Action dated Apr. 8, 2015 in U.S. Appl. No. 12/618,355.
USPTO; Final Office Action dated Oct. 22, 2015 in U.S. Appl. No. 12/618,355.
USPTO; Non-Final Office Action dated Jun. 30, 2016 in U.S. Appl. No. 12/618,355.
USPTO; Final Office Action dated Feb. 10, 2017 in U.S. Appl. No. 12/618,355.
USPTO; Non-Final Office Action dated Feb. 16, 2012 in U.S. Appl. No. 12/618,419.
USPTO; Final Office Action dated Jun. 22, 2012 in U.S. Appl. No. 12/618,419.
USPTO; Non-Final Office Action dated Nov. 27, 2012 in U.S. Appl. No. 12/618,419.
USPTO; Notice of Allowance dated Apr. 12, 2013 in U.S. Appl. No. 12/618,419.
USPTO; Non-Final Office Action dated Dec. 6, 2011 in U.S. Appl. No. 12/718,731.
USPTO; Notice of Allowance dated Mar. 16, 2012 in U.S. Appl. No. 12/718,731.
USPTO; Restriction Requirement dated Jan. 15, 2013 in U.S. Appl. No. 12/754,223.
USPTO; Office Action dated Feb. 26, 2013 in U.S. Appl. No. 12/754,223.
USPTO; Final Office Action dated Jun. 28, 2013 in U.S. Appl. No. 12/754,223.
USPTO; Office Action dated Feb. 25, 2014 in U.S. Appl. No. 12/754,223.
USPTO; Final Office Action dated Jul. 14, 2014 in U.S. Appl. No. 12/754,223.
USPTO; Non-Final Office Action dated Mar. 25, 2015 in U.S. Appl. No. 12/754,223.
USPTO; Final Office Action dated Aug. 12, 2015 in U.S. Appl. No. 12/754,223.
USPTO; Notice of Allowance dated May 23, 2016 in U.S. Appl. No. 12/754,223.
USPTO; Office Action dated Apr. 23, 2013 in U.S. Appl. No. 12/763,037.
USPTO; Final Office Action dated Oct. 21, 2013 in U.S. Appl. No. 12/763,037.
USPTO; Office Action dated Oct. 8, 2014 in U.S. Appl. No. 12/763,037.
USPTO; Notice of Allowance dated Jan. 27, 2015 in U.S. Appl. No. 12/763,037.
USPTO; Non-Final Office Action dated Jan. 24, 2011 in U.S. Appl. No. 12/778,808.
USPTO; Notice of Allowance dated May 9, 2011 in U.S. Appl. No. 12/778,808.
USPTO; Notice of Allowance dated Oct. 12, 2012 in U.S. Appl. No. 12/832,739.
USPTO; Non-Final Office Action dated Oct. 16, 2012 in U.S. Appl. No. 12/847,848.
USPTO; Final Office Action dated Apr. 22, 2013 in U.S. Appl. No. 12/847,848.
USPTO; Notice of Allowance dated Jan. 16, 2014 in U.S. Appl. No. 12/847,848.
USPTO; Restriction Requirement dated Sep. 25, 2012 in U.S. Appl. No. 12/854,818.
USPTO; Office Action dated Dec. 6, 2012 in U.S. Appl. No. 12/854,818.
USPTO; Final Office Action dated Mar. 13, 2013 in U.S. Appl. No. 12/854,818.
USPTO; Office Action dated Aug. 30, 2013 in U.S. Appl. No. 12/854,818.
USPTO; Final Office Action dated Mar. 26, 2014 in U.S. Appl. No. 12/854,818.
USPTO; Office Action dated Jun. 3, 2014 in U.S. Appl. No. 12/854,818.
USPTO; Non-Final Office Action dated Jul. 11, 2012 in U.S. Appl. No. 12/875,889.
USPTO; Notice of Allowance dated Jan. 4, 2013 in U.S. Appl. No. 12/875,889.
USPTO; Notice of Allowance dated Jan. 9, 2012 in U.S. Appl. No. 12/901,323.
USPTO; Non-Final Office Action dated Nov. 20, 2013 in U.S. Appl. No. 12/910,607.
USPTO; Final Office Action dated Apr. 28, 2014 in U.S. Appl. No. 12/910,607.
USPTO; Notice of Allowance dated Aug. 15, 2014 in U.S. Appl. No. 12/910,607.
USPTO; Non-Final Office Action dated Oct. 24, 2012 in U.S. Appl. No. 12/940,906.
USPTO; Final Office Action dated Feb. 13, 2013 in U.S. Appl. No. 12/940,906.
USPTO; Notice of Allowance dated Apr. 23, 2013 in U.S. Appl. No. 12/940,906.
USPTO; Non-Final Office Action dated Dec. 7, 2012 in U.S. Appl. No. 12/953,870.
USPTO; Final Office Action dated Apr. 22, 2013 in U.S. Appl. No. 12/953,870.
USPTO; Non-Final Office Action dated Sep. 19, 2012 in U.S. Appl. No. 13/016,735.
USPTO; Final Office Action dated Feb. 11, 2013 in U.S. Appl. No. 13/016,735.
USPTO; Notice of Allowance dated Apr. 24, 2013 in U.S. Appl. No. 13/016,735.
USPTO; Non-Final Office Action dated Apr. 4, 2012 in U.S. Appl. No. 13/030,438.
USPTO; Final Office Action dated Aug. 22, 2012 in U.S. Appl. No. 13/030,438.
USPTO; Notice of Allowance dated Oct. 24, 2012 in U.S. Appl. No. 13/030,438.
USPTO; Non-Final Office Action dated Dec. 3, 2012 in U.S. Appl. No. 13/040,013.
USPTO; Notice of Allowance dated May 3, 2013 in U.S. Appl. No. 13/040,013.
USPTO; Notice of Allowance dated Sep. 13, 2012 in U.S. Appl. No. 13/085,698.
USPTO; Non-Final Office Action dated Mar. 29, 2013 in U.S. Appl. No. 13/094,402.
USPTO; Final Office Action dated Jul. 17, 2013 in U.S. Appl. No. 13/094,402.
USPTO; Notice of Allowance dated Sep. 30, 2013 in U.S. Appl. No. 13/094,402.
USPTO; Restriction Requirement dated May 8, 2013 in U.S. Appl. No. 13/102,980.
USPTO; Office Action dated Oct. 7, 2013 in U.S. Appl. No. 13/102,980.
USPTO; Final Office Action dated Mar. 25, 2014 in U.S. Appl. No. 13/102,980.
USPTO; Notice of Allowance dated Jul. 3, 2014 in U.S. Appl. No. 13/102,980.
USPTO; Non-Final Office Action dated Jul. 17, 2014 in U.S. Appl. No. 13/154,271.
USPTO; Final Office Action dated Jan. 2, 2015 in U.S. Appl. No. 13/154,271.
USPTO; Non-Final Office Action dated May 27, 2015 in U.S. Appl. No. 13/154,271.
USPTO; Final Office Action dated Nov. 23, 2015 in U.S. Appl. No. 13/154,271.
USPTO; Notice of Allowance dated Feb. 10, 2016 in U.S. Appl. No. 13/154,271.
USPTO; Non-Final Office Action dated Jun. 27, 2016 in U.S. Appl. No. 13/166,367.
USPTO; Final Office Action dated Dec. 30, 2016 in U.S. Appl. No. 13/166,367.
USPTO; Notice of Allowance dated Jun. 28, 2017 in U.S. Appl. No. 13/166,367.
USPTO; Non-Final Office Action dated Oct. 27, 2014 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated May 26, 2015 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Sep. 1, 2015 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated Mar. 3, 2016 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Jun. 9, 2016 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated Dec. 9, 2016 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Apr. 26, 2017 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated U.S. Appl. No. 13/169,951 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Jun. 24, 2014 in U.S. Appl. No. 13/181,407.
USPTO; Final Office Action dated Sep. 24, 2014 in U.S. Appl. No. 13/181,407.
USPTO; Non-Final Office Action dated Jan. 2, 2015 in U.S. Appl. No. 13/181,407.
USPTO; Final Office Action dated Apr. 8, 2015 in U.S. Appl. No. 13/181,407.
USPTO; Non-Final Office Action dated Jan. 23, 2013 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Jul. 29, 2013 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Jul. 16, 2014 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Feb. 17, 2015 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Aug. 10, 2015 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Feb. 12, 2016 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Dec. 15, 2016 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Jun. 15, 2017 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Sep. 17, 2014 in U.S. Appl. No. 13/187,300.
USPTO; Final Office Action dated Apr. 15, 2015 in U.S. Appl. No. 13/187,300.
USPTO; Non-Final Office Action dated Apr. 7, 2016 in U.S. Appl. No. 13/187,300.
USPTO; Final Office Acton dated Sep. 23, 2016 in U.S. Appl. No. 13/187,300.
USPTO; Non-Final Office Action dated Jan. 30, 2017 in U.S. Appl. No. 13/187,300.
USPTO; Final Office Action dated Aug. 9, 2017 in U.S. Appl. No. 13/187,300.
USPTO; Non-Final Office Action dated Oct. 1, 2012 in U.S. Appl. No. 13/191,762.
USPTO; Final Office Action dated Apr. 10, 2013 in U.S. Appl. No. 13/191,762.
USPTO; Notice of Allowance dated Aug. 15, 2013 in U.S. Appl. No. 13/191,762.
USPTO; Non-Final Office Action dated Oct. 22, 2012 in U.S. Appl. No. 13/238,960.
USPTO; Final Office Action dated May 3, 2013 in U.S. Appl. No. 13/238,960.
USPTO; Non-Final Office Action dated Apr. 26, 2013 in U.S. Appl. No. 13/250,721.
USPTO; Notice of Allowance dated Sep. 11, 2013 in U.S. Appl. No. 13/250,721.
USPTO; Non-Final Office Action dated Jul. 2, 2014 in U.S. Appl. No. 13/283,408.
USPTO; Final Office Action dated Jan. 29, 2015 in U.S. Appl. No. 13/283,408.
USPTO; Non-Final Office Action dated Jun. 17, 2015 in U.S. Appl. No. 13/283,408.
USPTO; Final Office Action dated Dec. 18, 2015 in U.S. Appl. No. 13/283,408.
USPTO; Notice of Allowance dated Mar. 28, 2016 in U.S. Appl. No. 13/283,408.
USPTO; Restriction Requirement dated Dec. 16, 2013 in U.S. Appl. No. 13/284,642.
USPTO; Restriction Requirement dated Apr. 21, 2014 in U.S. Appl. No. 13/284,642.
USPTO; Office Action dated Jul. 30, 2014 in U.S. Appl. No. 13/284,642.
USPTO; Notice of Allowance dated Feb. 11, 2015 in U.S. Appl. No. 13/284,642.
USPTO; Office Action dated Jan. 28, 2014 in U.S. Appl. No. 13/312,591.
USPTO; Final Office Action dated May 14, 2014 in U.S. Appl. No. 13/312,591.
USPTO; Non-Final Office Action dated Nov. 26, 2014 in U.S. Appl. No. 13/312,591.
USPTO; Final Office Action dated Mar. 20, 2015 in U.S. Appl. No. 13/312,591.
USPTO; Notice of Allowance dated May 14, 2015 in U.S. Appl. No. 13/312,591.
USPTO; Non-Final Office Action dated Apr. 9, 2014 in U.S. Appl. No. 13/333,420.
USPTO; Notice of Allowance dated Sep. 15, 2014 in U.S. Appl. No. 13/333,420.
USPTO; Office Action dated Jan. 10, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Office Action dated Feb. 11, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Final Office Action dated May 17, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Office Action dated Aug. 29, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Final Office Action dated Dec. 18, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Notice of Allowance dated Apr. 7, 2014 in U.S. Appl. No. 13/339,609.
USPTO; Non-Final Office Action dated Oct. 10, 2012 in U.S. Appl. No. 13/406,791.
USPTO; Final Office Action dated Jan. 31, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Non-Final Office Action dated Apr. 25, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Final Office Action dated Aug. 23, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Non-Final Office Action dated Dec. 4, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Final Office Action dated Apr. 21, 2014 in U.S. Appl. No. 13/406,791.
USPTO; Non-Final Office Action dated Jan. 14, 2013 in U.S. Appl. No. 13/410,970.
USPTO; Notice of Allowance dated Feb. 14, 2013 in U.S. Appl. No. 13/410,970.
USPTO; Non-Final Office Action dated Feb. 13, 2014 in U.S. Appl. No. 13/411,271.
USPTO; Non-Final Office Action dated Jul. 31, 2014 in U.S. Appl. No. 13/411,271.
USPTO; Final Office Action dated Jan. 16, 2015 in U.S. Appl. No. 13/411,271.
USPTO; Notice of Allowance dated Oct. 6, 2015 in U.S. Appl. No. 13/411,271.
USPTO; Restriction Requirment dated Oct. 29, 2013 in U.S. Appl. No. 13/439,528.
USPTO; Office Action dated Feb. 4, 2014 in U.S. Appl. No. 13/439,528.
USPTO; Final Office Action dated Jul. 8, 2014 in U.S. Appl. No. 13/439,528.
UPPTO; Notice of Allowance dated Oct. 21, 2014 in U.S. Appl. No. 13/439,528.
USPTO; Non-Final Office Action dated Apr. 11, 2013 in U.S. Appl. No. 13/450,368.
USPTO; Notice of Allowance dated Jul. 17, 2013 in U.S. Appl. No. 13/450,368.
USPTO; Office Action dated May 23, 2013 in U.S. Appl. No. 13/465,340.
USPTO; Final Office Action dated Oct. 30, 2013 in U.S. Appl. No. 13/465,340.
USPTO; Notice of Allowance dated Feb. 12, 2014 in U.S. Appl. No. 13/465,340.
USPTO; Non-Final Office Action dated Oct. 17, 2013 in U.S. Appl. No. 13/493,897.
USPTO; Notice of Allowance dated Mar. 20, 2014 in U.S. Appl. No. 13/493,897.
USPTO; Office Action dated Dec. 20, 2013 in U.S. Appl. No. 13/535,214.
USPTO; Final Office Action dated Jun. 18, 2014 in U.S. Appl. No. 13/535,214.
USPTO; Notice of Allowance dated Oct. 23, 2014 in U.S. Appl. No. 13/535,214.
USPTO: Non-Final Office Action dated Sep. 11, 2013 in U.S. Appl. No. 13/550,419.
USPTO; Final Office Action dated Jan. 27, 2014 in U.S. Appl. No. 13/550,419.
USPTO; Notice of Allowance dated May 29, 2014 in U.S. Appl. No. 13/550,419.
USPTO; Non-Final Office Action dated Aug. 8, 2014 in U.S. Appl. No. 13/563,066.
USPTO; Final Office Action dated Feb. 12, 2015 in U.S. Appl. No. 13/563,066.
USPTO; Notice of Allowance dated Jun. 12, 2015 in U.S. Appl. No. 13/563,066.
USPTO; Notice of Allowance dated Jul. 16, 2015 in U.S. Appl. No. 13/563,066.
USPTO; Non-Final Office Action dated Nov. 7, 2013 in U.S. Appl. No. 13/565,564.
USPTO; Final Office Action dated Feb. 28, 2014 in U.S. Appl. No. 13/565,564.
USPTO; Non-Final Office Action dated Jul. 2, 2014 in U.S. Appl. No. 13/565,564.
USPTO; Notice of Allowance dated Nov. 3, 2014 in U.S. Appl. No. 13/565,564.
USPTO; Non-Final Office Action dated Aug. 30, 2013 in U.S. Appl. No. 13/570,067.
USPTO; Notice of Allowance dated Jan. 6, 2014 in U.S. Appl. No. 13/570,067.
USPTO; Non-Final Office Action dated Oct. 15, 2014 in U.S. Appl. No. 13/597,043.
USPTO; Final Office Action dated Mar. 13, 2015 in U.S. Appl. No. 13/597,043.
USPTO;; Notice of Allowance dated Aug. 28, 2015 in U.S. Appl. No. 13/597,043.
USPTO; Non-Final Office Action dated Feb. 12, 2015 in U.S. Appl. No. 13/597,108.
USPTO; Final Office Action dated Jun. 1, 2015 in U.S. Appl. No. 13/597,108.
USPTO; Non-Final Office Action dated Dec. 8, 2015 in U.S. Appl. No. 13/597,108.
USPTO; Final Office Action dated Jun. 2, 2016 in U.S. Appl. No. 13/597,108.
USPTO; Non-Final Office Action dated Sep. 15, 2016 in U.S. Appl. No. 13/597,108.
USPTO; Notice of Allowance dated Mar. 27, 2014 in U.S. Appl. No. 13/604,498.
USPTO; Office Action dated Nov. 15, 2013 in U.S. Appl. No. 13/612,538.
USPTO; Office Action dated Jul. 10, 2014 in U.S. Appl. No. 13/612,538.
USPTO; Non-Final Office Action dated Apr. 15, 2015 in U.S. Appl. No. 13/646,403.
USPTO; Final Office Action dated Oct. 15, 2015 in U.S. Appl. No. 13/646,403.
USPTO; Notice of Allowance dated Feb. 2, 2016 in U.S. Appl. No. 13/646,403.
USPTO; Non-Final Office Action dated May 15, 2014 in U.S. Appl. No. 13/646,471.
USPTO; Final Office Action dated Aug. 18, 2014 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated Dec. 16, 2014 in U.S. Appl. No. 13/646/,471.
USPTO; Final Office Action dated Apr. 21, 2015 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated Aug. 19, 2015 in U.S. Appl. No. 13/646,471.
USPTO; Final Office Action dated Jan. 22, 2016 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated Jun. 2, 2016 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated May 28, 2015 in U.S. Appl. No. 13/651,144.
USPTO; Final Office Acton dated Sep. 30, 2016 in U.S. Appl. No. 14/808,979.
USPTO; Final Office Action dated Nov. 19, 2015 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated May 10, 2016 in U.S. Appl. No. 13/651,144.
USPTO; Final Office Action dated Sep. 20, 2016 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated May 17, 2017 in U.S. Appl. No. 13/651,144.
USPTO; Final Office Action dated Dec. 14, 2017 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated Nov. 19, 2015 in U.S. Appl. No. 14/659,437.
USPTO; Final Office Action dated Mar. 17, 2016 in U.S. Appl. No. 14/659,437.
USPTO; Notice of Allowance dated May 31, 2016 in U.S. Appl. No. 14/659,437.
USPTO; Non-Final Office Action dated Jun. 18, 2015 in U.S. Appl. No. 13/665,366.
USPTO; Non-Final Office Action dated Apr. 3, 2015 in U.S. Appl. No. 13/677,133.
USPTO; Notice of Allowance dated Aug. 4, 2015 in U.S. Appl. No. 13/677,133.
USPTO; Office Action dated Jun. 2, 2014 in U.S. Appl. No. 13/677,151.
USPTO; Final Office Action dated Nov. 14, 2014 in U.S. Appl. No. 13/677,151.
USPTO; Notice of Allowance dated Feb. 26, 2015 in U.S. Appl. No. 13/677,151.
USPTO; Non-Final Office Action dated Aug. 20, 2013 in U.S. Appl. No. 13/679,502.
USPTO; Final Office Action dated Feb. 25, 2014 in U.S. Appl. No. 13/679,502.
USPTO; Notice of Allowance dated May 2, 2014 in U.S. Appl. No. 13/679,502.
USPTO; Non-Final Office Action dated Jul. 21, 2015 in U.S. Appl. No. 13/727,324.
USPTO; Final Office Action dated Jan. 22, 2016 in U.S. Appl. No. 13/727,324.
USPTO; Non-Final Office Action dated May 25, 2006 in U.S. Appl. No. 13/727,324.
USPTO; Final Office Action dated Dec. 1, 2016 in U.S. Appl. No. 13/727,324.
USPTO; Notice of Allowance dated Mar. 1, 2017 in U.S. Appl. No. 13/727,324.
USPTO; Non-Final Office Action dated Oct. 24, 2013 in U.S. Appl. No. 13/749,878.
USPTO; Non-Final Office Action dated Jun. 18, 2014 in U.S. Appl. No. 13/749,878.
USPTO; Final Office Action dated Dec. 10, 2014 in U.S. Appl. No. 13/749,878.
USPTO; Notice of Allowance Mar. 13, 2015 dated in U.S. Appl. No. 13/749,878.
USPTO; Office Action dated Apr. 23, 2014 in U.S. Appl. No. 13/784,362.
USPTO; Notice of Allowance dated Aug. 13, 2014 in U.S. Appl. No. 13/784,362.
USPTO; Non-Final Office Action dated Dec. 19, 2013 in U.S. Appl. No. 13/784,388.
USPTO; Notice of Allowance dated Jun. 4, 2014 in U.S. Appl. No. 13/784,388.
USPTO; Restriction Requirement dated May 8, 2014 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Sep. 19, 2014 in U.S. Appl. No. 13/791,246.
USPTO; Final Office Action dated Mar. 25, 2015 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Oct. 26, 2015 in U.S. Appl. No. 13/791,246.
USPTO; Final Office Action dated Apr. 20, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Aug. 11, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Notice of Allowance dated Nov. 25, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Nov. 6, 2015 in U.S. Appl. No. 13/791,339.
USPTO; Final Office Action dated Apr. 12, 2016 in U.S. Appl. No. 13/791,339.
USPTO; Notice of Allowance dated Aug. 24, 2016 in U.S. Appl. No. 13/791,339.
USPTO; Non-Final Office Action dated Mar. 21, 2014 in U.S. Appl. No. 13/799,708.
USPTO; Notice of Allowance dated Oct. 31, 2014 in U.S. Appl. No. 13/799,708.
USPTO; Non-Final Office Action dated Sep. 1, 2016 in U.S. Appl. No. 14/827,177.
USPTO; Restriction Requirement dated Jun. 26, 2014 in U.S. Appl. No. 13/874,708.
USPTO; Non-Final Office Action dated Oct. 9, 2014 in U.S. Appl. No. 13/874,708.
USPTO; Notice of Allowance dated Mar. 10, 2015 in U.S. Appl. No. 13/874,708.
USPTO; Notice of Allowance dated Apr. 10, 2014 in U.S. Appl. No. 13/901,341.
USPTO; Notice of Allowance dated Jun. 6, 2014 in U.S. Appl. No. 13/901,341.
USPTO; Non-Final Office Action dated Jan. 2, 2015 in U.S. Appl. No. 13/901,372.
USPTO; Final Office Action dated Apr. 16, 2015 in U.S. Appl. No. 13/901,372.
USPTO; Non-Final Office Action dated Jul. 8, 2015 in U.S. Appl. No. 13/901,400.
USPTO; Final Office Action dated Jan. 14, 2016 in U.S. Appl. No. 13/901,400.
USPTO; Notice of Allowance dated Apr. 12, 2016 in U.S. Appl. No. 13/901,400.
USPTO; Notice of Allowance dated Aug. 5, 2015 in U.S. Appl. No. 13/901,372.
USPTO; Non-Final Office Action dated Apr. 24, 2014 in U.S. Appl. No. 13/912,666.
USPTO; Final Office Action dated Sep. 25, 2014 in U.S. Appl. No. 13/912,666.
USPTO; Non-Final Office Action dated Jan. 26, 2015 in U.S. Appl. No. 13/912,666.
USPTO; Notice of Allowance dated Jun. 25, 2015 in U.S. Appl. No. 13/912,666.
USPTO; Non-Final Office Action dated Dec. 16, 2014 in U.S. Appl. No. 13/915,732.
USPTO; Final Office Action dated Apr. 10, 2015 in U.S. Appl. No. 13/915,732.
USPTO; Notice of Allowance dated Jun. 19, 2015 in U.S. Appl. No. 13/915,732.
USPTO; Notice of Allowance dated Mar. 17, 2015 in U.S. Appl. No. 13/923,197.
USPTO; Non-Final Office Action dated Sep. 12, 2014 in U.S. Appl. No. 13/941,134.
USPTO; Notice of Allowance dated Jan. 20, 2015 in U.S. Appl. No. 13/941,134.
USPTO; Restriction Requirement dated Apr. 30, 2015 in U.S. Appl. No. 13/941,216.
USPTO; Non-Final Office Action dated Jul. 30, 2015 in U.S. Appl. No. 13/941,216.
USPTO; Non-Final Office Action dated Jun. 15, 2016 in U.S. Appl. No. 13/941,216.
USPTO; Notice of Allowance dated Sep. 13, 2016 in U.S. Appl. No. 13/941,216.
USPTO; Restriction Requirement dated Sep. 16, 2014 in U.S. Appl. No. 13/948,055.
USPTO; Non-Final Office Action dated Oct. 30, 2014 in U.S. Appl. No. 13/948,055.
USPTO; Non-Final Office Action dated Jun. 29, 2015 in U.S. Appl. No. 13/966,782.
USPTO; Final Office Action dated Jan. 4, 2016 in U.S. Appl. No. 13/966,782.
USPTO; Notice of Allowance dated Oct. 7, 2015 in U.S. Appl. No. 13/973,777.
USPTO; Non-Final Office Action dated Feb. 20, 2015 in U.S. Appl. No. 14/018,231.
USPTO; Notice of Allowance dated Jul. 20, 2015 in U.S. Appl. No. 14/018,231.
USPTO; Restriction Requirement Action dated Jan. 28, 2015 in U.S. Appl. No. 14/018,345.
USPTO; Non-Final Office Action dated Apr. 7, 2015 in U.S. Appl. No. 14/018,345.
USPTO; Final Office Action dated Sep. 14, 2015 in U.S. Appl. No. 14/018,345.
USPTO; Notice of Allowance dated Jan. 14, 2016 in U.S. Appl. No. 14/018,345.
USPTO; Notice of Allowance dated Mar. 17, 2016 in U.S. Appl. No. 14/018,345.
USPTO; Non-Final Office Action dated Mar. 26, 2015 in U.S. Appl. No. 14/031,982.
USPTO; Final Office Action dated Aug. 28, 2015 in U.S. Appl. No. 14/031,982.
USPTO; Notice of Allowance dated Nov. 17, 2015 in U.S. Appl. No. 14/031,982.
USPTO; Non-Final Office Action dated Apr. 28, 2015 in U.S. Appl. No. 14/040,196.
USPTO; Notice of Allowance dated Sep. 11, 2015 in U.S. Appl. No. 14/040,196.
USPTO; Non-Final Action dated Dec. 3, 2015 in U.S. Appl. No. 14/050,150.
USPTO; Final Office Action dated Jun. 15, 2016 in U.S. Appl. No. 14/050,150.
USPTO; Final Office Action dated Jul. 8, 2016 in U.S. Appl. No. 14/050,150.
USPTO; Notice of Allowance dated Oct. 20, 2016 in U.S. Appl. No. 14/050,150.
USPTO; Non-Final Office Action dated Dec. 15, 2014 in U.S. Appl. No. 14/065,114.
USPTO; Final Office Action dated Jun. 19, 2015 in U.S. Appl. No. 14/065,114.
USPTO; Non-Final Office Action dated Oct. 7, 2015 in U.S. Appl. No. 14/065,114.
USPTO; Notice of Allowance dated Feb. 22, 2016 in U.S. Appl. No. 14/065,114.
USPTO; Non-Final Office Action dated Nov. 14, 2014 in U.S. Appl. No. 14/069,244.
USPTO: Notice of Allowance dated Mar. 25, 2015 in U.S. Appl. No. 14/069,244.
USPTO; Non-Final Office Action dated Sep. 9, 2015 in U.S. Appl. No. 14/090,750.
USPTO; Final Office Action dated Feb. 11, 2016 U.S. Appl. No. 14/090,750.
USPTO; Non-Final Office Action dated Jun. 14, 2016 in U.S. Appl. No. 14/090,750.
USPTO; Final Office Action dated Sep. 28, 2016 in U.S. Appl. No. 14/090,750.
USPTO; Non-Final Office Action dated Jun. 23, 2017 in U.S. Appl. No. 14/090,750.
USPTO; Final Office Action dated Nov. 17, 2017 in U.S. Appl. No. 14/090,750.
USPTO; Non-Final Office Action dated Mar. 19, 2015 in U.S. Appl. No. 14/079,302.
USPTO; Final Office Action dated Sep. 1, 2015 in U.S. Appl. No. 14/079,302.
USPTO; Non-Final Office Action dated Apr. 27, 2016 in U.S. Appl. No. 14/079,302.
USPTO; Final Office Action dated Aug. 22, 2016 in U.S. Appl. No. 14/079,302.
USPTO; Notice of Allowance dated Dec. 14, 2016 in U.S. Appl. No. 14/079,302.
USPTO; Non-Final Office Action dated Mar. 19, 2015 in U.S. Appl. No. 14/166,462.
USPTO; Notice of Allowance dated Sep. 3, 2015 in U.S. Appl. No. 14/166,462.
USPTO; Non-Final Office Action dated Nov. 17, 2015 in U.S. Appl. No. 14/172,220.
USPTO; Office Action dated May 29, 2014 in U.S. Appl. No. 14/183,187.
USPTO; Final Office Action dated Nov. 7, 2014 in U.S. Appl. No. 14/183,187.
USPTO; Non-Final Office Action dated Mar. 16, 2015 in U.S. Appl. No. 14/183,187.
USPTO; Final Office Action dated Jul. 10, 2015 in U.S. Appl. No. 14/183,187.
USPTO; Non-Final Office Action dated Jan. 11, 2016 in U.S. Appl. No. 14/188,760.
USPTO; Final Office Action dated Aug. 25, 2016 in U.S. Appl. No. 14/188,760.
USPTO; Advisory Action dated Jan. 12, 2017 in U.S. Appl. No. 14/188,760.
USPTO; Non-Final Office Action dated Mar. 23, 2017 in U.S. Appl. No. 14/188,760.
USPTO; Final Office Action dated Oct. 5, 2017 in U.S. Appl. No. 14/188,760.
USPTO; Advisory Action dated Jan. 3, 2018 in U.S. Appl. No. 14/188,760.
USPTO; Non-Final Office Action dated Oct. 8, 2015 in U.S. Appl. No. 14/218,374.
USPTO; Final Office Action dated Feb. 23, 2016 in U.S. Appl. No. 14/218,374.
USPTO; Restriction Requirement dated May 20, 2016 in U.S. Appl. No. 14/218,690.
USPTO; Non-Final Office Action dated Jul. 15, 2016 in U.S. Appl. No. 14/218,690.
USPTO; Final Office Action dated Nov. 14, 2016 in U.S. Appl. No. 14/218,690.
USPTO; Non-Final Office Action dated Apr. 6, 2017 in U.S. Appl. No. 14/218,690.
USPTO; Final Office Action dated Jul. 20, 2017 in U.S. Appl. No. 14/218,690.
USPTO; Non-Final Office Action dated Jan. 11, 2018 in U.S. Appl. No. 14/218,690.
USPTO; Non-Final Office Action dated Sep. 22, 2015 in U.S. Appl. No. 14/219,839.
USPTO; Final Office Action dated Mar. 25, 2016 in U.S. Appl. No. 14/219,839.
USPTO; Non-Final Office Action dated Dec. 22, 2016 in U.S. Appl. No. 14/219,839.
USPTO; Non-Final Office Action dated Nov. 25, 2015 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Dec. 23, 2016 in U.S. Appl. No. 14/219,879.
USPTO; Final Office Action dated Jul. 6, 2017 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Sep. 18, 2015 in U.S. Appl. No. 14/244,689.
USPTO; Notice of Allowance dated Feb. 11, 2016 in U.S. Appl. No. 14/244,689.
USPTO; Non-Final Office Action dated Oct. 7, 2015 in U.S. Appl. No. 14/246,969.
USPTO; Final Office Action dated May 4, 2016 in U.S. Appl. No. 14/246,969.
USPTO; Non Final Office Action dated Aug. 12, 2016 in U.S. Appl. No. 14/246,969.
USPTO; Non-Final Office Action dated Nov. 20, 2015 in U.S. Appl. No. 14/260,701.
USPTO; Notice of Allowance dated Jun. 2, 2016 in U.S. Appl. No. 14/260,701.
USPTO; Notice of Allowance dated Feb. 23, 2016 in U.S. Appl. No. 14/327,134.
USPTO; Non-Final Office Action dated Aug. 19, 2015 in U.S. Appl. No. 14/268,348.
USPTO; Non-Final Office Action dated Jan. 6, 2016 in U.S. Appl. No. 14/268,348.
USPTO; Final Office Action dated Apr. 29, 2016 in U.S. Appl. No. 14/268,348.
USPTO; Non-Final Office Action dated Oct. 20, 2015 in U.S. Appl. No. 14/281,477.
USPTO; Non-Final Office Action dated Jan. 13, 2017 in U.S. Appl. No. 14/444,744.
USPTO; Final Office Action dated Jul. 10, 2017 in U.S. Appl. No. 14/444,744.
USPTO; Non-Final Office Action dated Nov. 29, 2017 in U.S. Appl. No. 14/444,744.
USPTO; Non-Final Office Action dated May 18, 2016 in U.S. Appl. No. 14/449,838.
USPTO; Non-Final Office Action dated Feb. 12, 2015 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Action dated Jul. 14, 2015 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Nov. 6, 2015 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Acton dated Jun. 17, 2016 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Oct. 6, 2016 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Acton dated May 4, 2017 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Sep. 16, 2016 in U.S. Appl. No. 14/465,252.
USPTO; Final Office Action dated Nov. 1, 2016 in U.S. Appl. No. 14/465,252.
USPTO; Non-Final Office Action dated Mar. 6, 2017 in U.S. Appl. No. 14/465,252.
USPTO; Final Office Action dated Jun. 9, 2017 in U.S. Appl. No. 14/465,252.
USPTO; Notice of Allowance dated Oct. 3, 2017 in U.S. Appl. No. 14/465,252.
USPTO; Non-Final Office Action dated Nov. 24, 2015 in U.S. Appl. No. 14/498,036.
USPTO; Final Office Action dated Apr. 5, 2016 in U.S. Appl. No. 14/498,036.
USPTO; Non-Final Office Action dated Apr. 10, 2015 in U.S. Appl. No. 14/505,290.
USPTO; Notice of Allowance dated Aug. 21, 2015 in U.S. Appl. No. 14/505,290.
USPTO; Non-Final Office Action dated Dec. 17, 2015 in U.S. Appl. No. 14/508,296.
USPTO; Final Office Action dated May 26, 2016 in U.S. Appl. No. 14/508,296.
USPTO; Non-Final Office Action dated Sep. 8, 2016 in U.S. Appl. No. 14/508,296.
USPTO; Final Office Action dated Dec. 7, 2016 in U.S. Appl. No. 14/508,296.
USPTO; Non-Final Office Action dated Apr. 6, 2017 in U.S. Appl. No. 14/508,489.
USPTO; Final Office Action dated Oct. 4, 2017 in U.S. Appl. No. 14/508,489.
USPTO; Non-Final Office Action dated Jan. 16, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Final Office Action dated Jul. 16, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Notice of Allowance dated Oct. 15, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Notice of Allowance dated Dec. 2, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Non-Final Office Action dated May 4, 2016 in U.S. Appl. No. 14/568,647.
USPTO; Final Office Action dated Sep. 29, 2016 in U.S. Appl. No. 14/568,647.
USPTO; Non-Final Office Action dated Feb. 2, 2017 in U.S. Appl. No. 14/568,647.
USPTO; Final Office Action dated May 19, 2017 in U.S. Appl. No. 14/568,647.
USPTO; Non-Final Office Action dated Sep. 14, 2017 in U.S. Appl. No. 14/568,647.
USPTO; Final Office Action dated Jan. 23, 2018 in U.S. Appl. No. 14/568,647.
USPTO; Non-Final Office Action dated Oct. 1, 2015 in U.S. Appl. No. 14/571,126.
USPTO; Final Office Action dated Feb. 22, 2016 in U.S. Appl. No. 14/571,126.
USPTO; Notice of Allowance dated Jun. 2, 2016 in U.S. Appl. No. 14/571,126.
USPTO; Non-Final Office Action dated Nov. 25, 2015 in U.S. Appl. No. 14/598,532.
USPTO; Notice of Allowance dated May 16, 2016 in U.S. Appl. No. 14/598,532.
USPTO; Non-Final Office Action dated Jan. 15, 2016 in U.S. Appl. No. 14/606,364.
USPTO; Final Office Action dated Jan. 12, 2017 in U.S. Appl. No. 14/606,364.
USPTO; Non-Final Office Action dated May 10, 2017 in U.S. Appl. No. 14/606,364.
USPTO; Non-Final Office Action dated Mar. 3, 2016 in U.S. Appl. No. 14/622,603.
USPTO; Non-Final Office Action dated Oct. 19, 2017 in U.S. Appl. No. 14/645,234.
USPTO; Non-Final Office Action dated Jun. 7, 2017 in U.S. Appl. No. 14/656,588.
USPTO; Final Office Action dated Dec. 26, 2017 in U.S. Appl. No. 14/656,588.
USPTO; Non-Final Office Action dated Mar. 21, 2016 in U.S. Appl. No. 14/659,152.
USPTO; Final Office Action dated Jul. 29, 2016 in U.S. Appl. No. 14/659,152.
USPTO; Notice of Allowance dated Nov. 22, 2016 in U.S. Appl. No. 14/659,152.
USPTO; Restriction Requirement dated Sep. 11, 2017 in U.S. Appl. No. 14/660,755.
USPTO; Notice of Allowance dated Oct. 2, 2017 in U.S. Appl. No. 14/660,755.
USPTO;Notice of Allowance dated Mar. 25, 2016 in U.S. Appl. No. 14/693,138.
USPTO; Non-Final Office Action dated Aug. 3, 2017 in U.S. Appl. No. 14/752,712.
USPTO; Final Office Action dated Nov. 29, 2017 in U.S. Appl. No. 14/752,712.
USPTO; Non-Final Office Action dated Nov. 29, 2017 in U.S. Appl. No. 14/793,323.
USPTO; Non-Final Office Action dated Jun. 16, 2017 in U.S. Appl. No. 14/798,136.
USPTO; Notice of Allowance dated Oct. 5, 2017 in U.S. Appl. No. 14/798,136.
USPTO; Non-Final Office Action dated Mar. 30, 2016 in U.S. Appl. No. 14/808,979.
USPTO; Non-Final Office Action dated Dec. 20, 2016 in U.S. Appl. No. 14/808,979.
USPTO; Final Office Action dated Jun. 8, 2017 in U.S. Appl. No. 14/808,979.
USPTO; Non-Final Office Action dated Sep. 2017 in U.S. Appl. No. 14/808,979.
USPTO; Non-Final Office Action dated Sep. 9, 2016 in U.S. Appl. No. 14/829,565.
USPTO; Final Office Action dated Feb. 9, 2017 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated Sep. 19, 2017 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated Apr. 29, 2016 in U.S. Appl. No. 14/835,637.
USPTO; Final Office Action dated Nov. 25, 2016 in U.S. Appl. No. 14/835,637.
USPTO; Notice of Allowance dated Apr. 25, 2017 in U.S. Appl. No. 14/835,637.
USPTO; Non-Final Office Action dated Jul. 29, 2016 in U.S. Appl. No. 14/884,695.
USPTO; Final Office Action dated Feb. 9, 2017 in U.S. Appl. No. 14/884,695.
USPTO; Non-Final Office Action dated May 18, 2017 in U.S. Appl. No. 14/884,695.
USPTO; Notice of Allowance dated Oct. 20, 2017 in U.S. Appl. No. 14/884,695.
USPTO; Non-Final Office Action dated May 18, 2017 in U.S. Appl. No. 14/886,571.
USPTO; Final Office Action dated Sep. 21, 2017 in U.S. Appl. No. 14/886,571.
USPTO; Notice of Allowance dated Dec. 6, 2017 in U.S. Appl. No. 14/886,571.
USPTO; Non-Final Office Action dated Dec. 1, 2016 in U.S. Appl. No. 14/919,536.
USPTO; Final Office Action dated Mar. 28, 2017 in U.S. Appl. No. 14/919,536.
USPTO; Non-Final Office Action dated Aug. 29, 2017 in U.S. Appl. No. 14/919,536.
USPTO; Non-Final Office Action dated Dec. 15, 2016 in U.S. Appl. No. 14/938,180.
USPTO; Notice of Allowance dated Nov. 9, 2017 in U.S. Appl. No. 14/938,180.
USPTO; Non-Final Office Action dated Apr. 14, 2017 in U.S. Appl. No. 14/956,115.
USPTO; Final Office Action dated Jul. 21, 2017 in U.S. Appl. No. 14/956,115.
USPTO; Notice of Allowance dated Dec. 14, 2017 in U.S. Appl. No. 14/956,115.
USPTO; Notice of Allowance dated Feb. 3, 2017 in U.S. Appl. No. 14/977,291.
USPTO; Non-Final Office Action dated Aug. 12, 2016 in U.S. Appl. No. 14/981,434.
USPTO; Non-Final Office Action dated Jan. 12, 2017 in U.S. Appl. No. 14/981,468.
USPTO; Notice of Allowance dated Jun. 7, 2017 in U.S. Appl. No. 14/981,468.
USPTO; Non-Final Office Action dated Mar. 22, 2016 in U.S. Appl. No. 14/987,420.
USPTO; Non-Final Office Action dated Dec. 14, 2016 in U.S. Appl. No. 14/997,683.
USPTO; Final Office Action dated Apr. 14, 2017 in U.S. Appl. No. 14/997,683.
USPTO; Non-Final Office Action dated Sep. 1, 2017 in U.S. Appl. No. 14/997,683.
USPTO; Non-Final Office Action dated Sep. 23, 2016 in U.S. Appl. No. 15/048,422.
USPTO; Notice of Allowance dated May 4, 2017 in U.S. Appl. No. 15/048,422.
USPTO; Non-Final Office Action dated Aug. 4, 2017 in U.S. Appl. No. 15/050,159.
USPTO; Non-Final Office Action dated Jan. 9, 2018 in U.S. Appl. No. 15/135,224.
USPTO; Non-Final Office Action dated Jan. 9, 2018 in U.S. Appl. No. 15/135,258.
USPTO; Non-Final Office Action dated Jan. 9, 2018 in U.S. Appl. No. 15/135,333.
USPTO; Non Final Office Action dated Nov. 21, 2016 in U.S. Appl. No. 15/144,481.
USPTO; Final Office Action dated May 26, 2017 in U.S. Appl. No. 15/144,481.
USPTO; Non-Final Office Action dated Sep. 21, 2017 in U.S. Appl. No. 15/144,481.
USPTO; Non-Final Office Action dated Apr. 13, 2017 in U.S. Appl. No. 15/144,506.
USPTO; Final Office Action dated Oct. 10, 2017 in U.S. Appl. No. 15/144,506.
USPTO; Non-Final Office Action dated Nov. 29, 2016 in U.S. Appl. No. 15/203,642.
USPTO; Final Office Action dated Apr. 13, 2017 in U.S. Appl. No. 15/203,642.
USPTO; Notice of Allowance dated Aug. 7, 2017 in U.S. Appl. No. 15/203,642.
USPTO; Non-Final Office Action dated Nov. 28, 2016 in U.S. Appl. No. 15/203,632.
USPTO; Non-Final Office Action dated Jun. 7, 2017 in U.S. Appl. No. 15/203,632.
USPTO; Notice of Allowance dated Sep. 20, 2017 in U.S. Appl. No. 15/203,632.
USPTO; Non-Final Office Action dated Jun. 1, 2017 in U.S. Appl. No. 15/205,827.
USPTO; Final Office Action dated Oct. 16, 2017 in U.S. Appl. No. 15/205,827.
USPTO; Non-Final Office Action dated Mar. 31, 2017 in U.S. Appl. No. 15/205,890.
USPTO; Notice of Allowance dated Oct. 16, 2017 in U.S. Appl. No. 15/205,890.
USPTO; Non Final Office Action dated Apr. 21, 2017 in U.S. Appl. No. 15/222,715.
USPTO; Notice of Allowance dated Jul. 14, 2017 in U.S. Appl. No. 15/222,715.
USPTO; Notice of Allowance dated Sep. 27, 2017 in U.S. Appl. No. 15/222,715.
USPTO; Non-Final Office Action dated Feb. 3, 2017 in U.S. Appl. No. 15/222,738.
USPTO; Notice of Allowance dated May 22, 2017 in U.S. Appl. No. 15/222,738.
USPTO; Notice of Allowance dated Aug. 23, 2017 in U.S. Appl. No. 15/222,738.
USPTO; Non-Final Office Action dated Jan. 17, 2017 in U.S. Appl. No. 15/222,749.
USPTO; Final Office Action dated May 5, 2017 in U.S. Appl. No. 15/222/749.
USPTO; Non-Final Office Action dated Sep. 7, 2017 in U.S. Appl. No. 15/222,749.
USPTO; Non-Final Office Action dated Jan. 3, 2017 in U.S. Appl. No. 15/222,780.
USPTO; Final Office Action dated May 5, 2017 in U.S. Appl. No. 15/222,780.
USPTO; Non-Final Office Action dated Sep. 7, 2017 in U.S. Appl. No. 15/222,780.
USPTO; Non-Final Office Action dated Aug. 28, 2017 in U.S. Appl. No. 15/254,724.
USPTO; Notice of Allowance dated Jan. 17, 2018 in U.S. Appl. No. 15/254,724.
USPTO; Non-Final Office Action dated Oct. 23, 2017 in U.S. Appl. No. 15/377,439.
USPTO; Notice of Allowance dated Aug. 8, 2017 in U.S. Appl. No. 15/380,410.
USPTO; Notice of Allowance dated Oct. 11, 2017 in U.S. Appl. No. 15/380,895.
USPTO; Non-Final Office Action dated Jan. 4, 2018 in U.S. Appl. No. 15/380,921.
USPTO; Non-Final Office Action dated Oct. 3, 2017 in U.S. Appl. No. 15/388,410.
USPTO; Non-Final Office Action dated Aug. 11, 2017 in U.S. Appl. No. 15/397,237.
USPTO; Notice of Allowance dated Dec. 22, 2017 in U.S. Appl. No. 15/397,237.
USPTO; Non-Final Office Action dated Apr. 12, 2017 in U.S. Appl. No. 15/397,319.
USPTO; Final Office Action dated Jul. 12, 2017 in U.S. Appl. No. 15/397,319.
USPTO; Notice of Allowance dated Dec. 15, 2017 in U.S. Appl. No. 15/397,319.
USPTO; Notice of Allowance dated Oct. 6, 2017 in U.S. Appl. No. 15/450,199.
USPTO; Non-Final Office Action dated Dec. 15, 2017 in U.S. Appl. No. 15/466,149.
USPTO; Non-Final Office Action dated Dec. 6, 2017 in U.S. Appl. No. 15/476,035.
USPTO; Non-Final Office Action dated Oct. 4, 2017 in U.S. Appl. No. 15/489,453.
USPTO; Non-Final Office Action dated Jan. 16, 2018 in U.S. Appl. No. 15/499,647.
USPTO; Non-Final Office Action dated Dec. 26, 2017 in U.S. Appl. No. 15/798,120.
USPTPO; Non-Final Office Action dated Mar. 16, 2015 in U.S. Appl. No. 29/447,298.
USPTO; Notice of Allowance dated Jul. 6, 2015 in U.S. Appl. No. 29/447,298.
USPTO; Notice of Allowance dated Nov. 26, 2014 in U.S. Appl. No. 29/481,301.
USPTO; Notice of Allowance dated Feb. 17, 2015 in U.S. Appl. No. 29/481,308.
USPTO; Notice of Allowance dated Jan. 12, 2015 in U.S. Appl. No. 29/481,312.
USPTO; Notice of Allowance dated Apr. 30, 2015 in U.S. Appl. No. 29/481,315.
USPTO; Notice of Allowance dated May 11, 2015 in U.S. Appl. No. 29/511,011.
USPTO; Notice of Allowance dated May 11, 2015 in U.S. Appl. No. 29/514,153.
USPTO; Notice of Allowance dated Dec. 14, 2015 in U.S. Appl. No. 29/514,264.
PCT; International Search report and Written Opinion dated Nov. 12, 2010 in Application No. PCT/US2010/030126.
PCT; International Preliminary Report on Patentability dated Oct. 11, 2011 Application No. PCT/US2010/030126.
PCT; International Search report and Written Opinion dated Jan. 20, 2011 in Application No. PCT/US2010/045368.
PCT; International Search report and Written Opinion dated Feb. 6, 2013 in Application No. PCT/US2012/065343.
PCT; International Search report and Written Opinion dated Feb. 13, 2013 in Application No. PCT/US2012/065347.
Chinese Patent Office; Office Action dated Jan. 10, 2013 in Application No. 201080015699.9.
Chinese Patent Office; Office Action dated Jan. 12, 2015 in Application No. 201080015699.9.
Chinese Patent Office; Office Action dated May 24, 2013 in Application No. 201080036764.6.
Chinese Patent Office; Office Action dated Jan. 2, 2014 in Application No. 201080036764.6.
Chinese Patent Office; Office Action dated Jul. 1, 2014 in Application No. 201080036764.6.
Chinese Patent Office; Office Action dated Feb. 8, 2014 in Application No. 201110155056.
Chinese Patent Office; Office Action dated Sep. 16, 2014 in Application No. 201110155056.
Chinese Patent Office; Office Action dated Feb. 9, 2015 in Application No. 201110155056.
Japanese Patent Office; Office Action dated Jan. 25, 2014 in Application No. 2012-504786.
Japanese Patent Office; Office Action dated Dec. 1, 2014 in Application No. 2012-504786.
Korean Patent Office; Office Action dated Dec. 10, 2015 in Application No. 10-2010-0028336.
Taiwan Patent Office; Office Action dated Jul. 4, 2014 in Application No. 099110511.
Taiwan Patent Office; Office Action dated Dec. 19, 2014 in Taiwan Application No. 099127063.
Taiwan Patent Office; Office Action dated May 13, 2016 in Taiwan Application No. 101142582.
Bearzotti, et al., “Fast Humidity Response of a Metal Halide-Doped Novel Polymer,” Sensors and Actuators B, 7, pp. 451-454, (1992).
Becker et al., “Atomic Layer Deposition of Insulating Hafnium and Zirconium Nitrides,” Chem. Mater., 16, 3497-3501 (2004).
Bhatnagar et al., “Copper Interconnect Advances to Meet Moore's Law Milestones,” Solid State Technology, 52, 10 (2009).
Buriak, “Organometallic Chemistry on Silicon and Germanium Surfaces,” Chemical Reviews, 102, 5 (2002).
Cant et al., “Chemisorption Sites on Porous Silica Glass and on Mixed-Oxide Catalysis,” Can. J. Chem. 46, 1373 (1968).
Chang et al. “Small-Subthreshold-Swing and Low-Voltage Flexible Organic Thin-Film Transistors Which Use HfLaO as the Gate Dielectric,” IEEE Electron Device Letters, Feb. 2009, pp. 133-135; vol. 30. No. 2: IEEE Electron Device Society.
Chatterjee et al., “Sub-100nm Gate Length Metal Gate NMOS Transistors Fabricated by a Replacement by a Replacement Gate Process,” IEEE Semiconductor Process and Device Center, 821-824 (1997).
Chen et al., “A Self-Aligned Airgap Interconnect Scheme,” IEEE International Interconnect Technology Conference, vol. 1-3, 146-148 (2009).
Choi et al., “Improvement of Silicon Direct Bonding using Surfaces Activated by Hydrogen Plasma Treatement,” Journal of the Korean Physical Society, 37, 6, 878-881 (2000).
Choi et al., “Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma,” ECS Solid State Letters, 2(12) p. 114-p. 116 (2013).
Coates, “Process Analytical Technology: Spectroscopic Tools and Implementation Strategies for the Chemical and Pharmaceutical Industries.” Blackwell Publishing Ltd, 91-132, (2005).
Crowell, “Chemical methods of thin film deposition: Chemical vapor deposition, atomic layer deposition, and related technologies,” Journal of Vacuum Science & Technology A 21.5, (2003): S88-S95.
Cui et al., “Impact of Reductive N2/H2 Plasma on Porous Low-Dielectric Constant SiCOH Thin Films,” Journal of Applied Physics 97, 113302, 1-8 (2005).
Dingemans et al., “Comparison Between Aluminum Oxide Surface Passivation Films Deposited with Thermal Aid,” Plasma Aid and Pecvd, 35th IEEE PVCS, Jun. 2010.
Drummond et al., “Hydrophobic Radiofrequency Plasma-Deposited Polymer Films: Dielectric Properties and Surface Forces,” Colloids and Surfaces A, 129-130, 117-129 (2006).
Easley et al., “Thermal Isolation of Microchip Reaction Chambers for Rapid Non-Contact DNA Amplification,” J. Micromech. Microeng. 17, 1758-1766 (2007).
Ge et al., “Carbon Nanotube-Based Synthetic Gecko Tapes,” Department of Polymer Science, PNAS, 10792-10795 (2007).
George et al., “Atomic Layer Deposition: An Overview,” Chem. Rev. 110, 111-131 (2010).
Grill et al., “The Effect of Plasma. Chemistry on the Damage Induced Porous SiCOH Dielectrics,” IBM Research Division, RC23683 (W0508-008), Materials Science, 1-19 (2005).
Gupta et al., “Conversion of Metal Carbides to Carbide Derived Carbon by Reactive Ion Etching in Halogen Gas,” Proceedings of SPIE—The International Society for Optical Engineering and Nanotechnologies for Space Arolications, ISSN: 0277-786X (2006).
Harrison et al., “Poly-gate Replacement Through Contact Hole (PRETCH): A New Method for High-K/ Metal Gate and Multi-Oxide Implementation on Chip,” IEEE (2004).
Heo et al., “Structural Characterization of Nanopotous Low-Dielectric Constant SiCOH Films Using Organosilane Precursors,” NSTI-Nanotech, vol. 4, 122-123 (2007).
H.J. Yun et al., “Comparison of Atomic Scale Etching of Poly-Si in Inductively Coupled Ar and He Plasmas”, Korean Journal of Chemical Engineering, vol. 24, 670-673 (2007).
Hubert et al., “A Stacked SONOS Technology, up to 4 Levels and 6nm Crystalline Nanowires, With Gate-All-Around or Independent Gates (-Flash), Suitable for Full 3D Integration,” Minatec, IEDM09-637-640 (2009).
Jones et al., “Growth of Aluminium Films by Low Pressure Chemical Vapour Deposition Using Tritertiarybutylaluminium,” Journal of Crystal Growth 135, pp. 285-289, Elsevier Science B.V. (1994).
Jones et al., “Recent Developments in Metalorganic Precursors for Metalorganic Chemical Vapour Deposition,” Journal of Crystal Growth 146, pp. 503-510, Elsevier Science B.V. (1995).
Jung et al., “Double Patterning of Contact Array with Carbon Polymer,” Proc. of SPIE, 6924, 69240C, 1-10 (2008).
Katamreddy et al., “ALD and Characterization of Aluminum Oxide Deposited on Si(100) using Tris(diethylamino) Aluminum and Water Vapor,” Journal of the Electrochemical Society, 153 (10) C701-C706 (2006).
Kim et al., “Passivation Effect on Low-k S/OC Dielectrics by H2 Plasma Treatment,” Journal of the Korean Physical Society, 40, 1, 94-98 (2002).
Kim et al., “Characteristics of Low Temperaure High Quality Silicon Oxide by Plasma Enhanced Atomic Layer Deposition with In-Situ Plasma Densification Process,” The Electrochemical Society, ECS Transactions. College of Information and Communication Engineerign. Sunekvunkwan University. 53(1).
King, Plasma Enhanced Atomic Layer Deposition of SiNx: H and SiO2, J. Vac. Sci. Technol., A29(4) (2011).
Kobayshi, et al., “Temperature Dependence of SiO2 Film Growth with Plasma-Enhanced Atomic Layer Deposition,” regarding Thin Solid Films, published by Elsevier in the International Journal on the Science and Technology of Condensed Matter, in vol. 520, No. 11, 3994-3998 (2012).
Koo et al., “Characteristics of Al203 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method,” Journal of Physical Society, 48, 1, 131-136 (2006).
Koutsokeras et al, “Texture and Microstructure Evolution in Single-Phase TixTal—xN Alloys of Rocksalt Structure,” Journal of Applied Physics, 110, pp. 043535-1-043535-6, (2011).
Klug et al., “Atomic Layer Deposition of Amorphous Niobium Carbide-Based Thin Film Superconductors,” The Journal of Physical Chemistry, 115, 25063-25071 (2011).
Knoops et al., “Atomic Layer Deposition of Silicon Nitride from Bis(tert-butyloamino) silane and N2 Plama,” Applied Materials & Interfaces, American Chemical Society, A-E (2015).
Krenek et al. “IR Laser CVD of Nanodisperse Ge—Si—Sn Alloys Obtained by Dielectric Breakdown of GeH4/SiH4/SnH4 Mixtures”, NanoCon 2014, Nov. 5-7, Brno, Czech Republic, EU.
Kurosawa et al., “Synthesis and Characterization of Plasma-Polymerized Hexamethyklisiloxane Films,” Thin Solid Films, 506-507, 176-179 (2006).
Lanford et al., “The Hydrogen Content of Plasmadeposited Silicon Nitride,” J. Appl. Phys., 49, 2473 (1978).
Lee et al., “Layer Selection by Multi-Level Permutation in 3-D Stacked NAND Flash Memory,” IEEE Electron Device Letters, vol. 37, No. 7, 866-869 (2016).
Lieberman, et al., “Principles of Plasma Discharges and Materials Processing,” Second Edition, 368-381.
Lim et al., “Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition,” ETRI Journal, 27 (1), 118-121 (2005).
Liu et al., “Research, Design, and Experimen of End Effector for Wafer Transfer Robot,” Industrial Robot: An International Journal, 79-91 (2012).
Mackus et al., “Optical Emission Spectroscopy as a Tool for Studying Optimizing, and Monitoring Plasma-Assisted Atomic Layer Deposition Processes,” Journal of Vacuum Science and Technology, 77-87 (2010).
MAENO, “Gecko Tape Using Carbon Nanotubes,” Nitto Denko Gihou, 47, 48-51.
Maeng et al. Electrical properties of atomic layer disposition Hf02 and HfOxNy on Si substrates with various crystal orientations, Journal of the Electrochemical Society, Apr. 2008, p. H267-H271, vol. 155, No. 4, Department of Materials Science and Engineering, Pohang University of Science and Technology, Pohang, Korea.
Marsik et al., “Effect of Ultraviolet Curing Wavelength on Low-k Dielectric Material Proerties and Plasma Damage Resistance,” Sciencedirect.com, 519, 11, 3619-3626 (2011).
Mason et al., “Hydrolysis of Tri-tert-butylaluminum: The First Structural Characterization of Alkylalumoxanes [(R2A1)2O]n and (RAIO)n,” J. American Chemical Society, vol. 115, No. 12, pp. 4971-4984(1993).
Massachusetts Institute of Technology Lincoln Laboratory, “Solid State Research,” Quarterly Technical Report (1995).
Meng et al., “Atomic Layer of Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks,” Materials, 9, 1007 (2016).
Moeen, “Design, Modelling and Characterization of Si/SiGe Structures for IR Bolometer Applications,” KTH Royal Institute of Technology. Information and Communication Technology, Department of Integrated Devices and Circuits, Stockholm Sweden (2015).
Morishige et al., “Thermal Desorption and Infrared Studies of Ammonia Amines and Pyridines Chemisorbed on Chromic Oxide,” J.Chem. Soc., Faraday Trans. 1, 78, 2947-2957 (1982).
Mosleh et al., “Enhancement of Material Quality of (Si)GeSn Films Grown by SnCl4 Precursor,” ECS Transactions, 69 (5), 279-285 (2015).
Mukai et al., “A Study of CD Budget in Spacer Patterning Technology,” Proc. of SPIE, 6924, 1-8 (2008).
Nigamananda et al., “Low-Temperature (<2000C) Plasma Enhanced Atomic Deposition of Dense Titanium Nitride Thin Films.”
Nogueira et al., “Production of Highly Hydrophobic Films Using Low Frequency and High Density Plasma,” Revista Brasileira de Aplicacoes de Vacuo, 25(1), 45-53 (2006).
Novaro et al. Theoretical Study on a Reaction Pathway of Ziegler-Natta-Type Catalysis, J. Chem. Phys. 68(5), Mar. 1, 1978 p. 2337-2351.
S. Okamoto et al., “Luminescent Properties of Pr3+—sensitized LaPO4: Gd3+ Ultraviolet-B Phosphor Under Vacuum-Ultraviolet Light Excitation,” J. App. Phys. 106, 013522 (2009).
Park “Substituted Aluminum Metal Gate on High-K Dielectric for Low Work-Function and Fermi-Level Pinning Free,” 4 pages, IEEE 0-7803-8684-1/04 (2004).
Portet et al., “Impact of Synthesis Conditions on Surface Chemistry and Structure of Carbide-Derived Carbons,” Thermochimica Acta, 497, 137-142 (2010).
Potts et al., “Low Temperature Plasma-Enhanced Atomic Layer Deposition of metal Oxide Thin Films,” Journal of the Electrochemical Society, 157, 66-74 (2010).
Presser, et al., “Effect of Pore Size on Carbon Dioxide Sorption by Carbide Derived Carbon,” Energy & Environmental Science 4.8, 3059-3066 (2011).
Provine et al., “Correlation of Film Density and Wet Etch Rate in Hydrofluoric Acid of Plama Enhanced Atomic Layer Deposited Silicon Nitride,” AIP Advances, 6 (2016).
Radamson et al. “Growth of Sn-alloyed Group IV Materials for Photonic and Electronic Applications”, Chapter 5 pp. 129-144, Manufacturing NanoStructures.
Sakuma et al., “Highly Scalable Horizontal Channel 3-D NAND Memory Excellent in Compatibility with Conventional Fabrication Technology,” IEEE Electron Device Letters, vol. 34, No. 9, 1142-1144 (2013).
SALIM, “In-situ Fourier Transform Infrared Spectroscopy of Chemistry and Growth in Chemical Vapor Deposition,” Massachusetts Institute of Technology, 187 pages (1995).
Salim et al., “In Situ Concentration Monitoring in a Vertical OMVPE Reactor by Fiber-Optics-Based Fourier Transform Infrared Spectroscopy,” Journal of Crystal Growth 169, pp. 443-449, Elsevier Science B.V. (1996).
Selvaraj et al., “Selective Atomic Layer Deposition of Zireonia on Copper Patterened Silicon Substrates Using Ethanol as Oxygen Source as Well as Copper Reductant,” J. Vac. Sci. Technol. A32(1), (2014).
Schmatz et al., “Unusual Isomerization Reactions in 1.3-Diaza-2-Silcyclopentanes,” Organometallics, 23, 1180-1182 (2004).
Scientific and Technical Information Center EIC 2800 Search Report dated Feb. 16, 2012.
S.D. Athavale and D.J. Economou, “Realization of Atomic Layer Etching of Silicon”, Journal of Vacuum Science and Technology B, vol. 14, year 1996, pp. 3702-3705.
Shamma et al., “PDL Oxide Enabled Doubling,” Proc. of SPIE, 6924, 69240D, 1-10 (2008).
Tseng et al., “Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis,” The Journal of Physical Chemistry, 17725-17729 (2011).
Tseng et al., “Etch Properties of Resists Modified by Sequential Infiltration Synthesis,” Journal of Vacuujm Science & Technology, 29, (2011).
Varma, et al., “Effect of Metal Halides on Thermal, Mechanical, and Electrical Properties of Polypyromelitimide Films,” Journal of Applied Polymer Science, vol. 32, pp. 3987-4000, (1986).
Wang et al., “Tritertiarybutylaluminum as an Organometallic Source for Epitaxial Growth of AlGaSb,” Appl. Phys. Lett. 67 (10), Sep. 4, pp. 1384-1386, American Institute of Physics (1995).
Wirths, et al, “SiGeSn Growth tudies Using Reduced Pressure Chemical Vapor Deposition Towards Optoeleconic Applications,” This Soid Films, 557, 183-187 (2014).
Xu et al., “14NM Metal Gate Film Stack Development and Challenges,” Smic et al., (2016).
Yoshida, et al., Threshold Voltage Tuning for 10NM and Beyond CMOS Integration, Solid State Technology, 57(7): 23-25 (2014).
Yu et al., “Modulation of the Ni FUSI Workfunction by Yb Doping: from Midgap to N-Type Band-Edge,” 4 pages, IEEE 0-7803-9269-8/05 (2005).
Yun et al., “Behavior of Various Organosilicon Molecules in PECVD Processes for Hydrocarbon-Doped Silicon Oxide Films,” Solid State Phenomena, vol. 124-126, 347-350 (2007).
Yun et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, 1006-1014 (2011).
Yun et al., “Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition,” Electrochemical and Solid State Letters, 8(11) F47-F50 (2005).
Yushin et al., “Carbon-Derived Carbon,” Department of Materials Science and Engineering, Taylor & Francis Group, LLC (2006).
Chemistry Stack Exchange, “Why is CF4 Non-Polar and CHF Polar,” https://chemistry.stackexchange.com/questions/31604/why-is-cf4-non-polar-and-chf3-polar, (2015).
Related Publications (1)
Number Date Country
20170191164 A1 Jul 2017 US
Divisions (1)
Number Date Country
Parent 14659152 Mar 2015 US
Child 15466149 US
Continuations (1)
Number Date Country
Parent 13612538 Sep 2012 US
Child 14659152 US