Remote plasma burn-in

Information

  • Patent Grant
  • 8551891
  • Patent Number
    8,551,891
  • Date Filed
    Wednesday, June 20, 2012
    12 years ago
  • Date Issued
    Tuesday, October 8, 2013
    10 years ago
Abstract
Methods of treating the interior of a plasma region are described. The methods include a preventative maintenance procedure or the start-up of a new substrate processing chamber having a remote plasma system. A new interior surface is exposed within the remote plasma system. The (new) interior surfaces are then treated by sequential steps of (1) forming a remote plasma from hydrogen-containing precursor within the remote plasma system and then (2) exposing the interior surfaces to water vapor. Steps (1)-(2) are repeated at least ten times to complete the burn-in process. Following the treatment of the interior surfaces, a substrate may be transferred into a substrate processing chamber. A dielectric film may then be formed on the substrate by flowing one precursor through the remote plasma source and combining the plasma effluents with a second precursor flowing directly to the substrate processing region.
Description
BACKGROUND OF THE INVENTION

Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produces devices with 32 nm, 28 nm and 22 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries. The decreasing feature sizes result in structural features on the device having decreased spatial dimensions. The widths of gaps and trenches on the device narrow to a point where the aspect ratio of gap depth to its width becomes high enough to make it challenging to fill the gap with dielectric material. The depositing dielectric material is prone to clog at the top before the gap completely fills, producing a void or seam in the middle of the gap.


Over the years, many techniques have been developed to avoid having dielectric material clog the top of a gap, or to “heal” the void or seam that has been formed. One approach has been to start with highly flowable precursor materials that may be applied in a liquid phase to a spinning substrate surface (e.g., SOG deposition techniques). These flowable precursors can flow into and fill very small substrate gaps without forming voids or weak seams. However, once these highly flowable materials are deposited, they have to be hardened into a solid dielectric material. Shrinkage is reduced by using carbon-free flowable films containing nitrogen (e.g. SOD deposition techniques). The nitrogen can be replaced with oxygen during a post-deposition treatment to form gapfill silicon oxide.


Further improvements to the gapfill silicon oxide are achieved by remotely exciting one precursor in a remote plasma region and combining the plasma effluents with an unexcited silicon precursor near a deposition substrate. The deposition rate of the gapfill silicon oxide achieves steady state after a number of wafers are run. More cost-effective methods are desired to bring the deposition rate of the gapfill silicon oxide to its steady state value.


Thus, there is a need for system treatments which reduce the cost of consumables and/or accelerate the arrival of steady state deposition rates for radical-component films.


BRIEF SUMMARY OF THE INVENTION

Methods of treating the interior of a plasma region are described. The methods include a preventative maintenance procedure or the start-up of a new substrate processing chamber having a remote plasma system. A new interior surface is exposed within the remote plasma system. The (new) interior surfaces are then treated by sequential steps of (1) forming a remote plasma from hydrogen-containing precursor within the remote plasma system and then (2) exposing the interior surfaces to water vapor. Steps (1)-(2) are repeated at least ten times to complete the burn-in process. Following the treatment of the interior surfaces, a substrate may be transferred into a substrate processing chamber. A dielectric film may then be formed on the substrate by flowing one precursor through the remote plasma source and combining the plasma effluents with a second precursor flowing directly to the substrate processing region.


Embodiments of the invention include methods of treating a remote plasma system fluidly coupled to a substrate processing region of a substrate processing chamber. The methods comprise the sequential steps of (1) flowing a hydrogen-containing precursor into the remote plasma system while forming a first plasma in the remote plasma system, (2) flowing an oxygen-containing precursor into the remote plasma system. The methods further comprise repeating steps (1) and (2) until the total number of cycles is about ten or more. The remote plasma system includes a remote plasma region within the substrate processing chamber and fluidly coupled to the substrate processing region by way of a showerhead


Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the invention. The features and advantages of the invention may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.





BRIEF DESCRIPTION OF THE DRAWINGS

A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings wherein like reference numerals are used throughout the several drawings to refer to similar components. In some instances, a sublabel is associated with a reference numeral and follows a hyphen to denote one of multiple similar components. When reference is made to a reference numeral without specification to an existing sublabel, it is intended to refer to all such multiple similar components.



FIG. 1 shows a substrate processing system according to embodiments of the invention.



FIG. 2 is a flowchart illustrating selected steps for treating the interior of a remote plasma system according to embodiments of the invention.



FIG. 3 shows a substrate processing system according to embodiments of the invention.



FIG. 4A shows a substrate processing chamber according to embodiments of the invention.



FIG. 4B shows a gas distribution showerhead according to embodiments of the invention.





DETAILED DESCRIPTION OF THE INVENTION

Methods of treating the interior of a plasma region are described. The methods include a preventative maintenance procedure or the start-up of a new substrate processing chamber having a remote plasma system. A new interior surface is exposed within the remote plasma system. The (new) interior surfaces are then treated by sequential steps of (1) forming a remote plasma from hydrogen-containing precursor within the remote plasma system and then (2) exposing the interior surfaces to water vapor. Steps (1)-(2) are repeated at least ten times to complete the burn-in process. Following the treatment of the interior surfaces, a substrate may be transferred into a substrate processing chamber. A dielectric film may then be formed on the substrate by flowing one precursor through the remote plasma source and combining the plasma effluents with a second precursor flowing directly to the substrate processing region.


A brief description of an exemplary substrate processing chamber layout will prove helpful in preparation for a description of the accelerated chamber burn-in methods. A more detailed description of an exemplary substrate processing chamber will be presented following the description of the methods. FIG. 1 is a schematic of an exemplary multi-region substrate processing chamber appropriate for use with the accelerated burn-in methods described herein. The substrate processing chamber 100 depicted includes substrate processing region 170 separated from chamber plasma region 120 by showerhead 153. During a radical-component dielectric deposition, a first process gas is passed through chamber plasma region 120 to generate plasma effluents which pass through showerhead 153 and combine with a second precursor which has not been excited in a plasma. The first process gas may even be excited prior to entering chamber plasma region 120 in an external remote plasma system (RPS 110). Excited one precursor but not the other enables the formation of unique chemical precursors which have been found to produce a variety of desirable properties (e.g. nascent flowability on a substrate surface).


The acronym “RPS” and the phrase “remote plasma system” will be used herein for any plasma which is outside substrate processing region 170. As such, an RPS may include a chamber plasma region (e.g. 120) and/or an external style of RPS (e.g. 110). Regardless of where plasma effluents are created, they travel through showerhead 153 by way of through-holes 156 into substrate processing region 170. Meanwhile, the second precursor may be injected into hollow volumes within showerhead 153 (having never passed through any remote plasma e.g. 110, 120) and pass through small holes 155 straight into substrate processing region 170.


New interior surfaces may be exposed within a remote plasma system, e.g., upon initial installation or after a preventative maintenance procedure (PM). Deposition rates can be lower for the first few wafers after such a PM than they are following a burn-in period. The chemicals (precursors) flowed into the plasma form plasma effluents can react with and modify the walls of the remote plasma system. After running wafers for a while, the interior walls reach a steady state chemical-physical condition which results in a stable deposition rate thereafter. The inventors have discovered an alternative to running dummy wafers and using production quality consumables for this task. The new interior surfaces are treated by sequential steps of flowing ammonia (NH3) into the remote plasma system with the plasma power on and then exposing the interior surfaces to water vapor. These steps are repeated at least ten times to complete the lower-cost burn-in process. Deposition rates on substrates within the substrate processing chamber may be about the steady state deposition rate achieved after running multiple dummy depositions.


Many plasma regions like RPS 110 and chamber plasma region 120 may have anodization on aluminum interior walls to protect the walls from degradation. Other oxides may be present on alternative materials and still may be conditioned by the methods presented herein. Without wishing to bind the coverage of the claims to theoretical mechanisms which may or may not be correct, the ammonia plasmas are hypothesized to remove the anodization to expose bare aluminum. Subsequent exposure to water vapor (with or without plasma excitation) regenerates some anodization by consuming a small portion of the exposed aluminum. The repetition of this procedure may be evening out the roughness present on the new interior surfaces and allow the deposition rate to attain steady state rapidly. The smoothed interior surfaces may be reducing the ability for radical species produced in a remote plasma system to de-excite or quench into a undesirable less reactive state. The treatments described herein may be enabling the plasma effluents to remain reactive longer, increasing the efficacy of plasma effluents entering the substrate processing region.


In order to better understand and appreciate the invention, reference is now made to FIG. 2 which is a flowchart showing selected steps in methods of treating the interior of a remote plasma system according to embodiments of the invention. This exemplary method is discussed with reference to exemplary substrate processing chamber 100 of FIG. 1. The process shown in FIG. 2 begins with preventative maintenance procedure (PM) which introduces a new component exposed to the interior of a remote plasma system (operation 202). The new component may be made of aluminum or another metal and is covered by an oxide of the metal, such as aluminum oxide (Al2O3). The new component may also provide a coarse new surface arising from machining or otherwise forming the component.


A sequence of steps is executed to accelerate the burn in process. A flow of ammonia is provided to a remote plasma region (operation 204). The remote plasma region may be analogous to remote plasma system 110 and/or chamber plasma region 120 depicted in FIG. 1. A plasma is formed in the remote plasma region to treat the interior walls of the region. The ammonia is excited in the plasma to form plasma effluents which react with the interior surfaces of the remote plasma region. The flow of ammonia is stopped and a flow of water vapor is initiated into the remote plasma region (operation 206). The plasma power is continued or restarted to excite the water vapor to form plasma effluents which re-oxidize the surfaces which had just been treated by ammonia plasma effluents. Alternatively, no plasma power is used to excite the water vapor in disclosed embodiments. Upon completion of a predetermined number of cycles (decision 208), the treatment of the remote plasma region is stopped. Otherwise, operations 204 and 206 arc repeated in sequence. Each combination of the pair of operations (204 and 206) may be referred to herein as a “cycle” and there may be more than or about ten cycles, more than or about twenty cycles, more than or about thirty cycles or more than or about fifty cycles in embodiments of the invention. After all burn-in cycles are completed, the first production substrate is transferred into the substrate processing region to undergo a deposition process (operation 210).


During the ammonia plasma (operation 204), plasma power is applied to the remote plasma region at radio frequencies (RF). Inert species (Ar, He etc.) may be concurrently flowed into the remote plasma region along with ammonia to help maintain the plasma intensity and uniformity. Plasma power may be applied in a variety of ways including capacitively and inductively. A single frequency may be used to excite the plasma formed from the inert gas and the single frequency may be greater than five megahertz or less than five megahertz in disclosed embodiments. In other embodiments, two or more plasma power frequencies are used to excite the plasma with one being above five megahertz and one below five megahertz. For example, a high frequency of 13.56 MHz may be combined with a low frequency of 350 kHz and the combination may be used to excite the plasma in the substrate processing region. The plasma power itself may be between about 100 watts and about 3000 watts, between about 250 watts and about 2000 watts or between about 350 watts and about 1500 watts. The plasma power includes the sum of the power of each frequency applied to the remote plasma region.


Plasma power may or may not be applied to the remote plasma region during the exposure to water vapor (operation 206) in disclosed embodiments. Plasma power and frequencies used for the water vapor plasma may be the same as each of the embodiments disclosed with respect to operation 204. As alluded to previously, helium or argon may be used to carry the water vapor to the chamber and/or added to the remote plasma region. Regardless of how the helium or argon is added to the remote plasma region, its effect may be to ease the initiation of the plasma or to stabilize the high-intensity plasma across the remote plasma region. The flow rate of the inert gas into the remote plasma region during either the ammonia plasma (operation 204) or the exposure to water vapor (operation 206, with or without applied plasma power) may be greater than or about 1000 sccm, greater than or about 2000 sccm, greater than or about 3000 sccm or greater than or about 4000 sccm in disclosed embodiments. During exposure to water vapor (operation 206), plasma power may be applied for a first portion of the exposure and then removed for a second portion of the exposure in embodiments of the invention.


No or essentially no plasma power is applied to substrate processing region during the burn-in process in embodiments of the invention. “Essentially no plasma power” does not necessarily mean the substrate processing region is devoid of plasma. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. Especially in the case of an inductively-coupled plasma, e.g., a small amount of ionization may be initiated within the substrate processing region. All causes for a plasma having much lower ion density than the remote plasma region during the accelerated burn-in process do not deviate from the scope of having “essentially no plasma power” as used herein.


During either the ammonia exposure (operation 204) or the water vapor exposure (operation 206), the pressures in the remote plasma region(s) may be below or about 100 Torr, below or about 50 Torr, below or about 20 Torr, below or about 10 Torr or below or about 5 Torr in disclosed embodiments. The pressures in the remote plasma region(s) may be above or about 0.5 Torr, above or about 1 Torr, above or about 2 Torr, above or about 5 Torr in disclosed embodiments. Each of the lower bounds may be combined with any of the upper bounds on the pressures to form additional ranges of remote plasma region pressures according to embodiments of the invention.


Generally speaking, the ammonia plasma exposure (operation 204) may be a plasma exposure formed using a reducing agent or a hydrogen-containing precursor. Therefore, the ammonia plasma exposure may be generally referred to as a hydrogen-containing plasma exposure. The hydrogen-containing precursor may be hydrogen (H2) and/or ammonia (NH3) in embodiments of the invention. Other hydrogen-containing precursors may be used in place of hydrogen or ammonia as long as they result in little or no deposition on the interior surfaces of the remote plasma region. As such, the hydrogen-containing precursor may be essentially devoid of silicon or carbon in embodiments of the invention. The flow rate of the hydrogen-containing precursor into the remote plasma region during reducing plasma (operation 204) may be greater than or about 50 sccm, greater than or about 100 sccm, greater than or about 200 sccm, greater than or about 300 sccm or greater than or about 400 sccm in disclosed embodiments.


In general, the water vapor exposure (operation 206) may be an exposure to any oxidizing gas or any oxygen-containing precursor. Therefore, the water vapor exposure may be generally referred to as an oxygen-containing exposure. The oxygen-containing exposure may lack plasma excitation or may have plasma excitation according to process parameters described previously in disclosed embodiments. The oxygen-containing precursor may be water vapor (H2O), oxygen (O2), nitrogen dioxide (NO2), nitrous oxide (N2O) and/or ozone (O3) in embodiments of the invention. Appropriate oxygen-containing precursors may result in little or no deposition on the interior surfaces of the remote plasma region other than forming an oxide of the exposed metal. As such, the oxygen-containing precursor may be essentially devoid of silicon or carbon in embodiments of the invention. The flow rate of the oxygen-containing precursor into the remote plasma region during the oxidizing plasma (operation 206) may be greater than or about 50 sccm, greater than or about 100 sccm, greater than or about 200 sccm, greater than or about 300 sccm or greater than or about 400 sccm in disclosed embodiments. Only the flow rate of the oxygen-containing precursor is included in these flow rates. The flow rates of the carrier gases and other inert gases may be as described previously.


The duration of the hydrogen-containing plasma exposure may be greater than or about thirty seconds, greater than or about one minute, greater than or about ninety seconds or greater than or about two minutes in disclosed embodiments. The duration of the hydrogen-containing plasma exposure may be less than or about one hour, less than or about thirty minutes, less than or about fifteen minutes or less than or about five minutes in disclosed embodiments. Additional embodiments may be formed by combining any of the upper limits with any of the lower limits.


The duration of the oxygen-containing exposure may be greater than or about one second, greater than or about two seconds, greater than or about five seconds or greater than or about ten seconds in disclosed embodiments. The duration of the oxygen-containing plasma exposure may be less than or about two minutes, less than or about ninety seconds, less than or about one minute or less than or about thirty seconds in disclosed embodiments. Additional embodiments may be formed by combining any of the upper limits with any of the lower limits. The duration of the oxygen-containing exposure may be separated into an initial plasma-excited exposure followed by an oxygen-containing exposure without plasma excitation. In this case, the two durations may be adjacent to one another in time and the duration with plasma excitation (or the duration without plasma excitation) may be within 25% and 75% of the total duration of the oxygen-containing exposure.


After the burn-in process is complete, a patterned substrate may be transferred into the substrate processing region to undergo a radical-component deposition process (operation 210). Details are now provided for an exemplary radical-component deposition process.


Exemplary Dielectric Deposition Method

A radical-component deposition process may include forming a silicon-nitrogen-and-hydrogen-containing layer on the substrate and in the narrow gap by chemical vapor deposition. Silicon-nitrogen-and-hydrogen-containing layers may be deposited to flow in and fill the narrow gap and may then be converted to silicon oxide. Silicon-nitrogen-and-hydrogen-containing layers deposited by chemical vapor deposition may also be deposited conformally (e.g. as a liner) before a subsequent film is deposited. Each of these regimes (conformal and flowable), as well as intervening regimes, are included in silicon-nitrogen-and-hydrogen-containing layers referenced herein.


The exemplary operation of depositing the silicon-nitrogen-and-hydrogen-containing layer involves a chemical vapor deposition process which begins by providing a carbon-free silicon precursor to a substrate processing region. The carbon-free silicon-containing precursor may be, for example, a carbon-free silicon-and-nitrogen-containing precursor, a silicon-and-hydrogen precursor, or a silicon-nitrogen-and-hydrogen-containing precursor, among other classes of silicon precursors. The silicon-precursor may be oxygen-free in addition to carbon-free. The lack of oxygen results in a lower concentration of silanol (Si—OH) groups in the silicon-and-nitrogen-containing layer formed from the precursors. Excess silanol moieties in the deposited film can cause increased porosity and shrinkage during post deposition steps that remove the hydroxyl (—OH) moieties from the deposited layer.


Specific examples of carbon-free silicon precursors may include silyl-amines such as H2N(SiH3), HN(SiH3)2, and N(SiH3)3, among other silyl-amines. The flow rates of a silyl-amine may be greater than or about 200 sccm, greater than or about 300 sccm or greater, than or about 500 sccm in different embodiments. All flow rates given herein refer to a dual chamber substrate processing system processing three hundred millimeter diameter round substrate. Single wafer systems would require half these flow rates and other wafer sizes would require flow rates scaled by the processed area. These silyl-amines may be mixed with additional gases that may act as carrier gases, reactive gases, or both. Examplary additional gases include H2, N2, NH3, He, and Ar, among other gases. Examples of carbon-free silicon precursors may also include silane (SiH4) either alone or mixed with other silicon (e.g., N(SiH3)3), hydrogen (e.g., H2), and/or nitrogen (e.g., N2, NH3) containing gases. Carbon-free silicon precursors may also include disilane, trisilane, even higher-order silanes, and chlorinated silanes, alone or in combination with one another or the previously mentioned carbon-free silicon precursors.


A radical precursor (e.g. a radical-nitrogen precursor) is also provided to the substrate processing region. The radical-nitrogen precursor is a nitrogen-radical-containing precursor that was generated outside the substrate processing region from a more stable nitrogen precursor. For example, a stable nitrogen precursor compound containing NH3, hydrazine (N2H4) and/or N2 may be activated in a chamber plasma region or a remote plasma system (RPS) outside the processing chamber to form the radical-nitrogen precursor, which is then transported into the substrate processing region. The stable nitrogen precursor may also be a mixture comprising NH3 & N2, NH3 & H2, NH3 & N2 & H2 and N2 & H2, in different embodiments. Hydrazine may also be used in place of or in combination with NH3 in the mixtures with N2 and H2. The flow rate of the stable nitrogen precursor may be greater than or about 300 sccm, greater than or about 500 sccm or greater than or about 700 sccm in different embodiments. The radical-nitrogen precursor produced in the chamber plasma region may be one or more of .N, .NH, .NH2, etc., and may also be accompanied by ionized species formed in the plasma. Sources of oxygen may also be combined with the more stable nitrogen precursor in the remote plasma which will act to pre-load the film with oxygen while decreasing flowability. Sources of oxygen may include one or more of O2, H2O, O3, H2O2, N2O, NO or NO2. Generally speaking, a radical precursor may be used which does not contain nitrogen and the nitrogen for the silicon-nitrogen-and-hydrogen-containing layer is then provided by nitrogen from the carbon-free silicon-containing precursor.


In embodiments employing a chamber plasma region, the radical-nitrogen precursor is generated in a section of the substrate processing region partitioned from a deposition region where the precursors mix and react to deposit the silicon-and-nitrogen-containing layer on a deposition substrate (e.g., a semiconductor wafer). The radical-nitrogen precursor may also be accompanied by a carrier gas such as hydrogen (H2), nitrogen (N2), helium, etc. The substrate processing region may be described herein as “plasma-free” during the growth of the silicon-nitrogen-and-hydrogen-containing layer and during the low temperature ozone cure. “Plasma-free” does not necessarily mean the region is devoid of plasma. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, e.g., a small amount of ionization may be initiated within the substrate processing region directly. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating the flowable nature of the forming film. All causes for a plasma having much lower ion density than the chamber plasma region during the creation of the radical nitrogen precursor do not deviate from the scope of “plasma-free” as used herein.


In the substrate processing region, the carbon-free silicon precursor and the radical-nitrogen precursor mix and react to deposit a silicon-nitrogen-and-hydrogen-containing film on the deposition substrate. The deposited silicon-nitrogen-and-hydrogen-containing film may deposit conformally with some recipe combinations in embodiments. In other embodiments, the deposited silicon-nitrogen-and-hydrogen-containing film has flowable characteristics unlike conventional silicon nitride (Si3N4) film deposition techniques. The flowable nature of the formation allows the film to flow into narrow gaps trenches and other structures on the deposition surface of the substrate.


Though these deposition processes are useful for a variety of surface topologies, the exemplary method may include transferring a substrate comprising a narrow gap into a substrate processing region. The substrate may have a plurality of gaps for the spacing and structure of device components (e.g., transistors) formed on the substrate. The gaps may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1:1 (e.g., 5:1 or more, 6:1 or more, 7:1 or more, 8:1 or more, 9:1 or more, 10:1 or more, 11:1 or more, 12:1 or more, etc.). In many instances the high AR is due to small gap widths that range from about 90 nm to about 22 nm or less (e.g., less than 90 nm, 65 nm, 50 nm, 45 nm, 32 nm, 22 nm, 16 nm, etc.).


The flowability may be due to a variety of properties which result from mixing a radical-nitrogen precursors with carbon-free silicon precursor. These properties may include a significant hydrogen component in the deposited film and/or the presence of short chained polysilazane polymers. These short chains grow and network to form more dense dielectric material during and after the formation of the film. For example the deposited film may have a silazane-type, Si—NH—Si backbone (i.e., a carbon-free Si—N—H film). When both the silicon precursor and the radical-nitrogen precursor are carbon-free, the deposited silicon-nitrogen-and-hydrogen-containing film is also substantially carbon-free. Of course, “carbon-free” does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen-containing precursor. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., TEOS, TMDSO, etc.).


As described above, the deposited silicon-nitrogen-and-hydrogen-containing layer may be produced by combining a radical-nitrogen precursor with a variety of carbon-free silicon-containing precursors. The carbon-free silicon-containing precursor may be essentially nitrogen-free, in embodiments. In some embodiments, both the carbon-free silicon-containing precursor and the radical-nitrogen precursor contain nitrogen. On the other hand, the radical precursor may be essentially nitrogen-free, in embodiments, and the nitrogen for the silicon-nitrogen-and-hydrogen-containing layer may be supplied by the carbon-free silicon-containing precursor. So most generally speaking, the radical precursor will be referred to herein as a “radical-nitrogen-and/or-hydrogen precursor,” which means that the precursor contains nitrogen and/or hydrogen. Analogously, the precursor flowed into the plasma region to form the radical-nitrogen-and/or-hydrogen precursor will be referred to as a nitrogen-and/or-hydrogen-containing precursor. These generalizations may be applied to each of the embodiments disclosed herein. In embodiments, the nitrogen-and/or-hydrogen-containing precursor comprises hydrogen (H2) while the radical-nitrogen-and/or-hydrogen precursor comprises .H, etc.


Following the deposition of the silicon-nitrogen-and-hydrogen-containing layer, the deposition substrate may be ozone cured in an ozone-containing atmosphere 106. The curing operation reduces the concentration of nitrogen while increasing the concentration of oxygen in the film, including in the trench. The deposition substrate may remain in the substrate processing region for curing, or the substrate may be transferred to a different chamber where the ozone-containing atmosphere is introduced. The ozone curing temperature of the substrate may be less than or about 400° C., less than or about 300° C., less than or about 250° C., less than or about 200° C. or less than or about 150° C. in different embodiments. The temperature of the substrate may be greater than or about room temperature (25° C.), greater than or about 50° C., greater than or about 100° C., greater than or about 150° C. or greater than or about 200° C. in disclosed embodiments. Any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature according to additional disclosed embodiments. No plasma is present in the substrate processing region, in embodiments, to avoid generating atomic oxygen which may close the near surface network and thwart subsurface oxidation. The duration of the ozone cure may be greater than about 5 seconds or greater than about 10 seconds in embodiments. The duration of the ozone cure may be less than about 60 seconds or less than or about 45 seconds in embodiments. Again, upper bounds may be combined with lower bounds to form additional ranges for the duration of the ozone cure according to additional disclosed embodiments.


The flow rate of the ozone (just the ozone contribution) into the substrate processing region during the cure step may be greater than or about 500 sccm, greater than or about 1 slm, greater than or about 2 slm or greater than or about 2 slm, in disclosed embodiments. The partial pressure of ozone during the cure step may be greater than or about 20 Torr, greater than or about 30 Torr, greater than or about 50 Torr or greater than or about 100 Torr, in disclosed embodiments. In some cases, exposure to an increasing temperature from below or about 250° C. to a temperature above 400° C. (e.g. 550° C.) has furthered the conversion from the silicon-nitrogen-and-hydrogen-containing film to the silicon oxide film. Adding moisture (steam/H2O) to the ozone-containing atmosphere has also increased the conversion to the silicon oxide film, when provided at the increased temperature (above 400° C.).


Following ozone curing of the silicon-and-nitrogen-containing layer, the deposition substrate may be further treated to complete the transition from a silicon-and-nitrogen-containing layer to a silicon-and-oxygen-containing layer. In some cases, the silicon-and-nitrogen-containing layer is converted to silicon oxide.


The oxygen-containing atmospheres of curing operations may provide oxygen to convert the silicon-nitrogen-and-hydrogen-containing film into the silicon-and-oxygen-containing film or the silicon oxide film. Carbon may or may not be present in the silicon-nitrogen-and-hydrogen-containing film in embodiments of the invention. If absent, the lack of carbon in the silicon-nitrogen-and-hydrogen-containing film results in significantly fewer pores formed in the final silicon oxide film. It also results in less volume reduction (i.e., shrinkage) of the film during the conversion to the silicon oxide. For example, where a silicon-nitrogen-carbon layer formed from carbon-containing silicon precursors may shrink by 40 vol. % or more when converted to silicon oxide, the substantially carbon-free silicon-and-nitrogen-containing films may shrink by about 15 vol. % or less. As a result of the flowability of the silicon-nitrogen-and-hydrogen-containing film and the lack of shrinkage, the silicon-and-oxygen-containing Film produced according to methods may fill the narrow trench so it is free of voids.


Exemplary Dielectric Deposition System

Deposition chambers that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and PRODUCER® PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, Calif.


Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled “PROCESS CHAMBER FOR DIELECTRIC GAPFILL,” the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.


Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 3 shows one such system 300 of deposition, baking and curing chambers according to disclosed embodiments. In the figure, a pair of FOUPs (front opening unified pods) 302 supply substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 304 and placed into a low pressure holding area 306 before being placed into one of the wafer processing chambers 308a-f. A second robotic arm 310 may be used to transport the substrate wafers from the holding area 306 to the processing chambers 308a-f and back.


The processing chambers 308a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber (e.g., 308c-d and 308e-f) may be used to deposit the flowable dielectric material on the substrate, and the third pair of processing chambers (e.g., 308a-b) may be used to anneal the deposited dielectic. In another configuration, the same two pairs of processing chambers (e.g., 308c-d and 308e-f) may be configured to both deposit and anneal a flowable dielectric film on the substrate, while the third pair of chambers (e.g., 308a-b) may be used for UV or E-beam curing of the deposited film. In still another configuration, all three pairs of chambers (e.g., 308a-f) may be configured to deposit and cure a flowable dielectric film on the substrate. In yet another configuration, two pairs of processing chambers (e.g., 308c-d and 308e-f) may be used for both deposition and UV or E-beam curing of the flowable dielectric, while a third pair of processing chambers (e.g. 308a-b) may be used for annealing the dielectric film. Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in different embodiments.


In addition, one or more of the process chambers 308a-f may be configured as a wet treatment chamber. These process chambers include heating the flowable dielectric film in an atmosphere that includes moisture. Thus, embodiments of system 300 may include wet treatment chambers 308a-b and anneal processing chambers 308c-d to perform both wet and dry anneals on the deposited dielectric film.



FIG. 4A is a substrate processing chamber 400 according to disclosed embodiments. A remote plasma system (RPS) 410 may process a gas which then travels through a gas inlet assembly 411. Two distinct gas supply channels are visible within the gas inlet assembly 411. A first channel 412 carries a gas that passes through the remote plasma system RPS 410, while a second channel 413 bypasses the RPS 410. The first channel 502 may be used for the process gas and the second channel 413 may be used for a treatment gas in disclosed embodiments. The lid (or conductive top portion) 421 and a perforated partition (also referred to as showerhead 453) are shown with an insulating ring 424 in between, which allows an AC potential to be applied to the lid 421 relative to showerhead 453. The process gas travels through first channel 412 into chamber plasma region 420 and may be excited by a plasma in chamber plasma region 420 alone or in combination with RPS 410. The combination of chamber plasma region 420 and/or RPS 410 may be referred to as a remote plasma system herein. The perforated partition (showerhead 453) separates chamber plasma region 420 from substrate processing region 470 beneath showerhead 453. Showerhead 453 allows a plasma present in chamber plasma region 420 to avoid directly exciting gases in substrate processing region 470, while still allowing excited species to travel from chamber plasma region 420 into substrate processing region 470.


Showerhead 453 is positioned between chamber plasma region 420 and substrate processing region 470 and allows plasma effluents (excited derivatives of precursors or other gases) created within chamber plasma region 420 to pass through a plurality of through-holes 456 that traverse the thickness of the plate. The showerhead 453 also has one or more hollow volumes 451 which can be filled with a precursor in the form of a vapor or gas (such as a silicon-containing precursor) and pass through small holes 455 into substrate processing region 470 but not directly into chamber plasma region 420. Showerhead 453 is thicker than the length of the smallest diameter 450 of the through-holes 456 in this disclosed embodiment. In order to maintain a significant concentration of excited species penetrating from chamber plasma region 420 to substrate processing region 470, the length 426 of the smallest diameter 450 of the through-holes may be restricted by forming larger diameter portions of through-holes 456 part way through the showerhead 453. The length of the smallest diameter 450 of the through-holes 456 may be the same order of magnitude as the smallest diameter of the through-holes 456 or less in disclosed embodiments.


In the embodiment shown, showerhead 453 may distribute (via through-holes 456) process gases which contain oxygen, hydrogen and/or nitrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 420. In embodiments, the process gas introduced into the RPS 410 and/or chamber plasma region 420 through first channel 412 may contain one or more of oxygen (O2), ozone (O3), N2O, NO, NO2, NH3, NxHy including N2H4, silane, disilane, TSA and DSA. The process gas may also include a carrier gas such as helium, argon, nitrogen (N2) etc. The second channel 413 may also deliver a process gas and/or a carrier gas, and/or a film-curing gas used to remove an unwanted component from the growing or as-deposited film. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-oxygen precursor and/or a radical-nitrogen precursor referring to the atomic constituents of the process gas introduced.


In embodiments, the number of through-holes 456 may be between about 60 and about 2000. Through-holes 456 may have a variety of shapes but are most easily made round. The smallest diameter 450 of through-holes 456 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in disclosed embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or a combination of the two shapes. The number of small holes 455 used to introduce a gas into substrate processing region 470 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments. The diameter of the small holes 455 may be between about 0.1 mm and about 2 mm.



FIG. 4B is a bottom view of a showerhead 453 for use with a processing chamber according to disclosed embodiments. Showerhead 453 corresponds with the showerhead shown in FIG. 4A. Through-holes 456 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 453 and a smaller ID at the top. Small holes 455 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 456 which helps to provide more even mixing than other embodiments described herein.


An exemplary film is created on a substrate supported by a pedestal (not shown) within substrate processing region 470 when plasma effluents arriving through through-holes 456 in showerhead 453 combine with a silicon-containing precursor arriving through the small holes 455 originating from hollow volumes 451. Though substrate processing region 470 may be equipped to support a plasma for other processes such as curing, no plasma is present during the growth of the exemplary film.


A plasma may be ignited either in chamber plasma region 420 above showerhead 453 or substrate processing region 470 below showerhead 453. A plasma is present in chamber plasma region 420 to produce the radical nitrogen precursor from an inflow of a nitrogen-and-hydrogen-containing gas. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top lid 421 of the processing chamber and showerhead 453 to ignite a plasma in chamber plasma region 420 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.


The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 470 is turned on to either cure a film or clean the interior surfaces bordering substrate processing region 470. A plasma in substrate processing region 470 is ignited by applying an AC voltage between showerhead 453 and the pedestal or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 470 while the plasma is present. No plasma is used during amine curing, in embodiments of the invention.


The pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from room temperature through about 120° C.). The heat exchange fluid may comprise ethylene glycol and water. The wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistively heated in order to achieve relatively high temperatures (from about 120° C. through about 1100° C.) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal.


The substrate processing system is controlled by a system controller. In an exemplary embodiment, the system controller includes a hard disk drive, a floppy disk drive and a processor. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.


The system controller controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer-readable medium. Preferably, the medium is a hard disk drive, but the medium may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to instruct the system controller.


A process for treating the interior of a remote plasma region or depositing a dielectric film on a substrate can be implemented using a computer program product that is executed by the system controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.


The interface between a user and the controller is via a flat-panel touch-sensitive monitor. In the preferred embodiment two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The two monitors may simultaneously display the same information, in which case only one accepts input at a time. To select a particular screen or function, the operator touches a designated area of the touch-sensitive monitor. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the operator and the touch-sensitive monitor. Other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to the touch-sensitive monitor to allow the user to communicate with the system controller.


As used herein “substrate” may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. A layer of “silicon oxide” may include minority concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments of the invention, silicon oxide consists essentially of silicon and oxygen. A gas in an “excited state” describes a gas wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A gas (or precursor) may be a combination of two or more gases (precursors). The term “trench” is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. The term “via” is used to refer to a low aspect ratio trench which may or may not be filled with metal to form a vertical electrical connection. The term “precursor” is used to refer to any process gas (or vaporized liquid droplet) which takes part in a reaction to either remove or deposit material from a surface.


The term “trench” is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. The term “via” is used to refer to a low aspect ratio trench which may or may not be filled with metal to form a vertical electrical connection. As used herein, a conformal layer refers to a generally uniform layer of material on a surface in the same shape as the surface, i.e., the surface of the layer and the surface being covered are generally parallel. A person having ordinary skill in the art will recognize that the deposited material likely cannot be 100% conformal and thus the term “generally” allows for acceptable tolerances.


Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.


Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits arc included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.


As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the precursor” includes reference to one or more precursor and equivalents thereof known to those skilled in the art, and so forth.


Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims
  • 1. A method of treating a remote plasma system fluidly coupled to a substrate processing region of a substrate processing chamber, the method comprising the sequential steps of: (1) flowing a hydrogen-containing precursor into the remote plasma system while forming a first plasma in the remote plasma system during a hydrogen-containing plasma exposure,(2) flowing an oxygen-containing precursor into the remote plasma system during an oxygen-containing exposure, andrepeating steps (1) and (2) until the total number of cycles is about ten or more;wherein the remote plasma system comprises a remote plasma region within the substrate processing chamber and fluidly coupled to the substrate processing region by way of a showerhead.
  • 2. The method of claim 1 wherein the hydrogen-containing precursor comprises ammonia (NH3).
  • 3. The method of claim 1 wherein the hydrogen-containing precursor comprises hydrogen (H2).
  • 4. The method of claim 1 wherein the oxygen-containing precursor comprises water vapor (H2O).
  • 5. The method of claim 1 wherein the oxygen-containing precursor comprises at least one of water vapor (H2O), oxygen (O2), nitrogen dioxide (NO2), nitrous oxide (N2O) and/or ozone (O3).
  • 6. The method of claim 1 wherein the remote plasma system comprises an external remote plasma system outside the substrate processing chamber and fluidly coupled to the remote plasma region.
  • 7. The method of claim 1 wherein the step of flowing the hydrogen-containing precursor comprises flowing the hydrogen-containing precursor at a flow rate greater than or about 50 sccm.
  • 8. The method of claim 1 wherein the step of flowing the oxygen-containing precursor comprises flowing the oxygen-containing precursor at a flow rate greater than or about 50 sccm.
  • 9. The method of claim 1 wherein, after the total number of cycles, the the method further comprises forming a silicon-nitrogen-and-hydrogen-containing layer by: flowing a nitrogen-and/or-hydrogen-containing precursor into a plasma region to produce a radical-nitrogen-and/or-hydrogen precursor;combining a silicon-containing precursor with the radical-nitrogen-and/or-hydrogen precursor in a plasma-free substrate processing region; anddepositing the silicon-nitrogen-and-hydrogen-containing layer on the substrate.
  • 10. The method of claim 9 wherein the silicon-containing precursor is a carbon-free silicon-and-nitrogen-containing precursor.
  • 11. The method of claim 9 wherein the nitrogen-and/or-hydrogen-containing precursor comprises at least one of N2H2, NH3, N2 and H2.
  • 12. The method of claim 9 wherein the silicon-containing precursor comprises a silicon-and-nitrogen-containing precursor.
  • 13. The method of claim 9 wherein the silicon-containing precursor comprises H2N(SiH3), HN(SiH3)2, and N(SiH3)3.
  • 14. The method of claim 1 wherein a duration of step (1) is greater than or about thirty seconds and less than or about one hour.
  • 15. The method of claim 1 wherein a duration of step (2) is greater than or about two seconds and less than or about two minutes.
  • 16. The method of claim 1 wherein the operation of forming the first plasma comprises a plasma power between about 100 watts and about 3000 watts.
  • 17. The method of claim 1 wherein the step of flowing the oxygen-containing precursor further comprises forming a second plasma in the remote plasma system.
  • 18. The method of claim 17 wherein the second plasma occurs during a first portion of the step of flowing the oxygen-containing precursor and essentially no plasma power is applied to the remote plasma system during a subsequent portion.
  • 19. The method of claim 17 wherein the operation of forming the second plasma comprises a plasma power between about 100 watts and about 3000 watts.
  • 20. The method of claim 1 wherein a pressure in the remote plasma region during each of the hydrogen-containing plasma exposure and the oxygen-containing exposure is greater than or about 0.5 Torr and less than or about 100 Torr.
CROSS-REFERENCES TO RELATED APPLICATIONS

This application claims the benefit of U.S. Prov. Pat. App. No. 61/543,159 filed Oct. 4, 2011, and entitled “REMOTE PLASMA BURN-IN,” which is entirely incorporated herein by reference for all purposes.

US Referenced Citations (395)
Number Name Date Kind
4147571 Stringfellow et al. Apr 1979 A
4200666 Reinberg Apr 1980 A
4816098 Davis et al. Mar 1989 A
4818326 Liu et al. Apr 1989 A
4910043 Freeman et al. Mar 1990 A
4931354 Wakino et al. Jun 1990 A
4946593 Pinigis Aug 1990 A
5016332 Reichelderfer et al. May 1991 A
5110407 Ono et al. May 1992 A
5212119 Hah et al. May 1993 A
5271972 Kwok et al. Dec 1993 A
5279784 Bender et al. Jan 1994 A
5393708 Hsia et al. Feb 1995 A
5426076 Moghadam Jun 1995 A
5434109 Geissler et al. Jul 1995 A
5468687 Carl et al. Nov 1995 A
5485420 Lage et al. Jan 1996 A
5530293 Cohen et al. Jun 1996 A
5547703 Camilletti et al. Aug 1996 A
5558717 Zhao et al. Sep 1996 A
5578532 van de Ven et al. Nov 1996 A
5587014 Iyechika et al. Dec 1996 A
5593741 Ikeda Jan 1997 A
5620525 van de Ven et al. Apr 1997 A
5622784 Okaue et al. Apr 1997 A
5635409 Moslehi Jun 1997 A
5665643 Shin Sep 1997 A
5691009 Sandhu Nov 1997 A
5769951 van de Ven et al. Jun 1998 A
5786263 Perera Jul 1998 A
5811325 Lin et al. Sep 1998 A
5843233 van de Ven et al. Dec 1998 A
5853607 Zhao et al. Dec 1998 A
5882417 van de Ven et al. Mar 1999 A
5925411 van de Ven et al. Jul 1999 A
5935340 Xia et al. Aug 1999 A
5937308 Gardner et al. Aug 1999 A
5937323 Orczyk et al. Aug 1999 A
5966595 Thakur et al. Oct 1999 A
6008515 Hsia et al. Dec 1999 A
6009830 Li et al. Jan 2000 A
6014979 Van Autryve et al. Jan 2000 A
6017791 Wang et al. Jan 2000 A
6024044 Law et al. Feb 2000 A
6087243 Wang Jul 2000 A
6090442 Klaus et al. Jul 2000 A
6090723 Thakur et al. Jul 2000 A
6114219 Spikes, Jr. et al. Sep 2000 A
6121130 Chua et al. Sep 2000 A
6140242 Oh et al. Oct 2000 A
6146970 Witek et al. Nov 2000 A
6150286 Sun et al. Nov 2000 A
6156394 Yamasaki et al. Dec 2000 A
6156581 Vaudo et al. Dec 2000 A
6165834 Agarwal et al. Dec 2000 A
6180490 Vassiliev et al. Jan 2001 B1
6187682 Denning et al. Feb 2001 B1
6191004 Hsiao Feb 2001 B1
6207587 Li et al. Mar 2001 B1
6258690 Zenke Jul 2001 B1
6287962 Lin Sep 2001 B1
6302964 Umotoy et al. Oct 2001 B1
6383954 Wang et al. May 2002 B1
6387207 Janakiraman et al. May 2002 B1
6406677 Carter et al. Jun 2002 B1
6413583 Moghadam et al. Jul 2002 B1
6448187 Yau et al. Sep 2002 B2
6469283 Burkhart et al. Oct 2002 B1
6503557 Joret Jan 2003 B1
6506253 Sakuma Jan 2003 B2
6508879 Hashimoto Jan 2003 B1
6509283 Thomas Jan 2003 B1
6524931 Perera Feb 2003 B1
6528332 Mahanpour et al. Mar 2003 B2
6544900 Raaijmakers et al. Apr 2003 B2
6548416 Han et al. Apr 2003 B2
6548899 Ross Apr 2003 B2
6559026 Rossman et al. May 2003 B1
6566278 Harvey et al. May 2003 B1
6583063 Khan et al. Jun 2003 B1
6589868 Rossman Jul 2003 B2
6596654 Bayman et al. Jul 2003 B1
6599839 Gabriel et al. Jul 2003 B1
6602806 Xia et al. Aug 2003 B1
6614181 Harvey et al. Sep 2003 B1
6624064 Sahin et al. Sep 2003 B1
6630413 Todd Oct 2003 B2
6645303 Frankel et al. Nov 2003 B2
6656804 Tsujikawa et al. Dec 2003 B2
6660391 Rose et al. Dec 2003 B1
6667553 Cerny et al. Dec 2003 B2
6670284 Yin Dec 2003 B2
6676751 Solomon et al. Jan 2004 B2
6682659 Cho et al. Jan 2004 B1
6682969 Basceri et al. Jan 2004 B1
6683364 Oh et al. Jan 2004 B2
6706634 Seitz et al. Mar 2004 B1
6716770 O'Neill et al. Apr 2004 B2
6756085 Waldfried et al. Jun 2004 B2
6762126 Cho et al. Jul 2004 B2
6787191 Hanahata et al. Sep 2004 B2
6794290 Papasouliotis et al. Sep 2004 B1
6800571 Cheung et al. Oct 2004 B2
6818517 Maes Nov 2004 B1
6819886 Runkowske et al. Nov 2004 B2
6830624 Janakiraman et al. Dec 2004 B2
6833052 Li et al. Dec 2004 B2
6833322 Anderson et al. Dec 2004 B2
6835278 Selbrede et al. Dec 2004 B2
6849520 Kim et al. Feb 2005 B2
6858523 DeBoer et al. Feb 2005 B2
6858533 Chu et al. Feb 2005 B2
6867086 Chen et al. Mar 2005 B1
6872323 Entley et al. Mar 2005 B1
6875687 Weidman et al. Apr 2005 B1
6890403 Cheung et al. May 2005 B2
6900067 Kobayashi et al. May 2005 B2
6955836 Kumagai et al. Oct 2005 B2
6958112 Karim et al. Oct 2005 B2
7018902 Visokay et al. Mar 2006 B2
7077904 Cho et al. Jul 2006 B2
7084076 Park et al. Aug 2006 B2
7087497 Yuan et al. Aug 2006 B2
7109114 Chen et al. Sep 2006 B2
7115419 Suzuki Oct 2006 B2
7122222 Xiao et al. Oct 2006 B2
7129185 Aoyama et al. Oct 2006 B2
7148155 Tarafdar et al. Dec 2006 B1
7176144 Wang et al. Feb 2007 B1
7183177 Al-Bayati et al. Feb 2007 B2
7192626 Dussarrat et al. Mar 2007 B2
7205248 Li et al. Apr 2007 B2
7220461 Hasebe et al. May 2007 B2
7297608 Papasouliotis et al. Nov 2007 B1
7335609 Ingle et al. Feb 2008 B2
7399388 Moghadam et al. Jul 2008 B2
7419903 Haukka et al. Sep 2008 B2
7435661 Miller et al. Oct 2008 B2
7456116 Ingle et al. Nov 2008 B2
7498273 Mallick et al. Mar 2009 B2
7514375 Shanker et al. Apr 2009 B1
7521378 Fucsko et al. Apr 2009 B2
7524735 Gauri et al. Apr 2009 B1
7524750 Nemani et al. Apr 2009 B2
7541297 Mallick et al. Jun 2009 B2
7745352 Mallick et al. Jun 2010 B2
7749574 Mahajani et al. Jul 2010 B2
7790634 Munro et al. Sep 2010 B2
7803722 Liang Sep 2010 B2
7825038 Ingle et al. Nov 2010 B2
7825044 Mallick et al. Nov 2010 B2
7867923 Mallick et al. Jan 2011 B2
7902080 Chen et al. Mar 2011 B2
7935643 Liang et al. May 2011 B2
7943531 Nemani et al. May 2011 B2
7989365 Park et al. Aug 2011 B2
7994019 Kweskin et al. Aug 2011 B1
8119544 Hasebe et al. Feb 2012 B2
8129555 Cheng et al. Mar 2012 B2
8232176 Lubomirsky et al. Jul 2012 B2
8236708 Kweskin et al. Aug 2012 B2
8242031 Mallick et al. Aug 2012 B2
8304351 Wang et al. Nov 2012 B2
8318584 Li et al. Nov 2012 B2
20010021595 Jang Sep 2001 A1
20010029114 Vulpio et al. Oct 2001 A1
20010038919 Berry et al. Nov 2001 A1
20010042511 Liu et al. Nov 2001 A1
20010048980 Kishimoto et al. Dec 2001 A1
20010054387 Frankel et al. Dec 2001 A1
20010055889 Iyer Dec 2001 A1
20020048969 Suzuki et al. Apr 2002 A1
20020068416 Hsieh et al. Jun 2002 A1
20020068466 Lee et al. Jun 2002 A1
20020079523 Zheng et al. Jun 2002 A1
20020081817 Bhakta et al. Jun 2002 A1
20020086166 Hendricks et al. Jul 2002 A1
20020127350 Ishikawa et al. Sep 2002 A1
20020129769 Kim et al. Sep 2002 A1
20020142585 Mandal Oct 2002 A1
20020146879 Fu et al. Oct 2002 A1
20020164429 Gaillard et al. Nov 2002 A1
20020164891 Gates et al. Nov 2002 A1
20020177298 Konishi et al. Nov 2002 A1
20020182893 Ballantine et al. Dec 2002 A1
20030001201 Yuzuriha et al. Jan 2003 A1
20030023113 Druzkowski et al. Jan 2003 A1
20030040199 Agarwal Feb 2003 A1
20030064154 Laxman et al. Apr 2003 A1
20030077918 Wu et al. Apr 2003 A1
20030113992 Yau et al. Jun 2003 A1
20030118748 Kumagai et al. Jun 2003 A1
20030124873 Xing et al. Jul 2003 A1
20030143841 Yang et al. Jul 2003 A1
20030159656 Tan et al. Aug 2003 A1
20030172872 Thakur et al. Sep 2003 A1
20030194881 Totsuka et al. Oct 2003 A1
20030199151 Ho et al. Oct 2003 A1
20030203653 Buchanan et al. Oct 2003 A1
20030232495 Moghadam et al. Dec 2003 A1
20040008334 Sreenivasan et al. Jan 2004 A1
20040020601 Zhao et al. Feb 2004 A1
20040029352 Beyer et al. Feb 2004 A1
20040029353 Zheng et al. Feb 2004 A1
20040048492 Ishikawa et al. Mar 2004 A1
20040065253 Tois et al. Apr 2004 A1
20040079118 M'Saad et al. Apr 2004 A1
20040082131 Tsujikawa et al. Apr 2004 A1
20040110354 Natzle et al. Jun 2004 A1
20040139983 Lakshmanan et al. Jul 2004 A1
20040146661 Kapoor et al. Jul 2004 A1
20040152342 Li et al. Aug 2004 A1
20040161899 Luo et al. Aug 2004 A1
20040166680 Miyajima et al. Aug 2004 A1
20040175501 Lukas et al. Sep 2004 A1
20040180557 Park et al. Sep 2004 A1
20040185641 Tanabe et al. Sep 2004 A1
20040194706 Wang et al. Oct 2004 A1
20040197843 Chou et al. Oct 2004 A1
20040216844 Janakiraman et al. Nov 2004 A1
20040219780 Ohuchi Nov 2004 A1
20040224534 Beulens et al. Nov 2004 A1
20040231590 Ovshinsky Nov 2004 A1
20040241342 Karim et al. Dec 2004 A1
20050001556 Hoffman et al. Jan 2005 A1
20050014354 Ozawa et al. Jan 2005 A1
20050019494 Moghadam et al. Jan 2005 A1
20050026443 Goo et al. Feb 2005 A1
20050062165 Saenger et al. Mar 2005 A1
20050087140 Yuda et al. Apr 2005 A1
20050118794 Babayan et al. Jun 2005 A1
20050121145 Du Bois et al. Jun 2005 A1
20050142895 Ingle et al. Jun 2005 A1
20050153574 Mandal Jul 2005 A1
20050181555 Haukka et al. Aug 2005 A1
20050186731 Derderian et al. Aug 2005 A1
20050186789 Agarwal Aug 2005 A1
20050196533 Hasebe et al. Sep 2005 A1
20050196935 Ishitsuka et al. Sep 2005 A1
20050196977 Saito et al. Sep 2005 A1
20050224866 Higashi et al. Oct 2005 A1
20050227017 Senzaki et al. Oct 2005 A1
20050227499 Park et al. Oct 2005 A1
20050230350 Kao et al. Oct 2005 A1
20050233595 Choi et al. Oct 2005 A1
20050250340 Chen et al. Nov 2005 A1
20050257890 Park et al. Nov 2005 A1
20050260347 Narwankar et al. Nov 2005 A1
20050287775 Hasebe et al. Dec 2005 A1
20060011984 Currie Jan 2006 A1
20060014399 Joe Jan 2006 A1
20060030151 Ding et al. Feb 2006 A1
20060030165 Ingle et al. Feb 2006 A1
20060046427 Ingle et al. Mar 2006 A1
20060046506 Fukiage Mar 2006 A1
20060055004 Gates et al. Mar 2006 A1
20060068599 Baek et al. Mar 2006 A1
20060075966 Chen et al. Apr 2006 A1
20060088985 Haverkort et al. Apr 2006 A1
20060090694 Cho et al. May 2006 A1
20060091104 Takeshita et al. May 2006 A1
20060096540 Choi May 2006 A1
20060102977 Fucsko et al. May 2006 A1
20060105106 Balseanu et al. May 2006 A1
20060110939 Joshi et al. May 2006 A1
20060110943 Swerts et al. May 2006 A1
20060121394 Chi Jun 2006 A1
20060159847 Porter et al. Jul 2006 A1
20060162661 Jung et al. Jul 2006 A1
20060178018 Olsen Aug 2006 A1
20060223315 Yokota et al. Oct 2006 A1
20060228903 McSwiney et al. Oct 2006 A1
20060252240 Gschwandtner et al. Nov 2006 A1
20060263522 Byun Nov 2006 A1
20060281496 Cedraeus Dec 2006 A1
20060286774 Singh et al. Dec 2006 A1
20060286776 Ranish et al. Dec 2006 A1
20070004170 Kawasaki et al. Jan 2007 A1
20070010072 Bailey et al. Jan 2007 A1
20070020392 Kobrin et al. Jan 2007 A1
20070026689 Nakata et al. Feb 2007 A1
20070031598 Okuyama et al. Feb 2007 A1
20070031609 Kumar et al. Feb 2007 A1
20070032054 Ramaswamy et al. Feb 2007 A1
20070049044 Marsh Mar 2007 A1
20070065578 McDougall Mar 2007 A1
20070066022 Chen et al. Mar 2007 A1
20070077777 Gumpher Apr 2007 A1
20070092661 Ryuzaki et al. Apr 2007 A1
20070099438 Ye et al. May 2007 A1
20070108404 Stewart et al. May 2007 A1
20070111546 Iyer et al. May 2007 A1
20070128864 Ma et al. Jun 2007 A1
20070134433 Dussarrat et al. Jun 2007 A1
20070166892 Hori Jul 2007 A1
20070173073 Weber Jul 2007 A1
20070181966 Watatani et al. Aug 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070232082 Balseanu et al. Oct 2007 A1
20070275569 Moghadam et al. Nov 2007 A1
20070281106 Lubomirsky et al. Dec 2007 A1
20070281448 Chen et al. Dec 2007 A1
20070281495 Mallick et al. Dec 2007 A1
20070281496 Ingle et al. Dec 2007 A1
20070289534 Lubomirsky et al. Dec 2007 A1
20070298585 Lubomirsky et al. Dec 2007 A1
20080000423 Fukiage Jan 2008 A1
20080014759 Chua et al. Jan 2008 A1
20080026597 Munro et al. Jan 2008 A1
20080038486 Treichel et al. Feb 2008 A1
20080063809 Lee et al. Mar 2008 A1
20080081104 Hasebe et al. Apr 2008 A1
20080085607 Yu et al. Apr 2008 A1
20080099431 Kumar et al. May 2008 A1
20080102223 Wagner et al. May 2008 A1
20080102650 Adams et al. May 2008 A1
20080182382 Ingle et al. Jul 2008 A1
20080188087 Chen et al. Aug 2008 A1
20080206954 Choi et al. Aug 2008 A1
20080241358 Joe et al. Oct 2008 A1
20080260969 Dussarrat et al. Oct 2008 A1
20080305648 Fukazawa et al. Dec 2008 A1
20080318429 Ozawa et al. Dec 2008 A1
20090031953 Ingle et al. Feb 2009 A1
20090035917 Ahn et al. Feb 2009 A1
20090053901 Goto et al. Feb 2009 A1
20090061647 Mallick et al. Mar 2009 A1
20090075490 Dussarrat et al. Mar 2009 A1
20090095714 Chen et al. Apr 2009 A1
20090104755 Mallick et al. Apr 2009 A1
20090104789 Mallick et al. Apr 2009 A1
20090104790 Liang Apr 2009 A1
20090104791 Nemani et al. Apr 2009 A1
20090104798 Hirano Apr 2009 A1
20090142935 Fukuzawa et al. Jun 2009 A1
20090181550 Hasebe et al. Jul 2009 A1
20090194809 Cho Aug 2009 A1
20090203225 Gates et al. Aug 2009 A1
20090209081 Matero et al. Aug 2009 A1
20090215251 Vellaikal et al. Aug 2009 A1
20090224374 Bhatia et al. Sep 2009 A1
20090232985 Dussarrat et al. Sep 2009 A1
20090242957 Ma et al. Oct 2009 A1
20090277587 Lubomirsky et al. Nov 2009 A1
20090280650 Lubomirsky et al. Nov 2009 A1
20090289284 Goh et al. Nov 2009 A1
20090294925 Lin et al. Dec 2009 A1
20090298257 Lee et al. Dec 2009 A1
20090325391 De Vusser et al. Dec 2009 A1
20100081094 Hasebe et al. Apr 2010 A1
20100081293 Mallick et al. Apr 2010 A1
20100136313 Shimizu et al. Jun 2010 A1
20100140756 Kozasa et al. Jun 2010 A1
20100143609 Fukazawa et al. Jun 2010 A1
20100184302 Lee et al. Jul 2010 A1
20100190348 Akae et al. Jul 2010 A1
20100221428 Dussarrat Sep 2010 A1
20100221925 Lee et al. Sep 2010 A1
20100255655 Mallick et al. Oct 2010 A1
20100283097 Endoh et al. Nov 2010 A1
20110014798 Mallick et al. Jan 2011 A1
20110034035 Liang et al. Feb 2011 A1
20110034039 Liang et al. Feb 2011 A1
20110045676 Park et al. Feb 2011 A1
20110111137 Liang et al. May 2011 A1
20110129616 Ingle et al. Jun 2011 A1
20110136347 Kovarsky et al. Jun 2011 A1
20110159213 Cai et al. Jun 2011 A1
20110159703 Liang et al. Jun 2011 A1
20110165347 Miller et al. Jul 2011 A1
20110165781 Liang et al. Jul 2011 A1
20110217851 Liang et al. Sep 2011 A1
20110223774 Kweskin et al. Sep 2011 A1
20120003840 Wang et al. Jan 2012 A1
20120009802 LaVoie et al. Jan 2012 A1
20120079982 Lubomirsky et al. Apr 2012 A1
20120083133 Solis et al. Apr 2012 A1
20120094468 Bhatia et al. Apr 2012 A1
20120094476 Tanaka et al. Apr 2012 A1
20120111831 Ha May 2012 A1
20120142192 Li et al. Jun 2012 A1
20120145079 Lubomirsky et al. Jun 2012 A1
20120161405 Mohn et al. Jun 2012 A1
20120177846 Li et al. Jul 2012 A1
20120190178 Wang et al. Jul 2012 A1
20120213940 Mallick Aug 2012 A1
20120225565 Bhatia et al. Sep 2012 A1
20120238108 Chen et al. Sep 2012 A1
20120269989 Liang et al. Oct 2012 A1
20120309205 Wang et al. Dec 2012 A1
20130034968 Zhang et al. Feb 2013 A1
20130045605 Wang et al. Feb 2013 A1
20130052827 Wang et al. Feb 2013 A1
20130059440 Wang et al. Mar 2013 A1
20130089988 Wang et al. Apr 2013 A1
Foreign Referenced Citations (50)
Number Date Country
19654737 Jul 1997 DE
0892083 Jan 1999 EP
1095958 May 2001 EP
1717848 Nov 2006 EP
61-234534 Oct 1986 JP
64-048425 Feb 1989 JP
1-198033 Aug 1989 JP
01-235259 Sep 1989 JP
01241826 Sep 1989 JP
03-197684 Aug 1991 JP
03-286531 Dec 1991 JP
05-259156 Oct 1993 JP
05-304147 Nov 1993 JP
06-077150 Mar 1994 JP
6-168930 Jun 1994 JP
07-014826 Jan 1995 JP
07-169762 Jul 1995 JP
07-316823 Dec 1995 JP
08-236518 Sep 1996 JP
08-288286 Nov 1996 JP
09-237785 Sep 1997 JP
10-163183 Jun 1998 JP
11-274285 Oct 1999 JP
2001-148382 May 2001 JP
2002-370059 Dec 2002 JP
2004-327639 Nov 2004 JP
2005-142448 Jun 2005 JP
2005-268396 Sep 2005 JP
2005-302848 Oct 2005 JP
2008-159824 Jul 2008 JP
2008218684 Sep 2008 JP
2011-220127 Nov 2011 JP
10-2004-0091978 Nov 2004 KR
1020040104533 Dec 2004 KR
10-2005-0003758 Jan 2005 KR
10-2005-0094183 Sep 2005 KR
1020060081350 Jul 2006 KR
10-2009-0011765 Feb 2009 KR
10-2009-0122860 Dec 2009 KR
200514163 Apr 2005 TW
200707582 Feb 2007 TW
02077320 Oct 2002 WO
03066933 Aug 2003 WO
2005078784 Aug 2005 WO
2007040856 Apr 2007 WO
2007140376 Dec 2007 WO
2007140424 Dec 2007 WO
2009055340 Apr 2009 WO
2012145148 Oct 2012 WO
2013025336 Feb 2013 WO
Non-Patent Literature Citations (32)
Entry
Franz, et al., “Conversion of silicon nitride into silicon dioxide through the influence of oxygen,” Solid-State Electronics, Jun. 1971, pp. 449-505, vol. 14, Issue 6, Germany. Abstract Only.
International Search Report and Written Opinion of PCT/US2011/066275, mailed Sep. 24, 2012, 9 pages.
International Search Report and Written Opinion of PCT/US2012/026786, mailed Jan. 2, 2013, 7 pages.
International Search Report and Written Opinion of PCT/US2012/031640 mailed Oct. 18, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2012/039629, mailed Dec. 26, 2012, 6 pages.
Tripp, et al., “The Anodic Oxidation of Silicon Nitride Films on Silicon,” Journal of the Electrochemical Society, 1970, pp. 157-159, 117(2).
Usenko, et al., “Silicon Nitride Surface Conversion into Oxide to Enable Hydrophilic Bonding,” ECS Meeting Abstracts, 2010, 1 page, Abstract #1716, 218th ECS Meeting.
Alexandrov, S. E. et al., “Formation of Silicon Nitride Films by Remote Plasma-enhanced Chemical Vapour Deposition”. Advanced Materials for Optics and Electronics, 1993, vol. 2, pp. 301-312.
Aylett, B. J. et al., “Silicon-Nitrogen Compounds. Part V. Diphenylamino-derivatives of Silane,” J. Chem. Soc. (A), Apr. 1969, pp. 636-638.
Aylett, B. J. et al., “Silicon-Nitrogen Compounds. Part VI.1 The Preparation and Properties of Disilazane,” J. Chem. Soc. (A), Apr. 1969, pp. 639-642.
Aylett, B. J. et al., “The Preparation and Some Properties of Disilylamine-Correspondence,” Inorganic Chemistry, Jan. 1966, p. 167.
Beach, D. B., “Infrared and Mass Spectroscopic Study of the Reaction of Silyl Iodide and Ammonia. Infrared Spectrum to Silylamine,” Inorganic Chemistry, Sep. 1992, vol. 31 No. 20, pp. 4174-4177.
Bowen, C. et al., “New Processing Techniques: Sweeping of Quartz Wafers and a Practical Method for Processing Quartz Resonators Under Controlled Conditions,” Proceedings of the 1992 IEEE Frequency Control Symposium, pp. 648-656.
Burg, A. B. et al., “Silyl-Amino Boron Compounds,” J. Amer. Chem. Soc., Jul. 1950, vol. 72, pp. 3103-3107.
Coltrin, M.E., et al., “Chemistry of AlGaN Particulate Formation,” National Nuclear Security Administration, Physical, Chemical, & Nano Sciences Center, Research Briefs, 2005, pp. 42-43.
Davison, A. et al., “The Raman Spectra of Manganese and Rhenium Carbonyl Hydrides and Some Related Species,” Inorganic Chemistry, Apr. 1967, vol. 6 No. 4, pp. 845-847.
Dussarrat, C. et al., “Low Pressure Chemical Vapor Deposition of Silicon Nitride Using Mono- and Disilylamine,” Chemical Vapor Deposition XVI and EUROCVD 14 vol. 2 Proceedings of the International Symposium, Part of the 203rd Electrochemical Society Meeting in Paris France, Apr. 27-May 2, 2003, 11 pages.
Gulleri, G. et al., “Deposition Temperature Determination of HDPCVD Silicon Dioxide Films,” 2005, Microelectronic Engineering, vol. 82, pp. 236-241.
International Search Report and Written Opinion of PCT/US2011/054635, mailed Jul. 9, 2012, 11 pages.
International Search Report and Written Opinion of PCT/US2011/054981, mailed May 9, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2011/054984, mailed May 11, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2011/066601, mailed Jul. 20, 2012, 10 pages.
Kang, H., “A Study of the Nucleation and Formation of Multi-functional Nanostructures using GaN-Based Materials for Device Applications,” Georgia Institute of Technology, Doctor of Philosophy in the School of Electrical & Computer Engineering Dissertation, Dec. 2006, 187 pages.
Lee, E. G., et al., “Effects of Wet Oxidation on the Electrical Properties of sub-10 nm thick silicon nitride films”, Thin Solid Films, Elsevier-Sequoia S.A. Lausanne, CH. vol. 205, No. 2, Dec. 1, 1991, pp. 246-251.
Loboda, M.J., et al., “Chemical influence of inert gas on the thin film stress in plasma-enhanced chemical vapor deposited a-SiN:H films”. Journal of Materials Research, vol. 11, No. 2, Feb. 1996, pp. 391-398.
Lucovsky, G. et al., “Deposition of silicon dioxide and silicon nitride by remote plasma enhanced chemical vapor deposition,” Journal of Vacuum Science & Technology, vol. 4, No. 3, May-Jun. 1986, pp. 681-688.
Norman, A. D. et al., “Reaction of Silylphosphine with Ammonia,” Inorganic Chemistry, Jun. 1979, vol. 18 No. 6, pp. 1594-1597.
Sujishi, S. et al., “Effect of Replacement of Carbon by Silicon in Trimethylamine on the Stabilities of the Trimethylboron Addition Compounds. Estimation of the Resonance Energy for Silicon-Nitrogen Partial Double Bond,” Amer. Chem. Soc., Sep. 20, 1954, vol. 76, pp. 4631-4636.
Tsu, D. V. et al., “Silicon Nitride and Silicon Diimide Grown by Remote Plasma Enhanced Chemical Vapor Deposition”, Journal of Vacuum Science and Technology: Part A, AVS/AIP, Melville, NY, US, vol. 4, No. 3, Part 01, May 1, 1986,pp. 480-485.
Ward, L. G. L. et al., “The Preparation and Properties of Bis-Disilanyl Sulphide and Tris-Disilanylamine,” J. Inorg. Nucl. Chem., Dec. 1961, vol. 21, Pergamon Press Ltd., Northern Ireland pp. 287-293.
Ward, L. G. L., “Bromosilane, Iodosilane, and Trisilylamine,” Inorganic Syntheses, 1968, vol. 11, pp. 159-170.
Zuckerman, J.J., “Inorganic Reactions and Methods,” Formation of Bonds to N, P, As, Sb, Bi (Part 1), ISBN-0-89573-250-5, Jan. 1998, vol. 7, VCH Publishers, Inc., New York, 5 pages.
Related Publications (1)
Number Date Country
20130084711 A1 Apr 2013 US
Provisional Applications (1)
Number Date Country
61543159 Oct 2011 US