Multigate devices have been introduced to improve gate control and can increase gate-channel coupling, reduce off-state current, reduce short-channel effects (SCEs), or a combination thereof. One such device is a fin-type field effect transistor (FinFET), having a semiconductor fin extending from a substrate, with a gate interfacing one or more surfaces of the fin. Another such multigate device is a gate-all around (GAA) device, which includes a gate structure that extends, partially or fully, around a channel region to provide access to the channel region on at least two sides. As multi-gate devices enable aggressive scaling down of integrated circuit (IC) technologies, maintaining gate control and mitigating short channel effects, while seamlessly integrating with conventional IC manufacturing processes raise challenges. Accordingly, although existing multi-gate devices and methods for fabricating such have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, spatially relative terms, for example, “lower,” “upper.” “horizontal,” “vertical,” “above,” “over,” “below,” “beneath,” “up,” “down,” “top.” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly.” “upwardly.” etc.) are used for ease of the present disclosure of one features relationship to another feature. The spatially relative terms are intended to cover different orientations of the device including the features. Furthermore, when a number or a range of numbers is described with “about,” “approximate.” and the like, the term is intended to encompass numbers that are within a reasonable range considering variations that inherently arise during manufacturing as understood by one of ordinary skill in the art. For example, the number or range of numbers encompasses a reasonable range including the number described, such as within +/−10% of the number described, based on known manufacturing tolerances associated with manufacturing a feature having a characteristic associated with the number. For example, a material layer having a thickness of “about 5 nm” can encompass a dimension range from 4.5 nm to 5.5 nm where manufacturing tolerances associated with depositing the material layer are known to be +/−10% by one of ordinary skill in the art. Still further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
In some implementations at block 103, in some embodiments, a cleaning process may be performed on the semiconductor layer. In some embodiments of the method 100, block 103 is omitted. The cleaning process may provide an oxidate removal on the semiconductor layer. The cleaning process may include a dry etching process, a wet etching process, a plasma based process and/or other suitable processes. In some implementations, the process temperature is between approximately 0° C. and approximately 160° C. In an embodiment, the cleaning process is performed using a precursor (or etchant) of fluorine (F2), hydrogen fluoride (HF), chlorine (Cl2), hydrogen chloride (HCl), ammonia (NH3), nitrogen trifluoride (NF3), ammonium fluoride (NH4F) and/or other precursors. In some implementations, the process (and precursors) are provided with a plasma activation. In some implementations, the process (and precursors) are provided without plasma activation. In an embodiment, the precursor is NH3 without plasma. In an embodiment, the precursor is HF without plasma. The cleaning process may be performed at a pressure of between approximately 0.1 Torr and approximately 5.0 Torr.
At block 104, a germanium-comprising composition is formed on the semiconductor layer of block 102. In an embodiment, the germanium-comprising composition is formed directly on the first semiconductor material of the semiconductor layer. In an embodiment, the germanium-comprising layer is deposited on the first semiconductor material of silicon or including silicon. In an embodiment, germanium is deposited by atomic layer deposition (ALD), CVD, physical vapor deposition (PVD), high density plasma CVD (HDPCVD), MOCVD, RPCVD, PECVD, LPCVD, atomic layer CVD (ALCVD), atmospheric pressure CVD (APCVD), sub-atmospheric CVD (SACVD), other suitable methods, or a combination thereof. In an embodiment, the germanium-comprising layer is formed by diffusion. For example, when performing the deposition of block 106, germanium from a surrounding layer may diffuse towards the crystalline silicon of block 106. Thus, a germanium-comprising layer results. In some implementations, a thickness of the germanium comprising layer is between approximately 0.1 and approximately 20 nm. In an embodiment, the germanium-comprising composition consists of depositing germanium (e.g., only germanium). In an embodiment, the germanium-comprising composition includes depositing silicon germanium layer. In some implementations, the semiconductor layer of block 102 includes germanium and as such, block 104 is omitted.
The method 100 continues to block 106 where a crystalline silicon layer (c-Si) is provided on the germanium comprising layer. In an embodiment, the crystalline silicon layer is a monocrystalline silicon layer. In the crystalline silicon layer, a tetrahedral structure of silicon atoms continues over a large range, forming a well-ordered crystal lattice. In an embodiment crystalline silicon is homogeneous throughout the layer; the orientation, lattice parameter, and electronic properties are constant throughout the material. In an embodiment, the crystalline silicon layer may be formed by any suitable deposition process such as chemical vapor deposition (CVD), atomic layer deposition (ALD), epitaxial process, and/or other suitable deposition processes. In an embodiment, a deposition process may be performed at a temperature of between approximately 200° C. to approximately 1000° C. In an embodiment, a deposition process may be performed at a pressure between approximately 0.1 Torr to approximately 5 Torr. In an embodiment, a precursor is provided in the deposition process. The precursors may be selected from silane (SiH4), disilane (Si2H6), trisilane (Si3H8), high-order Silane (SinH2n+2, n>3), and/or other suitable precursor. In an embodiment, the precursor is SiH4. In an embodiment, the precursor is Si2H6. The precursor, which provides a silicon source, may be provided with an inert carrier gas.
Additional processing of the method 100 is contemplated by the present disclosure. Additional steps can be provided before, during, and after method 100, and some of the steps described can be moved, replaced, or eliminated for additional embodiments of method 100. The discussion that follows illustrates various embodiments of multigate-based integrated circuit devices that can be fabricated according to method 100. The following figures each illustrate implementations of the method 100, or portions thereof. Including as illustrated below, in some implementations of the method 100, the structure formed is used to form a channel region of a semiconductor device. The semiconductor device may be a multigate device such as a fin-type field effect transistor, a gate all around device including a complementary field effect transistor.
Referring to the example of
In an embodiment, substrate 202 includes silicon. Substrate 202 may alternatively or additionally include another elementary semiconductor, such as germanium; a compound semiconductor, such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, indium antimonide, or a combination thereof; an alloy semiconductor, such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, or a combination thereof; or a combination thereof. In some embodiments, substrate 202 is a semiconductor-on-insulator substrate, such as a silicon-on-insulator substrate, a silicon germanium-on-insulator substrate, or a germanium-on-insulator substrate. In some implementations, fabrication of fins 204 may include performing a lithography and/or etching process to pattern the substrate 202. In some implementations, the fabrication of fins 204 may include growing an epitaxial semiconductor layer on the substrate 202 and etching the grown layer (alone or in combination with the substrate 202) to form the fins 204.
A layer 206 is disposed on the fin 204. In an embodiment, the layer 206 is a second semiconductor composition. In some implementations, the layer 206 is a different composition than the fin 204. In an embodiment, the layer 206 comprises germanium. In an embodiment, the layer 206 is germanium (e.g., substantially pure germanium). In an embodiment, the layer 206 is silicon germanium (SiGe). The layer 206 may include a substantially uniform thickness. The layer 206 may be formed according to aspects of block 104 of the method 100 of
An upper portion 208 is disposed over the layer 206. In an embodiment, the upper portion 208 comprises a crystalline silicon (c-Si) material. The upper portion 208 may be formed according to aspects of block 106 of the method 100 of
In an embodiment, the structure 200 provides for an active region of a transistor device such as a fin-type field effect transistor (FinFET). In an embodiment, the structure 200 provides a channel region of FinFET. In particular, the upper portion 208 (e.g., c-Si) may include at least a portion of a channel region. And in an embodiment, the fin 204 (e.g., Si) includes at least a portion of a channel region.
The isolation regions 216 may be shallow trench isolation (STI) features. Alternatively, a field oxide, a LOCOS feature, and/or other suitable isolation features may be implemented on and/or within the substrate 202. The isolation regions 216 may be composed of silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), a low-k dielectric, combinations thereof, and/or other suitable material known in the art. In an embodiment, the isolation regions 216 are STI features and are formed by etching trenches in the substrate 202. The trenches may then be filled with isolating material, followed by a chemical mechanical polishing (CMP) process. However, other embodiments are possible. In some embodiments, the isolation regions 216 may include a multi-layer structure, for example, having one or more liner layers.
The device 220 also include a source region 212 and a drain region 212 where the source/drain regions 212 are formed in, on, and/or surrounding the semiconductor structure 200. The source/drain regions 212 may be formed by recessing the structures 200, including etching the top portion 208, the germanium-comprising layer 206 and portions of the fin 204, to form a source/drain recess. An epitaxial material is then grown within the recess to form the source/drain regions 212. Epitaxial growth is achieved by a molecular beam epitaxy (MBE) process, a chemical vapor deposition (CVD) process, a metalorganic chemical vapor deposition (MOCVD) process, other suitable epitaxial growth process, or a combination thereof. Epitaxial source/drains 212 may be doped with n-type dopants and/or p-type dopants. In some embodiments (e.g., when forming portions of n-type transistors), epitaxial source/drains 212 include silicon that may be doped with carbon, phosphorous, arsenic, other n-type dopant, or a combination thereof (e.g., Si: C epitaxial source/drains, Si: P epitaxial source/drains, or Si: C: P epitaxial source/drains). In some embodiments (e.g., when forming portions of p-type transistors), epitaxial source/drains 212 include silicon germanium or germanium, which may be doped with boron, other p-type dopant, or a combination thereof (e.g., Si: Ge: B epitaxial source/drains). In some embodiments, epitaxial source/drains 212 include more than one epitaxial semiconductor layer, where the epitaxial semiconductor layers may include the same or different materials and/or the same or different dopant concentrations. In some embodiments, epitaxial source/drains 212 include materials and/or dopants that achieve desired tensile stress and/or compressive stress in channel regions of the semiconductor structure 200. In some embodiments, epitaxial source/drains 212 are doped during deposition by adding impurities to a source material of the epitaxy process (i.e., in-situ). In some embodiments, epitaxial source/drains 212 are doped by an ion implantation process after a deposition process.
The gate structure 210 includes a gate dielectric 210A and a gate electrode 210B disposed on the gate dielectric 210A. In some embodiments, the gate dielectric 210A may include an interfacial layer such as silicon oxide layer (SiO2) or silicon oxynitride (SiON), where such interfacial layer may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable method. In some examples, the gate dielectric 210A includes a high-k dielectric layer such as hafnium oxide (HfO2). Alternatively, the high-k dielectric layer may include other high-k dielectrics, such as TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, oxynitrides (SiON), combinations thereof, or other suitable material. High-K gate dielectrics, as used and described herein, include dielectric materials having a high dielectric constant, for example, greater than that of thermal silicon oxide (˜3.9). In still other embodiments, the gate dielectric 210A may include silicon dioxide or other suitable dielectric. The gate dielectric 210A may be formed by ALD, physical vapor deposition (PVD), CVD, oxidation, and/or other suitable methods. In some embodiments, the gate electrode 210B may be deposited as part of a gate first or gate last (e.g., replacement gate) process. In various embodiments, the gate electrode 210B includes a conductive layer such as W, Ti, TIN, TiAl, TiAlN, Ta, TaN, WN, Re, Ir, Ru, Mo, Al, Cu, Co, CoSi, Ni, NiSi, combinations thereof, and/or other suitable compositions. The gate electrode 210B may include work function metals tuned for the device performance. In some embodiments, the gate electrode 210B may alternately or additionally include a polysilicon layer. In various examples, the gate electrode 210B may be formed using PVD. CVD, electron beam (e-beam) evaporation, and/or other suitable process. The sidewall spacers 214 may include a dielectric material such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, or combinations thereof.
It is noted that the structure 200 in the channel region, under the gate 210, may be configured substantially similar to as illustrated in
In some implementations, during the fabrication of the FinFET 220 including forming the gate structure (replacement or metal gate) over the structure 200 may include introducing an oxygen source around the structure 200. An advantage of the structure 200 may be mitigation of oxidizing of the fin structure due to the crystalline silicon layer 208. Another advantage of the structure 200 may be mitigation of damage such as pitting to the fin structure 200 due to presence of the crystalline silicon layer 208. The crystalline silicon layer 208 may serve to protect the fin 204. For example, in some implementations, the fin structure 200 is annealed. The crystalline silicon layer 208 avoids being consumed during subsequent oxidation due to the oxidation rate of silicon in crystalline form compared to amorphous silicon
Referring now to
A recess 302 is etched in the semiconductor substrate 202. The recess 302 may be formed by using a lithography process to pattern an opening in a masking layer (not shown) and performing an etching process on areas exposed by the openings in the masking element to remove portions of the substrate 202. The etching may be performed by wet and/or dry etching processes. The recess 302 may include areas of the substrate 202 within which active regions are to be formed. In an implementation, the recess 302 is formed to include areas of the substrate 202 within which active regions of a second semiconductor material (e.g., SiGe), different than that of the substrate 202 (e.g., Si), are to be formed. In an embodiment, providing the substrate 202 including the recess 302 may be performed such as discussed above with reference to the method 100 and block 102 discussed above with reference to
A second semiconductor material 304 is grown in the recess 302, as illustrated in
As illustrated in
In an embodiment, as illustrated in
In an embodiment, as illustrated for example in
In some implementations, the germanium-comprising layer 306 adjacent the filled recess 302 of semiconductor material 304 is formed by separate deposition, patterning and/or etch processes.
In some implementations, the germanium-comprising layer 306 is silicon germanium. In some implementations, the germanium-comprising layer 306 is germanium. In an implementation, the germanium-comprising layer 306 of
As illustrated in the method 100, a crystalline silicon (c-Si) portion is formed. Referring to the example of
In addition to the embodiment of
The fabrication may continue to process the structure to form active regions of a semiconductor device including transistor channels. Referring to the examples of
Referring to the example of
After etching, the first fin structure 312A includes an etched portion of the substrate 202, illustrated as mesa 202′, the germanium-comprising layer 306, and the crystalline silicon layer 308. The second fin structure 312B includes an etched portion of the substrate 202, illustrated as mesa 202′, a fin portion of germanium comprising layer 304, illustrated as fin portion 304′, and the crystalline silicon layer 308. Thus, in an embodiment, the fin structure 312B includes a silicon portion (202′), a silicon germanium portion (304′), and a crystalline silicon portion (308). And in an embodiment, the fin structure 312A includes a silicon portion (202′), a germanium comprising portion (306, which can be Ge or SiGe), and a crystalline silicon portion (308).
Referring to the example of
The fin structures of
A dummy gate structure or stack is formed over the fin structures 312 and the isolation features 314. The dummy gate stack includes a dummy gate dielectric 316 and a dummy gate electrode 318. Dummy gate stack 316/318 extends lengthwise in a direction that is different than (e.g., orthogonal to) the lengthwise direction of fins 312. For example, dummy gate stack 316/318 extends along the y-direction, having a length in the y-direction, a width in the x-direction, and a height in the z-direction. The dummy gate stack 316/318 is disposed on tops and sidewalls of fin structures 312, such that the dummy gate stack 316/318 interfaces each of the fin portions 202′. 306, 304′ and/or 308 according to their presence in the fin structure 312.
Dummy gate dielectric 316 includes a dielectric material, such as silicon oxide, a high-k dielectric material, other suitable dielectric material, or a combination thereof. For example, dummy gate dielectric 316 is an oxide layer. Dummy gate electrode 318 includes a suitable dummy gate material, such as polysilicon. In some embodiments, dummy gate stack includes other layers, such as a capping layer, an interface layer, a diffusion layer, a barrier layer, or a combination thereof. Dummy gate stack is formed by deposition processes, lithography processes, etching processes, other suitable processes, or a combination thereof. In some implementations, the fin portion 308 comprising a crystalline silicon layer benefits the fin 312 in mitigating its damage to thermal and/or oxidation processes due to its composition and crystalline orientation.
After forming the dummy gate stack 316/318, source/drain features 212 (
The processing may continue to form a replacement gate in the position of the dummy gate stack after the source/drain regions have been formed. The replacement gate may include a gate dielectric 322 and a metal gate electrode 324 as illustrated in
For ease of understanding, a perspective view of the device is illustrated in
In some embodiments, a gate cut process is performed to separate a gate structure 322/324 isolating resulting isolated portions of the gate structure 322/324 over a first fin 312A and a second fin 312b respectively. A dielectric feature 328 as illustrated in
Thus, the series of
Referring to the example of
The semiconductor structure 400 extends in a vertical direction (e.g., above a substrate) and has a length extending in the y-direction (e.g., into the page of
The semiconductor structure 400 includes a first portion of germanium comprising material 402, and an upper portion overlying the germanium comprising material 402. In an embodiment, the germanium comprising portion 402 is silicon germanium. The portion 402 may be formed using the blocks 102 and/or 104 of the method 100 of
In an embodiment, the upper portion 404 of the semiconductor structure 400 is a first semiconductor composition, such as silicon, while the fin structure 402 is another semiconductor composition such as SiGe. In an embodiment, the upper portion 404 comprises a crystalline silicon (c-Si). As illustrated in
In an embodiment, the upper portion 404 has a width W3 and a height H3. In an embodiment, width W3 is between approximately 0.1 nanometers (nm) and approximately 20 nm. In an embodiment, height H3 is between approximately 0.1 and approximately 10.0 nm. In an embodiment, the upper portion 404 has a curved or curvilinear upper surface. An angle θ2 is an angle of material of the upper portion 404 (e.g., c-Si) extending above the fin structure 404 (e.g., SiGe). In some implementations, the angle θ2 is between approximately 0<θ≤120º.
In an embodiment, the semiconductor structure 400 provides for an active region of a transistor device such as a fin-type field effect transistor (FinFET). In an embodiment, the upper portion 404 (e.g., c-Si) and the fin portion 402 (e.g., SiGe) include portions of a channel region of a FinFET device.
It is noted that the semiconductor structure 400 in its channel region, under the gate 210, may be configured substantially similar to as illustrated in
In an embodiment, the germanium comprising layer 504 is germanium. In some implementations, the germanium comprising layer 504 is silicon germanium. In some implementations, the germanium comprising layer 504 is substantially similar to the germanium comprising layer 206 discussed above with reference to
In an embodiment, the upper portion 506 is crystalline silicon (c-Si). In some implementations, the upper portion 506 is substantially similar to the portion 208 discussed above with reference to
The structure 500 is illustrative of an implementation of a multi-fin semiconductor device with a fin number of N (e.g., quantity of fins “N”), where N is greater than 0. In an embodiment, N is a number of silicon fins disposed on the substrate. In an embodiment, N is a number of silicon fins providing a silicon channel for a transistor device. The structure 500 illustrates the number of fin structures having a germanium comprising layer 504 in said fins may be n, where n is greater than or equal to 0. In an embodiment, N≥n≥0. In other words, in some implementations, one or more fins may be silicon fins and may omit a germanium-comprising layer, while one or more fins are silicon fins and include a germanium-comprising layer.
In another embodiment of forming a transistor device using aspects of the method 100 of
Referring to example of
A stack of semiconductor layers 602 is formed over the substrate 202. The stack 602 includes semiconductor layer 606 and semiconductor layer 608. Semiconductor layers 606 and semiconductor layers 608 are stacked vertically (e.g., along the z-direction) in an interleaving or alternating configuration from a top surface of substrate 202. A composition of semiconductor layers 606 and a composition of semiconductor layers 608 are different to achieve etching selectivity and/or different oxidation rates during processing. For example, semiconductor layers 606 and semiconductor layers 608 include different materials, constituent atomic percentages, constituent weight percentages, thicknesses, other characteristics, or a combination thereof to achieve desired etching selectivity. The semiconductor layers 608 may be referred to as channel regions, as they are processed to form the channel region of the device 600. The semiconductor layers 606 may be referred to as interposer, or sacrificial layers, as they are subsequently removed to provide an opening within which a gate is formed. In an embodiment, semiconductor layers 608 include silicon and semiconductor layers 606 include silicon germanium having a germanium atomic percent greater than zero. In an embodiment, semiconductor layers 608 include silicon germanium with a first germanium atomic percent, semiconductor layers 606 include silicon germanium having a second germanium atomic percent. With such compositions, semiconductor layers 606 may have a first etch rate to an etchant and semiconductor layers 608 may have a second etch rate to the etchant, where the first etch rate and the second etch rate are different. In some embodiments, forming the semiconductor layer stack 602 includes epitaxially growing semiconductor layers 606 and semiconductor layers 608 in the depicted interleaving and alternating configuration over substrate 202. Epitaxial growth is achieved by a molecular beam epitaxy (MBE) process, a chemical vapor deposition (CVD) process, a metalorganic chemical vapor deposition (MOCVD) process, other suitable epitaxial growth process, or a combination thereof.
As illustrated in
Referring to
The semiconductor layers 608 or portions thereof form channel regions of transistors in multigate device. In the depicted embodiment, each semiconductor layer stack 602 includes seven semiconductor layers 608. However, the present disclosure contemplates embodiments where semiconductor layer stack 602 includes more or less semiconductor layers, for example, depending on a number of channels desired for multigate device and/or design requirements of multigate device.
Formation of fins 902 may include performing a lithography and/or etching process to pattern the semiconductor layer stack 602 and/or substrate 202. The lithography process may include forming a resist layer over the semiconductor layer stack 602 and hard mask 700 (for example, by spin coating), performing a pre-exposure baking process, performing an exposure process using a mask, performing a post-exposure baking process, and performing a developing process. After development, the patterned resist layer includes a resist pattern that corresponds with the mask. The etching process removes portions of the semiconductor layer stack precursor and/or substrate 202 using the patterned resist layer and the patterned hard mask layer 700′ (
In some embodiments, fins 902 are formed by a multiple patterning process, such as a double patterning lithography (DPL) process (for example, a lithography-etch-lithography-etch (LELE) process, a self-aligned double patterning (SADP) process, a spacer-is-dielectric (SID) SADP process, other double patterning process, or a combination thereof), a triple patterning process (for example, a lithography-etch-lithography-etch-lithography-etch (LELELE) process, a self-aligned triple patterning (SATP) process, other triple patterning process, or a combination thereof), other multiple patterning process (for example, a self-aligned quadruple patterning (SAQP) process), or a combination thereof.
In etching the fins 902, trenches are between fins 902 as illustrated in
After etching back the isolation features 314, the fin 902 is provided with exposed sidewalls and top surfaces. In some implementations, fabrication of the device 600 implements features of the method 100 of
A germanium-comprising layer is formed on the exposed sidewalls and top surfaces of the fin 902. Providing a germanium-comprising layer on the semiconductor structure may be substantially similar to block 104 of the method 100 of
A crystalline silicon (c-Si) is then formed on the sidewalls and top surfaces of the fin 902 and over the germanium-comprising layer 1102. Providing a crystalline silicon layer may be performed substantially similar to block 106 of the method of
In an embodiment, the germanium-comprising layer 1102 and the crystalline silicon layer 1104 are formed on an uppermost layer of the stack 602 being a semiconductor layer 608, where the semiconductor layer 608 is silicon. In an embodiment, the germanium-comprising layer 1102 and the crystalline silicon layer 1104 is formed on a semiconductor layer 608, where the semiconductor layer 608 is silicon germanium. In an embodiment, the semiconductor layer 608 is a Si1−xGe composition where x is between 0%≤X<30%.
The crystalline silicon layer 1104 has a width W5. The crystalline silicon layer 1104 has a thickness H5. In an embodiment, W5 is between approximately 0.1 nm and approximately 20.0 nm. In an embodiment, H5 is between approximately 0.1 nm and approximately 20.0 nm. In an embodiment, a contact angle θ3 of the crystalline silicon layer 1104 and the germanium-comprising layer 1102 is between approximately 0<0<1200. In an embodiment, the nanostructure layer 608 is silicon germanium. In a further embodiment, the nanosheet 608 is a Si1−xGex composition, where 0%≤x<30%. In some implementations, germanium from this layer 608 diffuses to form the germanium-comprising layer 1102 having a greater germanium concentration than the layer 608.
Turning to
After forming the dummy gate stack 316/318 over a channel region of the fin 902, source/drain features may be formed adjacent the dummy gate stack 316/318. In some implementations, at least one gate spacer layer 214 is over the sidewalls of the dummy gate stack 316/318 before the source/drain regions are recessed. The etch process may be a dry etch process or a suitable etch process. An example dry etch process may implement an oxygen-containing gas, hydrogen, a fluorine-containing gas (e.g., CF4, SF6, NF3, CH2F2, CHF3, and/or C2F6), a chlorine-containing gas (e.g., Cl2, CHCl3, CCl4, and/or BCl3), a bromine-containing gas (e.g., HBr and/or CHBr3), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. After the recess, inner spacers 1106 may be formed on sidewalls of sacrificial semiconductor layers 206. In an example processes to form the gate stack spacers 214 and/or inner spacers 1106, the one or more dielectric layers are conformally deposited using CVD, SACVD, or ALD. The one or more dielectric layers may include silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, silicon carbonitride, silicon oxycarbide, silicon oxycarbonitride, and/or combinations thereof. After deposition, the spacer material is etched back. In other implementations, inner spacer features 1106 are formed by oxidizing portions of the sacrificial semiconductor layer 206 (e.g., forming SiGeOx).
Source/drain features 212 may be formed using an epitaxial process, such as VPE, UHV-CVD, MBE, and/or other suitable processes to fill the recesses in the fin 902. The epitaxial growth process may use gaseous and/or liquid precursors, which interact with the composition of the semiconductor layers 608 and/or substrate 202. In some implementations, the source/drain feature is a p-type source/drain feature and may include silicon germanium (SiGe) doped with a p-type dopant, such as boron (B) and some implementations the source/drain feature is an n-type source/drain feature and may include silicon (Si) doped with phosphorus (P). The source/drain features may be substantially similar to the source/drain features 212, discussed above with respect to
After formation of the source/drain features, a dielectric layer comprising a contact etch stop layer (CESL) and/or an interlayer dielectric (ILD) layer are deposited. The CESL may include silicon nitride, silicon oxynitride, and/or other materials known in the art and may be formed by CVD, ALD, plasma-enhanced chemical vapor deposition (PECVD) process and/or other suitable deposition or oxidation processes. The ILD layer is deposited over the CESL by spin-on coating. FCVD. CVD, or other suitable deposition technique. The ILD layer may include materials such as tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials. The dielectric layer is illustrated as dielectric layer 1400 in the perspective view of the device 600 in
The dummy gate stack 316/318 is then removed from the substrate 202 and the channel layers, semiconductor layers 608, are released by removing the sacrificial semiconductor layers 606. In some implementations, the germanium-comprising layer 1102 and/or the c-Si layer 1104 may be removed during the removal of the dummy gate and/or the channel release process. In some implementations, the removal of the dummy gate and/or the channel release may be selective to the c-Si 1104 and/or germanium comprising layer 1102 such that the layer(s) are maintained in the device 600.
A metal gate structure may then be formed on and surrounding the semiconductor layers 608, the gate structure including a gate dielectric 322 and a metal gate 324 formed over the gate dielectric 322 as illustrated in
The illustrative devices including the device 220 (e.g., FinFET device), the device of
From the foregoing description, it can be seen that multigate devices described in the present disclosure offer advantages over conventional multigate devices. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments. One advantage of the fabrication processes described herein in some implementations is the crystalline silicon layer provides for protection of a fin structure during fabrication of a device including protection from or mitigation of undesired oxidation or damage to the fin structure in the channel region.
The present disclosure provides for many different embodiments. An exemplary method of fabricating a semiconductor device includes forming a channel region of the semiconductor device. Forming the channel region includes providing a germanium-comprising layer; and depositing a crystalline silicon layer on the germanium-comprising layer. The method includes forming a gate structure over a first surface and a second surface, the second surface opposing the first surface.
In a further embodiment, providing the germanium-comprising layer includes forming a germanium layer over a silicon fin including at least one of deposition of the germanium layer or diffusion of germanium to form the germanium layer. In an embodiment, providing the germanium-comprising layer includes depositing a germanium layer over a silicon germanium nanostructure having diffusion of germanium to form the germanium layer. In an embodiment, providing the germanium-comprising layer includes forming a fin structure having a first portion comprising silicon and the germanium-comprising layer over the first portion. The germanium-comprising layer may include forming silicon germanium on the first portion comprising silicon. In some implementations, providing the germanium-comprising layer includes: providing a silicon substrate; etching a recess in the silicon substrate; growing the germanium-comprising layer in the recess; and etching a fin structure including a portion of the silicon substrate and the germanium-comprising layer. And in an embodiment, at least one of the first surface and the second surface is the crystalline silicon layer.
In another of the broader embodiments, a method is provided that includes forming a trench in a silicon substrate and forming a germanium comprising layer on the silicon substrate including in the trench. A crystalline silicon material is deposited over the germanium layer. The crystalline silicon material, silicon germanium comprising layer, and the silicon substrate are patterned to form a fin structure. The fin structure includes a lower portion comprising the silicon substrate, a middle portion comprising the germanium comprising layer, and an upper portion comprising the crystalline silicon material. A gate structure is formed extending over the fin structure.
In an embodiment, forming the gate structure includes depositing a gate dielectric layer interfacing an upper surface of the upper portion comprising the crystalline silicon material, which may further include depositing a gate dielectric layer interfacing a sidewall of the germanium comprising layer. In an embodiment, the crystalline silicon material and a second region of the silicon substrate are patterned to form another fin structure. The crystalline silicon material may be disposed directly on the second region of the silicon substrate. In some implementations the gate structure is formed extending over the fin structure and over another fin structure. In an embodiment, the crystalline silicon material includes introducing a precursor of at least one of silane (SiH4) or disilane (Si2H6).
In an embodiment of the method, the method includes forming another recess in the fin structure adjacent the gate structure; and growing an epitaxial source/drain feature in the another recess. In some implementations of the method, patterning the crystalline silicon material, germanium comprising layer, and the silicon substrate to form the fin structure includes forming a hard mask layer directly on the crystalline silicon material; patterning the hard mask layer; and using the patterned hard mask layer as a masking element when patterning the crystalline silicon material, germanium comprising layer, and the silicon substrate.
In another of the broader embodiments discussed herein provided is a semiconductor device. The device includes a silicon substrate, a first channel region disposed over the silicon substrate, a germanium comprising layer on the first semiconductor material, a crystalline silicon layer on the germanium comprising layer; and a gate structure on at least two surfaces of the first channel region. The first channel region includes a first semiconductor material. In an embodiment, the first semiconductor material of the first channel region is a silicon nanostructure. In an embodiment, the first semiconductor material of the first channel region is a fin structure extending from the silicon substrate. In another implementation, the germanium comprising layer is a germanium layer disposed directly on a sidewall of the first semiconductor material. And in some implementations, one surface of the at least two surfaces of the first channel region is a curvilinear upper surface of the crystalline silicon layer.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application is a non-provisional application of and claims benefit of U.S. Provisional Patent Application Ser. No. 63/509,665 filed Jun. 22, 2023, the entire disclosure of which is incorporated herein by reference.
Number | Date | Country | |
---|---|---|---|
63509665 | Jun 2023 | US |