The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process can increase production efficiency and lower associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advancements to be realized, similar developments in IC processing and manufacturing are desired. As the semiconductor industry further progresses in pursuit of higher device density, challenges from both fabrication and design issues have led to stacked device structure configurations, such as complementary field effect transistors (C-FET).
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure.
These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath”, “below”, “lower”, “above”, “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
As used herein, “around”, “about”, “approximately”, or “substantially” shall generally mean within 20 percent, or within 10 percent, or within 5 percent of a given value or range. Numerical quantities given herein are approximate, meaning that the term “around”, “about”, “approximately”, or “substantially” can be inferred if not expressly stated. One of ordinary skill in the art will appreciate that the dimensions may be varied according to different technology nodes. One of ordinary skill in the art will recognize that the dimensions depend upon the specific device type, technology generation, minimum feature size, and the like. It is intended, therefore, that the term be interpreted in light of the technology being evaluated.
As used herein, the term “etch selectivity” refers to the ratio of the etch rates of two different materials under the same etching conditions. As used herein, the term “high-k” refers to a high dielectric constant. In the field of semiconductor device structures and manufacturing processes, high-k refers to a dielectric constant that is greater than the dielectric constant of SiO2 (e.g., greater than 3.9). As used herein, the term “low-k” refers to a low dielectric constant. In the field of semiconductor device structures and manufacturing processes, low-k refers to a dielectric constant that is less than the dielectric constant of SiO2 (e.g., less than 3.9). As used herein, the term “p-type” defines a structure, layer, and/or region as being doped with p-type dopants, such as boron. As used herein, the term “n-type” defines a structure, layer, and/or region as being doped with n-type dopants, such as phosphorus. As used herein, the term “conductive” refers to an electrically conductive structure, layer, and/or region. As used herein, source/drain region(s) may refer to a source or a drain, individually or collectively dependent upon the context.
The nanostructure transistor (e.g. gate all around (GAA) transistor) structures may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
The present disclosure is related to integrated circuit structures and methods of forming the same. More particularly, some embodiments of the present disclosure are related to complementary FET (CFET) devices including a plasma-formed interfacial layer under a dipole layer. The plasma-formed interfacial layer prevents atoms in the dipole layer from diffusing deep into a channel layer of the CFET.
Referring to
The epitaxial stack 120 includes epitaxial layers 122a and 122b of a first composition interposed by epitaxial layers 124a and 124b of a second composition arranged in a stacking direction (Z-axis in this case). The epitaxial stack 120 further includes an epitaxial layer 126 between the topmost epitaxial layer 124a and the bottommost epitaxial layer 124b of a third composition. The first, second, and third compositions are different. In some embodiments, the epitaxial layers 122a, 122b, and 126 are SiGe and the epitaxial layers 124a and 124b are silicon (Si). Further, the germanium concentration of the epitaxial layer 126 is higher than the germanium concentration of the epitaxial layer 122a and 122b. However, other embodiments are possible including those that provide for a first composition, a second composition, and a third composition having different etch selectivity.
The epitaxial layers 124a and 124b or portions thereof may form nanostructure channel(s) of the nanostructure transistor. The term nanostructure is used herein to designate any material portion with nanoscale, or even microscale dimensions, and having an elongate shape, regardless of the cross-sectional shape of this portion. Thus, this term designates both circular and substantially circular cross-section elongate material portions, and beam or bar-shaped material portions including for example a cylindrical in shape or substantially rectangular cross-section. For example, the nanostructures are nanosheets, nanowires, nanoslabs, or nanorings, depending on their geometry. The use of the epitaxial layers 124a and 124b to define a channel or channels of a device is further discussed below.
In
The epitaxial layers 122a are interposed by the epitaxial layers 124a, the epitaxial layers 122b are interposed by the epitaxial layers 124b, and the epitaxial layer 126 is between the epitaxial layers 124a and 124b. In some embodiments, the epitaxial layer 126 has a thickness T1 less than the thicknesses of the epitaxial layers 122a, 122b, 124a, and 124b.
As described in more detail below, the epitaxial layers 124a and 124b may serve as channel region(s) for a subsequently-formed semiconductor device and the thickness is chosen based on device performance considerations. The epitaxial layers 122a and 122b in channel regions(s) may eventually be removed and serve to define a vertical distance between adjacent channel region(s) for a subsequently-formed multi-gate device and the thickness is chosen based on device performance considerations. Accordingly, the epitaxial layers 122a and 122b may also be referred to as sacrificial layers, and epitaxial layers 124a and 124b may also be referred to as channel layers.
By way of example, epitaxial growth of the layers of the epitaxial stack 120 may be performed by a molecular beam epitaxy (MBE) process, a metalorganic chemical vapor deposition (MOCVD) process, and/or other suitable epitaxial growth processes. In some embodiments, the epitaxially grown layers such as, the epitaxial layers 124a and 124b include the same material as the substrate 110. In some embodiments, the epitaxial layers 122a, 122b, 124a, 124b, and 126 include a different material than the substrate 110. As stated above, in at least some examples, the epitaxial layers 122a, 122b, and 126 include an epitaxially grown silicon germanium (SiGe) layer and the epitaxial layers 124a and 124b include an epitaxially grown silicon (Si) layer. Alternatively, in some embodiments, either of the epitaxial layers 122a, 122b, 124a, 124b, and 126 may include other materials such as germanium, tin, a compound semiconductor such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide, an alloy semiconductor such as SiGe, GeSn, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, III-V, or combinations thereof. As discussed, the materials of the epitaxial layers 122a, 122b, 124a, 124b, and 126 may be chosen based on providing differing oxidation and/or etching selectivity properties.
Reference is made to
For example, a hard mask (HM) layer is formed over the epitaxial stack 120 prior to forming the fin structure 125. The fin structure 125 may subsequently be fabricated using suitable processes including photolithography and etch processes. The photolithography process may include forming a photoresist layer (not shown) over the HM layer, exposing the photoresist to a pattern, performing post-exposure bake processes, and developing the resist to form a patterned mask including the resist. In some embodiments, patterning the resist to form the patterned mask element may be performed using an electron beam (e-beam) lithography process or an extreme ultraviolet (EUV) lithography process using light in EUV region, having a wavelength of, for example, about 1-200 nm. The patterned mask may then be used to protect regions of the substrate 110, and layers formed thereupon, while an etch process forms trenches in unprotected regions through the HM layer, through the epitaxial stack 120, and into the substrate 110, thereby leaving the fin structure 125. The trenches may be etched using a dry etch (e.g., reactive ion etching), a wet etch, and/or combination thereof. Numerous other embodiments of methods to form the fins on the substrate may also be used including, for example, defining the fin region (e.g., by mask or isolation regions) and epitaxially growing the epitaxial stack 120 in the form of the fin structure 125.
Next, isolation structures 130 are formed to surround the fin structure 125. The isolation structures 130 may include a liner oxide (not shown). The liner oxide may be formed of a thermal oxide formed through a thermal oxidation of a surface layer of the substrate 110. The liner oxide may also be a deposited silicon oxide layer formed using, for example, Atomic Layer Deposition (ALD), High-Density Plasma Chemical Vapor Deposition (HDPCVD), or Chemical Vapor Deposition (CVD). The isolation structures 130 may also include a dielectric material over the liner oxide, and the dielectric material may be formed using flowable chemical vapor deposition (FCVD), spin-on coating, or the like.
The isolation structures 130 are then planarized, such that the HM layer is removed, and the top surface of the fin structure 125 is exposed. Subsequently, the isolation structures 130 are recessed, so that the top portion of the fin structure 125 protrudes higher than the top surfaces of the neighboring isolation structures 130. The etching may be performed using a dry etching process, wherein NH3 and NF3 are used as the etching gases. During the etching process, plasma may be generated. Argon may also be included. In accordance with alternative embodiments of the present disclosure, the recessing of the isolation structures 130 is performed using a wet etch process. The etching chemical may include diluted HF, for example.
At least one dummy gate structure 140 is formed over the substrate 110 and across the fin structure 125. The portion of the fin structure 125 underlying the dummy gate structure 140 may be referred to as the channel region CH. The dummy gate structure 140 may also define source/drain regions S/D of the fin structure 125, for example, the regions of the fin structure 125 adjacent and on opposite sides of the channel region CH.
Dummy gate formation operation forms a dummy gate dielectric layer, a dummy gate electrode layer and a hard mask which may include multiple layers (e.g., a nitride layer and an oxide layer) over the dummy gate electrode layer. The hard mask is then patterned, followed by patterning the dummy gate electrode layer by using the patterned hard mask as an etch mask. The etch process may include a wet etch, a dry etch, and/or combinations thereof. As such, a dummy gate structure 140 including a dummy gate dielectric layer 142, a dummy gate electrode layer 144 and a hard mask (e.g., a nitride layer 146 and an oxide layer 148) is formed.
After formation of the dummy gate structure 140 is completed, gate spacers 150 are formed on opposite sidewalls of the dummy gate structure 140. For example, a spacer material layer is deposited on the substrate 110. The spacer material layer may be a conformal layer that is subsequently etched back to form gate sidewall spacers. In the illustrated embodiment, a spacer material layer is disposed conformally on top and sidewalls of the dummy gate structure 140. The spacer material layer may include a dielectric material such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, SiCN films, silicon oxycarbide, SiOCN films, and/or combinations thereof. In some embodiments, the spacer material layer includes multiple layers, such as a first spacer layer and a second spacer layer formed over the first spacer layer. By way of example, the spacer material layer may be formed by depositing a dielectric material over the dummy gate structure 140 using suitable deposition processes. An anisotropic etching process is then performed on the deposited spacer material layer to expose portions of the fin structure 125 not covered by the dummy gate structure 140 (e.g., over the source/drain regions S/D of the fin structure 125). Portions of the spacer material layer directly above the dummy gate structure 140 may be completely removed by this anisotropic etching process. Portions of the spacer material layer on sidewalls of the dummy gate structure 140 may remain, forming gate sidewall spacers, which are denoted as the gate spacers 150, for the sake of simplicity.
Next, as illustrated in
Reference is made to
After the deposition of the dielectric material layer, an anisotropic etching process may be performed to remove the dielectric material layer outside the openings O1, such that portions of the deposited dielectric material layer that fill the openings O1 are left. After the etching process, the remaining portions of the deposited spacer material in the openings O1 are denoted as the middle dielectric isolators 160, for the sake of simplicity. The middle dielectric isolator 160 serves to isolate the epitaxial layers 124a from the epitaxial layers 124b.
Reference is made to
Subsequently, inner dielectric spacers 165 are filled in the recesses, respectively. For example, spacer material layers are formed and then trimmed to fill the recesses. The spacer material layer may be a low-k dielectric material, such as SiO2, SiN, SiC, SiON, SiCN, or SiOCN, and may be formed by a suitable deposition method, such as ALD. In some embodiments, the spacer material layer is intrinsic or un-doped with impurities. The spacer material layer can be formed using CVD, including LPCVD and PECVD, PVD, ALD, or other suitable processes.
Subsequently, first source/drain epitaxial structures 170, a first contact etch stop layer (CESL) 180, a first interlayer dielectric (ILD) layer 185, second source/drain epitaxial structures 175, a second CESL 190, and a second ILD layer 195 are sequentially formed over the source/drain regions S/D of the fin structure 125. The first source/drain epitaxial structures 170 are on opposite sides and connected to the epitaxial layers 124a and spaced apart from the epitaxial layers 124b. The second source/drain epitaxial structures 175 are on opposite sides and connected to the epitaxial layers 124b and spaced apart from the epitaxial layers 124a. The first source/drain epitaxial structures 170 and second source/drain epitaxial structures 175 may be formed by performing an epitaxial growth process that provides an epitaxial material on the fin structure 125. In some embodiments, the lattice constants of the first source/drain epitaxial structures 170 are different from the lattice constant of the epitaxial layers 124a, so that the epitaxial layers 124a can be strained or stressed by the first source/drain epitaxial structures 170 to improve carrier mobility of the semiconductor device and enhance the device performance. Similarly, the lattice constants of the second source/drain epitaxial structures 175 are different from the lattice constant of the epitaxial layers 124b. The epitaxy processes include CVD deposition techniques (e.g., PECVD, vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or other suitable processes. The epitaxy process may use gaseous and/or liquid precursors, which interact with the composition of the epitaxial layers 124a or 124b.
In some embodiments, the first source/drain epitaxial structures 170 and the second source/drain epitaxial structures 175 may include Ge, Si, GaAs, AlGaAs, SiGe, GaAsP, SiP, or other suitable material. The first source/drain epitaxial structures 170 and the second source/drain epitaxial structures 175 may be in-situ doped during the epitaxial process by introducing doping species including: p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or other suitable dopants including combinations thereof. If the first source/drain epitaxial structures 170 and/or the second source/drain epitaxial structures 175 are not in-situ doped, an implantation process (i.e., a junction implant process) is performed to dope the first source/drain epitaxial structures 170 and/or second source/drain epitaxial structures 175. In some exemplary embodiments, the first source/drain epitaxial structures 170 are in an n-type include SiP and/or SiC. In some exemplary embodiments, the second source/drain epitaxial structures 175 are in a p-type include SiGeB and/or GeSnB.
The first CESL 180 is formed on the substrate 110 and covers the first source/drain epitaxial structures 170. The second CESL 190 covers the second source/drain epitaxial structures 175. In some examples, the first CESL 180 and the second CESL 190 include a silicon nitride layer, silicon oxide layer, a silicon oxynitride layer, and/or other suitable materials. The first CESL 180 and the second CESL 190 may be formed by plasma-enhanced chemical vapor deposition (PECVD) process and/or other suitable deposition or oxidation processes.
The first ILD layer 185 is formed over the first CESL 180, and the second ILD layer 195 is formed over the second CESL 190. In some embodiments, the first ILD layer 185 and the second ILD layer 195 include materials such as tetraethylorthosilicate (TEOS)-formed oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials having a different etch selectivity than the first CESL 180. The first ILD layer 185 and the second ILD layer 195 may be deposited by a PECVD process or other suitable deposition technique.
In some examples, after depositing the second ILD layer 195, a planarization process may be performed to remove excessive materials of the second ILD layer 195. For example, a planarization process includes a chemical mechanical planarization (CMP) process which removes portions of the second ILD layer 195 and the second CESL 190 overlying the dummy gate structures 140 and planarizes a top surface of the integrated circuit structure 100a. In some embodiments, the CMP process also removes hard mask layers 146 and 148 (as shown in
Thereafter, the dummy gate electrode layers 144 are removed first, and then the epitaxial layers (i.e., sacrificial layers) 122a and 122b are removed. The resulting structure is illustrated in
In some embodiments, the epitaxial layers 122a and 122b are removed by using a selective dry etching process by using, for example, CF4 as etching gases. In some embodiments, the epitaxial layers 122a and 122b are SiGe and the epitaxial layers 124a and 124b are silicon allowing for the selective removal of the epitaxial layers 122a and 122b. In some embodiments, during the selective etching processes, some portions of the epitaxial layers 124a and 124b are also etched. As such, vertical thicknesses of the openings O2 are greater than the thicknesses of the epitaxial layers 122a and 122b.
After the removal of the epitaxial layers 122a and 122b, native oxide layers 123 are grown on the exposed surfaces of the epitaxial layers 124a and 124b. Further, as shown in
Reference is made to
Reference is made to
Reference is made to
The microwave annealing MA1 is performed with nitrogen-containing plasma, e.g., NH3 plasma or the like. For example, NH3 gases are introduced into a plasma chamber to form the NH3 plasma, which is then introduced into an anneal apparatus for performing the microwave annealing MAL. As shown in
Reference is made to
A first dipole layer 220 is formed (e.g., conformally) to surround the oxynitride layers 215 in the channel regions CH in accordance with some embodiments. The first dipole layer 220 may be an oxide or a nitride of a first dipole dopant. In some embodiments in which the epitaxial layers 124a are for forming n-type devices (e.g., NMOS transistors), the first dipole layer 220 may include TiO2, Al2O3, AlN, Ga2O3, In2O3, Nb2O5, ZnO2, or the like, and the first dipole layer 220 may include a p-type dipole dopant, such as but not limited to Ti, Al, Ga, In, Nb, Zn, or an element having a weaker oxygen attraction than Si, or the like. The dipole dopant material doped in a gate dielectric layer (e.g., the oxynitride layers 215 in this case) may form dipole moments with the material of the epitaxial layers 124a, thereby creating differentials in the electrical potential of the overall gate structure, and thus the threshold voltage Vt of the gate structure may be adjusted. The p-type dipole dopant may increase the threshold voltage Vt of a gate structure (for either an NMOS device or a PMOS device). The first dipole layer 220 may be formed by any suitable deposition methods such as ALD or CVD. A thickness T4 of the first dipole layer 220 may be in a range from about 0.1 nm to about 10 nm.
A dummy material 200 is filled in the gate trench GT1 and then etched back to a level substantially the same as the middle dielectric isolators 160. In some embodiments, the dummy material 200 may be a SiOx layer deposited using flowable chemical vapor deposition (FCVD), spin-on coating, or the like. The dummy material 200 are then planarized, and the dummy material 200 are recessed or etched back, so that portions of the first dipole layer 220 wrapping the epitaxial layers 124b are exposed.
Reference is made to
Reference is made to
The first dipole dopants are dispersed in the first doped interfacial layers 217. The oxynitride layers 215 (and thus the first doped interfacial layers 217) block the first dipole dopants from diffusing into the epitaxial layers 124a. The first dipole dopants are mostly distributed at the interfaces between the first doped interfacial layers 217 and the epitaxial layers 124a. In some embodiments, if the first dipole dopants are diffused into the epitaxial layers 124a, the mobility at small electrical thickness at inversion state Tinv of the integrated circuit structure 100a (see
Reference is made to
Reference is made to
The second dipole dopants are dispersed in the second doped interfacial layers 218. The oxynitride layers 215 (and thus the second doped interfacial layers 218) block the second dipole dopants from diffusing into the epitaxial layers 124b. The second dipole dopants are mostly distributed at the interfaces between the second doped interfacial layers 218 and the epitaxial layers 124b. Since the oxynitride layers 215 block the first dipole dopants from diffusing into the epitaxial layers 124b, mobility performance of the integrated circuit structure 100a can be improved. Also, the gate leakage Jg reduction issues and positive bias temperature instabilities (PBTI) of the integrated circuit structure 100a are improved as well.
Reference is made to
A work function metal material 245 is then formed to fill the gate trenches GT1. The work function metal material 245 may exemplarily include, but are not limited to, tungsten, platinum, aluminum, copper, nickel, cobalt, silver, gold, ruthenium, titanium, tantalum, titanium nitride, tantalum nitride, tungsten nitride, ruthenium oxide, nickel silicide, cobalt silicide, TaC, TaSiN, TaCN, TiAl, TiAlN, or other suitable materials. Therefore, the first doped interfacial layers 217, the first dipole layers 220, the high-k gate dielectric layers 240, and the work function metal material 245 form a gate structure MGB, and the second doped interfacial layers 218, the second dipole layers 230, the high-k gate dielectric layers 240, and the work function metal material 245 form a gate structure MGT.
Reference is made to
Subsequently, a dielectric cap layer is deposited over the substrate 110 until the recesses are overfilled. The dielectric cap layer includes SiNx, AlxOy, AlON, SiOxCy, SiCxNy, boron nitride (BN), boron carbonitride (BNC), combinations thereof or the like, and is formed by a suitable deposition technique such as CVD, plasma-enhanced CVD (PECVD), ALD, remote plasma ALD (RPALD), plasma-enhanced ALD (PEALD), combinations thereof or the like. A CMP process is then performed to remove the cap layer outside the recess, leaving portions of the dielectric cap layer in the recesses to serve as dielectric caps 248. The dielectric caps 248 are in direct contact with the gate structures MGB and MGT as shown in
Source/drain contacts 250 are then formed in the second ILD layer 195 (see
In some embodiments, prior to filling the conductive materials in the openings, metal alloy layers 255 are respectively formed above the second source/drain epitaxial structures 175. The metal alloy layers 255, which may be silicide layers, are respectively formed in the trenches and over the exposed second source/drain epitaxial structures 175 by a self-aligned silicide (salicide) process.
As such, integrated circuit structure 100a is formed. As shown in
The gate structure MGB includes the first doped interfacial layers 217, the first dipole layers 220, the high-k gate dielectric layers 240, and the work function metal materials 245. The first doped interfacial layers 217 are in contact with the channel layers 124a. The first dipole layers 220 are in contact with the first doped interfacial layers 217 and the high-k gate dielectric layers 240. The first doped interfacial layers 217 and the first dipole layers 220 include the same dipole dopants. The gate structure MGT includes the second doped interfacial layers 218, the second dipole layers 230, the high-k gate dielectric layers 240, and the work function metal materials 245. The second doped interfacial layers 218 are in contact with the channel layers 124b. The second dipole layers 230 are in contact with the second doped interfacial layers 218 and the high-k gate dielectric layers 240. The second doped interfacial layers 218 and the second dipole layers 230 include the same dipole dopants.
Reference is made to
Reference is made to
At least one dummy gate structure 140 is formed over the substrate 110 and is partially disposed over the fin structure 125. The dummy gate structure 140 in
Reference is made to
Reference is made to
Thereafter, the dummy gate electrode layer 144 and the dummy gate dielectric layer 142 in
Reference is made to
Reference is made to
Subsequently, a first anneal process AN3 is performed to diffuse first dipole dopants in the first dipole layer 220 into the corresponding oxynitride layers 215, thereby forming first doped interfacial layers 217 in accordance with some embodiments. The fabrication process details about the first anneal process AN3 are similar to that about the first anneal process AN1 in
Reference is made to
A first bonding layer 302 is formed over the bottom transistor Tb. In some embodiments, the first bonding layer 302 is a dielectric material including silicon oxide or other suitable materials. The first bonding layer 302 may be formed by atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable method.
Reference is made to
A second bonding layer 304 is formed over the epitaxial stack 320. In some embodiments, the second bonding layer 304 is a dielectric material including silicon oxide or other suitable materials. The second bonding layer 304 may be formed by atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable method.
Reference is made to
Reference is made to
At least one dummy gate structure 340 is formed over the insulator layer 300 and across the fin structure 325. The dummy gate structure 340 in
Reference is made to
Second source/drain epitaxial structures 375 are formed over the source/drain regions S/D of the fin structure 325 and the bottom transistor Tb. The second source/drain epitaxial structures 375 in
Thereafter, the dummy gate electrode layer 344 and the gate dielectric layer 342 in
Reference is made to
Reference is made to
Subsequently, a second anneal process AN4 is performed to diffuse the first dipole dopants in the second dipole layer 430 into the corresponding oxynitride layers 415, thereby forming second doped interfacial layers 418 in accordance with some embodiments. The fabrication process details about the second anneal process AN4 are similar to that about the first anneal process AN1 in
Reference is made to
Dielectric caps 448 are formed over the gate structures MGT, such that top transistors Tt are formed. Source/drain contacts 450 are then formed to be electrically connected to the second source/drain epitaxial structures 375, and metal alloy layers 255 are optionally formed over the second source/drain epitaxial structures 375 prior to the formation of the source/drain contacts 450. The source/drain contacts 450 in
As such, integrated circuit structure 100b is formed. As shown in
The gate structure MGB includes the first doped interfacial layers 217, the first dipole layers 220, the high-k gate dielectric layers 240, and the work function metal materials 245. The first doped interfacial layers 217 are in contact with the channel layers 124. The first dipole layers 220 are in contact with the first doped interfacial layers 217 and the high-k gate dielectric layers 240. The first doped interfacial layers 217 and the first dipole layers 220 include the same dipole dopants. The gate structure MGT includes the second doped interfacial layers 418, the second dipole layers 430, the high-k gate dielectric layers 440, and the work function metal materials 445. The second doped interfacial layers 418 are in contact with the channel layers 324. The second dipole layers 430 are in contact with the second doped interfacial layers 418 and the high-k gate dielectric layers 440. The second doped interfacial layers 418 and the second dipole layers 430 include the same dipole dopants. Further, the second doped interfacial layers 418 are spaced apart from the insulator layer 300.
Based on the above discussions, it can be seen that the present disclosure offers advantages. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments. One advantage is that the oxynitride layers block the dipole dopants from diffusing into the channel layers, the mobility performance of the integrated circuit structure can be improved. Also, the gate leakage Jg reduction issues and positive bias temperature instabilities (PBTI) of the integrated circuit structure are improved as well. In addition, the oxynitride layers may be formed by using a microwave annealing process at low temperature to achieve low thermal budget for the bottom transistors.
According to some embodiments, a method includes forming a fin structure over a substrate, wherein the fin structure includes a first sacrificial layer, a first channel layer, a second sacrificial layer, and a second channel layer arranged in a stacking direction; forming a dummy gate structure across the fin structure; forming gate spacers on opposite sides of the dummy gate structure; forming first source/drain epitaxial layers on opposite sides of the first channel layer and spaced apart from the second channel layer; forming second source/drain epitaxial layers on opposite sides of the second channel layer and spaced apart from the first source/drain epitaxial layers; removing the dummy gate structure, the first sacrificial layer, and the second sacrificial layer to form a gate trench defined by the gate spacers; forming an oxynitride layer in the gate trench to surround the first channel layer; forming a dipole layer comprising dipole dopants in the gate trench to surround the oxynitride layer; performing an anneal process to drive the dipole dopants into the oxynitride layer; and after performing the anneal process, sequentially depositing a high-k gate dielectric layer and a work function metal layer in the gate trench to form a gate structure.
According to some embodiments, a method includes forming a bottom transistor over a substrate; forming a fin structure over the bottom transistor, wherein the fin structure includes a sacrificial layer and a channel layer over the sacrificial layer; forming a dummy gate structure across the fin structure; forming source/drain epitaxial layers on opposite sides of the channel layer and over the bottom transistor; removing the dummy gate structure and the sacrificial layer; forming a nitrogen-containing interfacial layer to surround the channel layer; depositing a dipole layer to surround the nitrogen-containing interfacial layer; performing an anneal process to drive dipole dopants into the nitrogen-containing interfacial layer; and forming a high-k gate dielectric layer and a work function metal layer to surround the nitrogen-containing interfacial layer to form a gate structure.
According to some embodiments, a device includes a bottom transistor and a top transistor over the bottom transistor. The bottom transistor includes a first channel layer, a first gate structure surrounding the first channel layer, and first source/drain epitaxial structures on opposite sides of the first channel layer. The first gate structure includes a first doped interfacial layer and a first work function metal layer. The first doped interfacial layer is in contact with the first channel layer and includes nitrogen and first dipole dopants. The first work function metal layer surrounds the first doped interfacial layer.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.