Ultrathin atomic layer deposition film accuracy thickness control

Information

  • Patent Grant
  • 11646198
  • Patent Number
    11,646,198
  • Date Filed
    Friday, July 16, 2021
    2 years ago
  • Date Issued
    Tuesday, May 9, 2023
    a year ago
Abstract
Methods for depositing films by atomic layer deposition using aminosilanes are provided.
Description
INCORPORATION BY REFERENCE

An Application Data Sheet is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed Application Data Sheet is incorporated by reference herein in their entireties and for all purposes.


BACKGROUND

Various thin film layers for semiconductor devices may be deposited with atomic layer deposition (ALD) processes. However, existing ALD processes may not be suitable for depositing ultrathin films having a thickness less than about 50 Å. For example, many existing ALD processes for depositing ultrathin films result in a high wafer-to-wafer variation between films deposited from substrate to substrate.


SUMMARY

Methods and apparatuses for processing semiconductor substrates are provided herein. One aspect involves a method for depositing a silicon oxide film by atomic layer deposition on a semiconductor substrate by: (a) inserting a substrate into a chamber; (b) after inserting the substrate into the chamber and prior to performing a first cycle of atomic layer deposition at a deposition temperature, raising the substrate's temperature to about the deposition temperature by exposing the substrate to a soak gas for a duration of about 500 seconds or less; and (c) performing the atomic layer deposition, whereby a cycle of the atomic layer deposition includes exposing the substrate to a silicon-containing precursor in a non-plasma environment for a duration sufficient to substantially adsorb the silicon-containing precursor to the surface of the substrate and exposing the substrate to an oxidant in a plasma environment to form at least a portion of the silicon oxide film; where soaking the substrate includes exposing the substrate to a soak gas including only one or more gases used when exposing the substrate to the oxidant in the plasma environment during the atomic layer deposition cycle to form the at least a portion of the silicon oxide film; and where the thickness of the silicon oxide film deposited by the atomic layer deposition is less than about 5 nm.


The soak gas in (b) may contain only a gas or gases used when exposing the substrate to the oxidant in the plasma environment to form the at least a portion of the silicon oxide film. In some embodiments, the soak gas in (b) includes two or more gases, and no other gases, used when exposing the substrate to the oxidant in the plasma environment to form the at least a portion of the silicon oxide film, and where the two or more gases are present in the soak gas in substantially the same proportion as they are in the oxidant.


In various embodiments, the soak gas in (b) is selected from the group consisting of argon, nitrogen, oxygen, nitrous oxide, and combinations thereof. The soak gas in (b) may include no helium.


The flow rate of the soak gas in (b) may be within about 10% of a maximum flow rate achievable by the chamber. In some embodiments, the flow rate of the soak gas in (b) is at least about 15 slm. In various embodiments, the flow rate of the soak gas in (b) is at least about 25% to about 200% of the flow rate of the one or more gases used when exposing the substrate to the oxidant in the plasma environment during the atomic layer deposition cycle.


Wafer-to-wafer variation of the average silicon oxide film thickness over the surface of the substrate may be less than about ±2 Å.


In various embodiments, (c) includes performing two or more atomic layer deposition cycles to deposit the silicon oxide film on the substrate. In some embodiments, between two and about fifty atomic layer deposition cycles are performed.


The silicon-containing precursor may be selected from the group consisting of silane, disilane, trisilane, tetrasilane, halogen-substituted versions of any of the foregoing silanes, amine-substituted versions of any of the foregoing silanes, and trisilylamine. The oxidant may be selected from the group consisting of oxygen, nitrous oxide, and combinations thereof. The atomic layer deposition may be performed at a temperature of between about 30° C. and about 70° C. In various embodiments, the cycle of the atomic layer deposition further includes purging the chamber between each exposing operation.


Another aspect involves a method for depositing a film by atomic layer deposition on a semiconductor substrate by: (a) inserting a substrate into a chamber; and (b) after inserting the substrate into the chamber and prior to performing a first cycle of atomic layer deposition at a deposition temperature, raising the substrate's temperature to about the deposition temperature by exposing the substrate to a soak gas for a duration of about 500 seconds or less; and (c) performing the atomic layer deposition, where a cycle of the atomic layer deposition includes exposing the substrate to a precursor in a non-plasma environment for a duration sufficient to substantially adsorb the precursor to the surface of the substrate, and exposing the substrate to a second reactant in a plasma environment to form at least a portion of the film; and where soaking the substrate includes exposing the substrate to a soak gas including only one or more gases used when exposing the substrate to the second reactant in the plasma environment during the atomic layer deposition cycle to form the at least a portion of the film; and where the thickness of the film deposited by the atomic layer deposition is less than about 5 nm.


The soak gas in (b) may contain only a gas or gases used when exposing the substrate to the second reactant in the plasma environment to form the at least a portion of the film. In various embodiments, the soak gas in (b) includes two or more gases, and no other gases, used when exposing the substrate to the second reactant in the plasma environment to form the at least a portion of the film, and where the two or more gases are present in the soak gas in substantially the same proportion as they are in the second reactant.


The soak gas in (b) may be selected from the group consisting of argon, nitrogen, oxygen, nitrous oxide, and combinations thereof. The soak gas in (b) may include no helium.


In various embodiments, the flow rate of the soak gas in (b) is within 10% of a maximum flow rate achievable by the chamber. In some embodiments, the flow rate of the soak gas in (b) is at least about 15 slm. In various embodiments, the flow rate of the soak gas in (b) is at least about 25% to about 200% of the flow rate of the one or more gases used when exposing the substrate to the oxidant in the plasma environment during the atomic layer deposition cycle.


Wafer-to-wafer variation of the average film thickness over the surface of the substrate may be less than about ±2 Å. In some embodiments (c) includes performing two or more atomic layer deposition cycles to deposit the film on the substrate. For example, in some embodiments, between two and about fifty atomic layer deposition cycles are performed.


The film deposited by the atomic layer deposition may be selected from the group consisting of silicon oxide, silicon nitride, silicon carbide, metal oxide, doped silicon oxide, doped silicon nitride, doped silicon carbide, and doped metal oxide. In some embodiments, the film deposited by the atomic layer deposition is an oxide and the atomic layer deposition is performed at a temperature of about 50° C. In some embodiments, the film deposited by the atomic layer deposition is a nitride or carbide and the atomic layer deposition is performed at a temperature of between about 200° C. and about 300° C.


The precursor may include a chemical selected from the group consisting of silicon, metals, electron-donating atoms, and electron-donating groups. In various embodiments, the second reactant is a reductant or oxidant.


The cycle of the atomic layer deposition may further include purging the chamber between each exposing operation.


Another aspect involves an apparatus for processing semiconductor substrates, the apparatus including: (a) one or more stations, each reaction station including a pedestal for holding a substrate; (b) at least one outlet for coupling to a vacuum; (c) one or more process gas inlets for coupling to precursor and reactant sources; (d) a robot for inserting substrates into the one or more reaction chambers; and (e) a controller for controlling operations in the apparatus, including machine-readable instructions for: (i) inserting a substrate into one of the one or more stations, (ii) introducing a soak gas for a duration of about 500 seconds or less; (iii) introducing a silicon-containing precursor for a duration sufficient to substantially adsorb the silicon-containing precursor onto the surface of the substrate; (iv) introducing a second reactant into the one or more reaction chambers and igniting a plasma; and (v) repeating (iii) and (iv) to form a film on the substrate, the film having a thickness less than about 5 nm, where the soak gas in (ii) includes only one or more gases used in (iv).


The controller may further include machine-readable instructions for performing (ii) at least once after each new substrate is inserted into one of the one or more stations by the robot. In some embodiments, the apparatus includes two or more stations.


These and other aspects are described further below with reference to the drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a process flow diagram depicting operations of a method in accordance with disclosed embodiments.



FIG. 2 is a timing sequence diagram showing an example of cycles in a method in accordance with disclosed embodiments.



FIG. 3 is a schematic diagram of an example process station for performing disclosed embodiments.



FIG. 4 is a schematic diagram of an example process tool for performing disclosed embodiments.



FIG. 5 is graph depicting experimental results from an experiment conducted in accordance with disclosed embodiments.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.


Manufacture of semiconductor devices typically involves depositing one or more conformal thin films on a substrate in an integrated fabrication process. For example, some front-end-of-the-line processes may involve deposition of conformal films by atomic layer deposition (ALD). ALD is a technique that deposits thin layers of material using sequential self-limiting reactions. ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis in cycles. As an example, an ALD cycle may include the following operations: (i) delivery/adsorption of a silicon-containing precursor, (ii) purging of silicon-containing precursor from the chamber, (iii) delivery of a second reactant and ignite plasma, and (iv) purging of byproducts from the chamber.


As devices shrink, conformal layers become thinner and fewer and fewer ALD cycles are used to deposit a film on a substrate. As a result, it is desirable to accurately control film thickness from substrate to substrate. Film thickness of a substrate may be measured by taking an average film thickness over the surface of the substrate. Variation in thickness from substrate to substrate may be known as “wafer-to-wafer variation.” In thicker films deposited by ALD, variations in thickness in initial cycles of ALD has a smaller impact than in thinner films because there are more cycles of ALD performed in depositing a thicker film. For example, a wafer-to-wafer variation of ±5 Å in thickness between films deposited to a thickness of 500 Å is a small fraction compared to a wafer-to-wafer variation of ±5 Å in thickness between films having a thickness of 10 Å. Thus, for deposition of ultrathin films, such as films having an average film thickness less than about 50 Å, accurate control of wafer-to-wafer variation is of particular interest. Ultrathin films may be used in various applications, such as fabrication of front-end-of-line spacers, plug liners, and cap layers. As devices shrink, other forms of variation also become problematic. Such variations include within wafer variation: i.e., layer thickness variations from one position to another position on a single wafer. While most of the discussion herein concerns wafer-to-wafer variations, the disclosed improvements may be equally applicable to other forms of variation.


Variation may depend on a number of factors, including the chamber conditions prior to performing ALD cycles on a substrate. Conventional ALD methods typically begin by placing a substrate on a pedestal in a chamber or station, which may be part of an apparatus, reactor, or tool for fabricating semiconductor substrates. To perform ALD at a desired deposition temperature, the pedestal is set to a desired deposition temperature and once the substrate is placed on the pedestal, the substrate is heated such that the substrate temperature is approximately the same as the pedestal temperature. Prior to being placed on the pedestal, the substrate may be at a temperature different from that of the pedestal temperature. For example, substrate temperatures may be at room temperature, such that the substrate temperature is raised to a deposition temperature on the pedestal. Conventional methods for stabilizing the substrate temperature involved exposing the substrate to certain conditions to more efficiently bring the substrate temperature up to a temperature at or near the deposition temperature. Exposure to these conditions is sometimes called “soak.” To increase throughput and reduce the time required for the substrate temperature to be raised, conventionally the wafer is exposed to helium, which has a high thermal conductivity, to stabilize the substrate temperature. However, conventional methods contaminate or increase the wafer-to-wafer variation of films deposited between substrates. For example, although the chamber may be purged after the substrate is exposed to helium, some helium may still be present in the chamber such that when the plasma ignites, the plasma has a slight purple color, which is consistent with helium plasma, and nucleation and incubation periods for the ALD process are affected, thereby increasing wafer-to-wafer variation between substrates.


Provided herein are methods of soaking a substrate prior to performing ALD to reduce wafer-to-wafer variation between substrates. Methods may be used to deposit ultrathin films, which are defined as films having a thickness of about 50 Å or less, or about 30 Å or less, or about 20 Å or less, or about 10 Å or less. Methods involve exposing the substrate to a soak gas including one or more gases used with the second reactant of an ALD cycle. In various embodiments, the soak gas only includes gases used with the second reactant of an ALD cycle. Deposited films are uniform from substrate to substrate, with wafer-to-wafer variation less than about ±2 Å for deposition of ultrathin films, or less than about ±1 Å. In various embodiments, wafer-to-wafer variation of less than about ±2 Å is achieved where between about 2 and about 10 cycles of ALD are performed. Disclosed embodiments are suitable for deposition of any film deposited by ALD, such as dielectric films, metal films, semiconductor films, and films of any material used in the fabrication of semiconductor substrates. For example, disclosed embodiments may be used to deposit silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON), silicon oxycarbonitride (SiOCN), metal oxide, doped silicon oxide, doped silicon nitride, doped silicon carbide, or doped metal oxide. In some embodiments, disclosed embodiments may be used to deposit titanium nitride, tantalum nitride, tungsten, aluminum oxide, and aluminum nitride. The deposited materials may have normal stoichiometry (e.g., SiO2) or variants thereof.


The methods provided herein involve deposition by ALD. Unlike a chemical vapor deposition (CVD) technique, ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis. In one example of an ALD process, a substrate surface, including a population of surface active sites, is exposed to a gas phase distribution of a first precursor in a dose provided to a process station housing the substrate. Molecules of this first precursor are adsorbed onto the substrate surface, including chemisorbed species and/or physisorbed molecules of the first precursor. It should be understood that when the compound is adsorbed onto the substrate surface as described herein, the adsorbed layer may include the compound as well as derivatives of the compound. For example, an adsorbed layer of a silicon-containing precursor may include the silicon-containing precursor as well as derivatives of the silicon-containing precursor. In certain embodiments, an ALD precursor dose partially saturates the substrate surface. In some embodiments, the dose phase of an ALD cycle concludes before precursor contacts the substrate to evenly saturate the surface. Typically, the precursor flow is turned off or diverted at this point, and only purge gas flows. By operating in this sub-saturation regime, the ALD process reduces the cycle time and increases throughput. However, because precursor adsorption is not saturation limited, the adsorbed precursor concentration may vary slightly across the substrate surface. Examples of ALD processes operating in the sub-saturation regime are provided in U.S. patent application Ser. No. 14/061,587, filed Oct. 23, 2013, titled “SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION,” which is incorporated herein by reference in its entirety. After a first precursor dose, the reactor is then evacuated to remove any first precursor remaining in gas phase so that only the adsorbed species remain. A second reactant is introduced to the reactor so that some of these molecules react with the first precursor adsorbed on the surface. In some processes, the second reactant reacts immediately with the adsorbed first precursor. In other embodiments, the second reactant reacts only after a source of activation is applied, such as plasma. In various embodiments described herein, the second reactant reacts with the adsorbed first precursor when a plasma is ignited. The reactor may then be evacuated again to remove unbound second reactant molecules. In some implementations, the ALD methods include plasma activation. As described herein, the ALD method and apparatuses described herein may be conformal film deposition (CFD) methods, which are described generally in U.S. patent application Ser. No. 13/084,399 (now U.S. Pat. No. 8,728,956), filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION,” and in U.S. patent application Ser. No. 13/084,305, filed Apr. 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” which are herein incorporated by reference in its entireties.


The concept of an ALD “cycle” is relevant to the discussion of various embodiments herein. Generally a cycle is the minimum set of operations used to perform a surface deposition reaction one time. The result of one cycle is production of at least a partial silicon oxide film layer on a substrate surface. Typically, an ALD cycle includes operations to deliver and adsorb at least one reactant to the substrate surface, and then react the adsorbed reactant with one or more reactants to form the partial layer of film. The cycle may include certain ancillary operations such as sweeping one of the reactants or byproducts and/or treating the partial film as deposited. Generally, a cycle contains one instance of a unique sequence of operations. ALD cycles may be used to build film thickness.



FIG. 1 provides a process flow diagram for performing operations in accordance with disclosed embodiments. FIG. 2 is a timing sequence diagram of example pulses in accordance with disclosed embodiments. FIG. 2 shows phases in an example ALD process 200, for various process parameters, such as carrier gas flow, first precursor flow, plasma, and second reactant flow. FIG. 2 includes two deposition cycles 210A and 210B and a pre-ALD soak 250 prior to the first ALD cycle (deposition cycle 210A). The lines indicate when the flow or plasma is turned on and off, accordingly. FIGS. 1 and 2 will be described together below.


In operation 101 of FIG. 1, a substrate is provided to a process chamber. The process chamber includes a pedestal or substrate holder where the substrate is placed. The substrate may be a silicon wafer, e.g., a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon. Substrates may have “features” such as via or contact holes, which may be characterized by one or more of narrow and/or re-entrant openings, constrictions within the feature, and high aspect ratios. The feature may be formed in one or more of the above described layers. One example of a feature is a hole or via in a semiconductor substrate or a layer on the substrate. Another example is a trench in a substrate or layer. In various embodiments, the feature may have an under-layer, such as a barrier layer or adhesion layer. Non-limiting examples of under-layers include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers.


In some embodiments, the feature may have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1, at least about 10:1, or higher. The feature may also have a dimension near the opening, e.g., an opening diameter or line width of between about 10 nm to 500 nm, for example between about 25 nm and about 300 nm. Disclosed methods may be performed on substrates with features having an opening less than about 150 nm. A feature via or trench may be referred to as an unfilled feature or a feature. A feature that may have a re-entrant profile that narrows from the bottom, closed end, or interior of the feature to the feature opening.


During operation 101, the pedestal is set to a deposition temperature. For example, the pedestal may be set to the temperature to be used during deposition of a film by ALD in subsequent operations. In various embodiments, the pedestal is set to a temperature greater than room temperature, or greater than about 20° C., or greater than about 25° C. The deposition temperature depends on the type of film to be deposited on the substrate and the chemistry used for depositing the film. For example, in some embodiments, deposition temperature for depositing an oxide may be less than about 100° C., or less than about 50° C., or about 50° C. In some embodiments, deposition temperature for depositing a nitride or carbide may be less than about 400° C., or less than about 300° C., or less than about 200° C., or between about 200° C. and about 300° C. In some embodiments, deposition temperature may be greater than about 400° C.


In operation 150, the substrate is exposed to a soak gas prior to performing the first cycle of ALD on the substrate. The substrate is exposed to the soak gas to raise the temperature of the substrate to a temperature at or near the deposition temperature. For example, a substrate inserted into to the process chamber from an outside environment may be at a room temperature of about 20° C., and the substrate is exposed to a soak gas to raise the temperature of the substrate to a temperature of about 50° C. for oxide deposition. The process of raising the substrate temperature when exposed to the soak gas is referred to as “soak.”


In various embodiments, the soak gas is helium-free, such that no helium is present in the process chamber during operation 150. The soak gas may be a carrier gas or any second reactant used in ALD, or any combination thereof. In various embodiments, the soak gas is one or more gases used with the second reactant in the plasma step of the ALD cycle, which is described below with respect to operation 111. Additional examples of soak gases include argon (Ar), helium (He), hydrogen (H2), xenon (Xe), krypton (Kr), nitrogen (N2), oxygen (O2), nitrous oxide (N2O), ammonia (NH3), hydrazine, ozone (O3), nitric oxide (NO), nitrogen dioxide (NO2), carbon monoxide (CO), carbon dioxide (CO2), sulfur monoxide (SO), and water (H2O). In some implementations, the soak gas contains no gases other than gases present when the second reactant is introduced during the ALD cycle. For example, if the only gases present during this phase are argon, oxygen, and nitrous oxide, the soak gas would include one or more of argon, oxygen, and nitrous oxide, but no other gases.


For deposition of silicon oxide, the soak gas may be an oxidant and/or a carrier gas used when the substrate is exposed to the oxidant in a plasma environment in an ALD cycle. Examples of soak gases for deposition of silicon oxide include, but are not limited to, Ar, N2, O2, N2O, O3, peroxides including hydrogen peroxide (H2O2), H2O, alcohols such as methanol, ethanol and isopropanol, NO, NO2, CO, and CO2. In some embodiments, the oxidizer may be a mixture of O2 and a weak oxidizer such as N2O, CO, CO2, NO, NO2, SO, SO2, CxHyOz and/or H2O.


For deposition of silicon nitride, the soak gas may be a nitrogen-containing reactant and/or a carrier gas used when the substrate is exposed to the nitrogen-containing reactant in a plasma environment in an ALD cycle. Example soak gases for deposition of silicon nitride include Ar, H2, N2, and NH3. Additional examples of nitrogen-containing reactants include compounds containing at least one nitrogen, for example, hydrazine, amines (amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines. Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds). A nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.


The composition of soak gases used may depend on the composition used when the substrate is exposed to the second reactant in a plasma environment of an ALD cycle. In some embodiments, the soak gas contains only carrier gas. In some embodiments, the soak gas contains only the second reactant.


All percentages and ratios listed herein are by flow rate. In some embodiments, the composition of soak gases in operation 150 is identical to the composition of gases in operation 111. In some embodiments, the composition of soak gases in operation 150 is different from the composition of gases in operation 111. For example, the flow rate of soak gases in operation 150 may be at least about 25% to at least about 200% of the flow rate of the gases in operation 111. In some embodiments, the composition of soak gases in operation 150 includes a carrier gas and the second reactant, where the second reactant may include one or more gases, and the flow rate of the carrier gas may be between about 25% and about 200% of the flow rate of the carrier gas in operation 111. Likewise, the flow rate of the second reactant in operation 150 may be at least about 25% to about 200% of the flow rate of the second reactant in operation 111.


For example, in deposition of oxides, the composition of soak gases may include argon, nitrogen, and oxygen. The flow rate of each of argon, nitrogen, and oxygen may be at least about 25% to about 200% of the flow rate of argon, nitrogen, and oxygen respectively used in operation 111. In another example, the composition of soak gases used prior to depositing an oxide may include nitrous oxide and oxygen such that the flow rate ratio of nitrous oxide to oxygen may be between about 1:5 and about 2:1.


In deposition of nitrides, example compositions of soak gases may include compositions having a carrier gas and a nitrogen-containing gas. In deposition of metal compounds, example compositions of soak gases may include compositions including a carrier gas and a nitrogen-containing gas. The carrier gas and the nitrogen-containing gas may be at least about 25% to about 200% of the flow rate of the respective gas used when the carrier gas and nitrogen-containing gas are used in operation 111.


In deposition of carbides, example compositions of soak gases may include a carrier gas, and a carbon-containing gas. The carrier gas and the carbon-containing gas may be at least about 25% to about 200% of the flow rate of the respective gas used when the carrier gas and carbon-containing gas are used in operation 111.


The flow rate of the soak gases during operation 150 may be at least about 500 sccm for a chamber including four stations, each station of which includes a substrate. In some embodiments, the flow rate of the soak gases during operation 150 is within about 10% of a maximum flow rate achievable by the chamber. For example, the flow rate of the soak gases may be at least about 15 slm, or at least about 20 slm, or between about 15 slm and about 20 slm, for example about 17 slm.


In operation 150, the substrate is exposed to the soak gas or gases for a short period of time. A short period is defined as a duration less than about 150 seconds, or less than about 100 seconds, or less than about 60 seconds. For example, the substrate may be exposed to the soak gas or gases for a duration between about 5 seconds and about 60 seconds, for example, about 5 seconds.


Operation 150 may be performed each time a new substrate is inserted into a process chamber in the apparatus, which may be a multi-station tool including one or more stations. In some embodiments, the apparatus includes four stations for processing substrates. Further description of example apparatuses are described below with respect to FIGS. 3 and 4. In various embodiments, every time a new substrate is inserted into the tool, such as into one of stations in a multi-station tool, operation 150 may be performed. Operation 150 thus may be performed before every first cycle of ALD for any single substrate in a chamber of a multi-station tool. Operation 150 may be performed even if other substrates in a multi-station tool have partially deposited ALD films. In some embodiments, the chamber is purged after operation 150. It should be understood that multi-station tools may be operated in various modes. In some modes, all substrates are inserted and then processed to completion before any substrate is removed. In other modes, one substrate is removed and another is inserted each time the substrates index from one station to the next within the tool. In other modes, two substrates are added and two are removed, but at least two others remain during certain index operations. Other modes can be employed.



FIG. 2 shows a pre-ALD soak 250 prior to the first ALD deposition cycle 210A. During pre-ALD soak 250, which may correspond to operation 150 of FIG. 1, the first precursor is turned off, and the plasma is turned off, while the purge gas or carrier gas and second reactant are turned on. Note that the on/off condition for the pre-ALD soak 250 is the same as the on/off conditions shown for the second reactant with plasma exposure phases 260A and 260B. Note that in some embodiments, the carrier gas is not flowed during the pre-ALD soak 250. As explained elsewhere, various combinations of the gases employed in operation 260B may be used in the soak.


Returning to FIG. 1, operations 103-113 may be operations of an ALD cycle. During operations 103-113, an inert gas may be flowed. In some embodiments, the inert gas is flowed during operations 150-113. Disclosed embodiments may be performed at a chamber pressure between about 0.1 Torr and about 20 Torr. In various embodiments, the inert gas is used as a carrier gas. Example carrier gases include argon (Ar), helium (He), hydrogen (H2), oxygen (O2), krypton (Kr), xenon (Xe), and neon (Ne). The inert gas may be provided to assist with pressure and/or temperature control of the process chamber, evaporation of a liquid reactant, more rapid delivery of the reactant and/or as a purge gas for removing process gases from the process chamber and/or process chamber plumbing. The example sequences in FIG. 2 shows a carrier gas, which is continuously flowed during the entire process.


In operation 103 of FIG. 1, the substrate is exposed to a first precursor such that the first precursor adsorbs on to the substrate surface. In some embodiments, the first precursor adsorbs onto the substrate surface in a self-limiting manner such that once active sites are occupied by the first precursor, little or no additional first precursor will be adsorbed on the substrate surface. In various embodiments, when the first precursor is flowed to the station, the first precursor adsorbs onto active sites on the surface of the substrate, forming a thin layer of the first precursor on the surface. In various embodiments, this layer may be less than a monolayer, and may have a thickness between about 0.2 Å and about 0.8 Å.


In various embodiments, the first precursor includes an electron-donating atom or electron-donating group. In various embodiments, the first precursor is a silicon-containing precursor or a metal-containing precursor. In some embodiments, the first precursor is a silicon-containing precursor suitable for depositing a silicon oxide film by ALD. The first precursor may also be a mixture of two or more compounds. In some embodiments, the silicon-containing precursor is chosen depending on the type of silicon-containing film to be deposited.


Example silicon-containing precursors include, but are not limited to, silanes, polysilanes, halosilanes, and aminosilanes. A silane contains hydrogen and/or carbon groups, but does not contain a halogen. A polysilane may have the formula (H3Si—(SiH2)n—SiH3), where n≥1. Examples of silanes include silane (SiH4), disilane (Si2H6), trisilane, tetrasilane and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, tetra-ethyl-ortho-silicate (also known as tetra-ethoxy-silane or TEOS) and the like.


A halosilane contains at least one halogen group and may or may not contain hydrogens and/or carbon groups. A halosilane may have a formula SiXaHy whereby X═Cl, F, I, or Br, and a+y=4, where a≥1. A halosilane may have a formula SiXaHy(CH3), where X═Cl, F, I, or Br, and a+y+z=4, where a≥1. Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Although halosilanes, particularly fluorosilanes, may form reactive halide species that can etch silicon materials, in certain embodiments described herein, the silicon-containing precursor is not present when a plasma is struck. Specific chlorosilanes are tetrachlorosilane (SiCl4), trichlorosilane (HSiCl3), dichlorosilane (H2SiCl2), monochlorosilane (ClSiH3), chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, monochlorotrimethylsilane, and the like.


An aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons. Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 and Si(NH2)4, respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 (BTBAS), tert-butyl silylcarbamate, SiH(CH3)—(N(CH3)2)2, SiHCl—(N(CH3)2)2, (Si(CH3)2NH)3, di(sec-butylamino)silane (DSBAS), di(isopropylamido)silane (DIPAS), bis(diethylamino)silane (BDEAS), and the like. A further example of an aminosilane is trisilylamine (N(SiH3)3).


Examples of silicon-containing precursors for depositing silicon carbide include siloxanes, alkyl silane or hydrocarbon-substituted silane, or a nitrogen-containing carbon-containing reactant. Example siloxanes include 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), heptamethylcyclotetrasiloxane (HMCTS), silsesquioxane, disiloxanes, such as pentamethyldisiloxane (PMDSO) and tetramethyldisiloxane (TMDSO), and trisiloxanes such as hexamethyltrisiloxane, heptamethyltrisiloxane. Alkyl silanes include a central silicon atom with one or more alkyl groups bonded to it as well as one or more hydrogen atoms bonded to it. In some embodiments, any one or more of the alkyl groups contain 1-5 carbon atoms. The hydrocarbon groups may be saturated or unsaturated (e.g., alkene (e.g., vinyl), alkyne, and aromatic groups). Examples include but are not limited to trimethylsilane (3MS), triethylsilane, pentamethyl disilamethane ((CH3)2Si—CH2—Si(CH3)3), and dimethylsilane (2MS). Additionally, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. In some embodiments, one of the silicon atoms can have a carbon-containing or hydrocarbon group attached to it, and one of the silicon atoms can have a hydrogen atom attached to it. Example carbon-containing reactants including a nitrogen include methyl-substituted disilazanes and trisilazanes, such as tetramethyldisilazane and hexamethyl trisilazane.


Example first precursors used for depositing oxygen-doped silicon carbide films include siloxanes such as cyclotetrasiloxanes such as heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane. Other cyclic siloxanes can also include but are not limited to cyclotrisiloxanes and cyclopentasiloxanes. For depositing oxygen doped silicon carbide films, other examples of suitable precursors include linear siloxanes such as, but not limited to, disiloxanes, such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyl trisiloxane, and heptamethyl trisiloxane. For undoped silicon carbide, examples of suitable precursors include monosilanes substituted with one or more alkyl, alkene, and/or alkyne groups containing, e.g., 1-5 carbon atoms. Examples include but are not limited to trimethylsilane (3MS), dimethylsilane (2MS), triethylsilane (TES), and pentamethyldisilamethane. Additionally, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. An example of one such disilane from the alkyl silane class is hexamethyldisilane (HMDS). Another example of a disilane from the alkyl silane class can include pentamethyldisilane (PMDS). Other types of alkyl silanes can include alkylcarbosilanes, which can have a branched polymeric structure with a carbon bonded to a silicon atom as well as alkyl groups bonded to a silicon atom. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE). For depositing nitrogen doped silicon carbide (SiNC) films, examples of suitable precursors include, e.g., alkyldisilazanes and possibly compounds including amino (—NH2) and alkyl groups separately bonded to one or more silicon atoms. Alkyldisilazanes include silizanes and alkyl groups bonded to two silicon atoms. An example includes 1,1,3,3-tetramethyldisilazane (TMDSN).


In some embodiments, the first precursor is a metal-containing precursor. Example precursors may include metal alkylamines, metal alkoxides, metal alkylamides, metal halides, metal β-diketonates, metal carbonyls, etc. The metal-containing precursors may also include organometallic compounds such as alkyl metal compounds as well as metal halides having a high vapor pressure under deposition conditions. Such compounds exist in a vapor state and are readily delivered to the substrate and adsorb thereon. Some methods described herein may be suitable for ALD deposition of metal-containing films. Examples of metals include titanium (Ti), hafnium (Hf), zirconium (Zr), manganese (Mn), tungsten (W), and tantalum (Ta). Appropriate metal-containing precursors will include the metal that is desired to be incorporated into the film. For example, a tantalum-containing layer may be deposited by reacting pentakis(dimethylamido)tantalum with ammonia or another reducing agent as a second reactant. Further examples of metal-containing precursors that may be employed include trimethylaluminum, aluminum acetate, alkoxide, aluminum halidte, traethoxytitanium, tetrakis-dimethyl-amido titanium, hafnium tetrakis(ethylmethylamide), bis(cyclopentadienyl)manganese, bis(n-propylcyclopentadienyl)magnesium, tridimethylaminotitanium (TDMAT), tetraethoxytitanium, tetrakis-dimethyl-amido titanium, titanium isopropoxide, titanium tetraisopropoxide, and compounds having the formula TiXn, where n is an integer between and including 2 through 4, and X is a halide. Specific examples include TiI4, TiCl4, TiF4, and TiBr4.


Operation 103 may correspond to first precursor exposure phase 220A of FIG. 2. During the first precursor exposure phase 220A, the first precursor is flowed with an optional purge or carrier gas, and the plasma and second reactant are turned off.


Returning to FIG. 1, in operation 105, the process chamber is optionally purged to remove excess first precursor in gas phase that did not adsorb onto the surface of the substrate. Purging may involve a sweep gas, which may be a carrier gas used in other operations or a different gas. Sweeping the process station may avoid gas phase reactions where the second reactant is unstable to plasma activation or where unwanted species might be formed. Further, sweeping the process station may remove surface adsorbed ligands that may otherwise remain and contaminate the film. In some embodiments, purging may involve evacuating the chamber.


Operation 105 may correspond to purge phase 240A of FIG. 2. Purge phase 240A may have any suitable duration. In some embodiments, increasing a flow rate of a one or more purge gases may decrease the duration of purge phase 240A. For example, a purge gas flow rate may be adjusted according to various reactant thermodynamic characteristics and/or geometric characteristics of the process station and/or process station plumbing for modifying the duration of purge phase 240A. In one non-limiting example, the duration of a purge phase may be optimized by adjustment of the purge gas flow rate. This may reduce deposition cycle time, which may improve substrate throughput.


In some embodiments, purge phase 240A may include one or more evacuation subphases for evacuating the process station. Alternatively, it will be appreciated that purge phase 240A may be omitted in some embodiments. Purge phase 240A may have any suitable duration, such as between about 0 seconds and about 60 seconds, or about 0.01 seconds. In some embodiments, increasing a flow rate of a one or more purge gases may decrease the duration of purge phase 240A. For example, a purge gas flow rate may be adjusted according to various reactant thermodynamic characteristics and/or geometric characteristics of the process station and/or process station plumbing for modifying the duration of purge phase 240A. In one non-limiting example, the duration of a purge phase may be adjusted by modulating sweep gas flow rate. This may reduce deposition cycle time, which may improve substrate throughput. After a purge, the silicon-containing precursors remain adsorbed onto the substrate surface.


Returning to FIG. 1, in operation 111, the substrate is exposed to a second reactant and a plasma is ignited. The substrate is exposed to the second reactant for a duration sufficient to form a layer of material by a reaction on the surface of the substrate.


“Plasma” refers to a plasma ignited in a reaction chamber or remotely and brought into the reaction chamber. Plasmas can include the reactants described herein and may include other agents, for example, a carrier gas, or reactive species such as hydrogen gas. The reactants and other agents may be present in a reaction chamber when a plasma is struck, or a remote plasma may be flowed into a chamber where the reactants are present and/or the reactants and/or carrier gas may be ignited into a plasma remotely and brought into the reaction chamber. A “plasma” is meant to include any plasma known to be technologically feasible, including inductively-coupled plasmas and microwave surface wave plasmas.


In various embodiments, the plasma is an in-situ plasma, such that the plasma is formed directly above the substrate surface in the chamber. The in-situ plasma may be ignited at a power per substrate area between about 0.2122 W/cm2 and about 2.122 W/cm2. For example, the power may range from about 600 W to about 6000 W for a chamber processing four 300 mm wafers. Plasmas for ALD processes may be generated by applying a radio frequency (RF) field to a gas using two capacitively coupled plates. Ionization of the gas between plates by the RF field ignites the plasma, creating free electrons in the plasma discharge region. These electrons are accelerated by the RF field and may collide with gas phase reactant molecules. Collision of these electrons with reactant molecules may form radical species that participate in the deposition process. Residual gases in the chamber that are not used to deposit the film by ALD affect the ionization of gases during operation 111, thereby reducing the quality of the film being deposited. For example, if any helium is adsorbed on the surface of the substrate from prior processing, the plasma ignited in operation 111 has a purple color typical of helium plasma and not usually used in ALD processes, thereby causing the deposited film on the film to be thinner than desired. By using a soak gas in operation 150 that is one or more gases used in operation 111, the quality and thickness of the film to be deposited and formed in operation 111 is preserved. For example, for a deposition of a silicon oxide film, if the soak gas used in operation 150 is a mixture of oxygen and nitrous oxide in a ratio of about 1:1, then if the same gases and same mixture ratios of gases are used in operation 111, the quality or thickness of the deposited silicon oxide film may not be affected by the soak gas. This may insure that the soak gas may be used multiple times in the deposition process, such as every time a new substrate is inserted into a chamber, without affecting the deposited films.


During operation 111, it will be appreciated that the RF field may be coupled via any suitable electrodes. Non-limiting examples of electrodes include process gas distribution showerheads and substrate support pedestals. It will be appreciated that plasmas for ALD processes may be formed by one or more suitable methods other than capacitive coupling of an RF field to a gas. In some embodiments, the plasma is a remote plasma, such that the second reactant is ignited in a remote plasma generator upstream of the station, then delivered to the station where the substrate is housed.


The second reactant may be an oxidant or reductant. In various embodiments, the second reactant is an oxygen-containing reactant, or a nitrogen-containing reactant, or a halogen-containing reactant, or a carbon-containing reactant, or a dopant. The second reactant may include one or more of these compounds.


Example oxidants include, but are not limited to, ozone (O3), peroxides including hydrogen peroxide (H2O2), oxygen (O2), water (H2O), alcohols such as methanol, ethanol, and isopropanol, nitric oxide (NO), nitrous dioxide (NO2) nitrous oxide (N2O), carbon monoxide (CO) and carbon dioxide (CO2). Example oxygen-containing reactants include oxygen, ozone, nitrous oxide, nitric oxide, nitrogen dioxide, carbon monoxide, carbon dioxide, sulfur monoxide, sulfur dioxide, water, oxygen-containing hydrocarbons (CxHyOz), etc.


A nitrogen-containing reactant contains at least one nitrogen, for example, N2, ammonia, hydrazine, amines (amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, ethylenediamine, tertbutylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines. Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds). A nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, tertbutylamine (TBA), t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.


In some embodiments, the flow rate of the second reactant may be between about 0.1 slm and about 20 slm (e.g., between about 1 slm and about 10 slm). In some embodiments, a carrier gas may be used during the exposure to the second reactant. An example of a suitable carrier gas is nitrogen (N2), and if nitrogen is used as a carrier gas and co-flowed with the second reactant, the nitrogen may be flowed at a flow rate between about 500 sccm and 10 slm.


Operation 111 may correspond to second reactant with plasma exposure phase 260A. As shown in FIG. 2, during 260A, the plasma and second reactant are turned on, with an optional purge or carrier gas, while the first precursor flow is turned off. In many embodiments, the substrate is exposed to the second reactant for a time between about 1 second and about 60 seconds, or about 2.5 seconds, or about 30 seconds.


Returning to FIG. 1, in operation 113, the chamber is optionally purged with a purge gas. The purge gas may be any purge gas described above with respect to operation 105. The purge gas may be flowed for a duration sufficient to remove excess byproducts from the chamber. This operation may correspond to purge phase 280A of FIG. 2, whereby the purge gas flows while the first precursor, plasma, and second reactant are turned off.


In operation 115 of FIG. 1, it is determined whether the film has been deposited to an adequate thickness. If not, operations 103-113 are repeated in cycles. At least about two deposition cycles or more may be included in disclosed embodiments to deposit a desired film thickness. For example, between about 2 and about 50 cycles may be performed, or between about 2 and about 30 cycles, or between about 2 and about 20 cycles, or between about 2 and about 10 cycles.



FIG. 2 depicts two deposition cycles 210A and 210B. As shown, in deposition cycle 210B, operations in FIG. 1 are repeated such that the substrate is exposed to the first precursor during first precursor exposure phase 220B, the chamber is purged in purge phase 240B, the substrate is exposed to a second reactant with plasma in operation 260B, and the chamber is purged yet again in purge phase 280B.


Apparatus



FIG. 3 depicts a schematic illustration of an embodiment of an atomic layer deposition (ALD) process station 300 having a process chamber body 302 for maintaining a low-pressure environment. A plurality of ALD process stations 300 may be included in a common low pressure process tool environment. For example, FIG. 4 depicts an embodiment of a multi-station processing tool 400. In some embodiments, one or more hardware parameters of ALD process station 300, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers 350.


ALD process station 300 fluidly communicates with reactant delivery system 301 for delivering process gases to a distribution showerhead 306. Reactant delivery system 301 includes a mixing vessel 304 for blending and/or conditioning process gases for delivery to showerhead 306. One or more mixing vessel inlet valves 320 may control introduction of process gases to mixing vessel 304.


As an example, the embodiment of FIG. 3 includes a vaporization point 303 for vaporizing liquid reactant to be supplied to the mixing vessel 304. In some embodiments, vaporization point 303 may be a heated vaporizer. The saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve purging and/or evacuating the delivery piping to remove residual reactant. However, purging the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 303 may be heat traced. In some examples, mixing vessel 304 may also be heat traced. In one non-limiting example, piping downstream of vaporization point 303 has an increasing temperature profile extending from approximately 100° C. to approximately 150° C. at mixing vessel 304.


In some embodiments, liquid precursor or liquid reactant may be vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one embodiment, a liquid injector may vaporize the reactant by flashing the liquid from a higher pressure to a lower pressure. In another example, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. Smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 303. In one scenario, a liquid injector may be mounted directly to mixing vessel 304. In another scenario, a liquid injector may be mounted directly to showerhead 306.


In some embodiments, a liquid flow controller (LFC) upstream of vaporization point 303 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 300. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, this may be performed by disabling a sense tube of the LFC and the PID controller.


Showerhead 306 distributes process gases toward substrate 312. In the embodiment shown in FIG. 3, the substrate 312 is located beneath showerhead 306 and is shown resting on a pedestal 308. Showerhead 306 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing process gases to substrate 312. Example process gases include soak gases, first precursor gases, carrier gases or purge gases, and second reactant gases.


In some embodiments, a microvolume 307 is located beneath showerhead 306. Practicing disclosed embodiments in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and purge times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.) may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters. This also impacts productivity throughput. In some embodiments, the disclosed embodiments are not performed in a microvolume.


In some embodiments, pedestal 308 may be raised or lowered to expose substrate 312 to microvolume 307 and/or to vary a volume of microvolume 307. For example, in a substrate transfer phase, pedestal 308 may be raised to position substrate 312 within microvolume 307. In some embodiments, microvolume 307 may completely enclose substrate 312 as well as a portion of pedestal 308 to create a region of high flow impedance.


Optionally, pedestal 308 may be lowered and/or raised during portions the process to modulate process pressure, reactant concentration, etc., within microvolume 307. In one scenario where process chamber body 302 remains at a base pressure during the process, lowering pedestal 308 may allow microvolume 307 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller 350.


In another scenario, adjusting a height of pedestal 308 may allow a plasma density to be varied during plasma activation and/or treatment cycles included in the process. At the conclusion of the process phase, pedestal 308 may be lowered during another substrate transfer phase to allow removal of substrate 312 from pedestal 308.


While the example microvolume variations described herein refer to a height-adjustable pedestal, it will be appreciated that, in some embodiments, a position of showerhead 306 may be adjusted relative to pedestal 308 to vary a volume of microvolume 307. Further, it will be appreciated that a vertical position of pedestal 308 and/or showerhead 306 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 308 may include a rotational axis for rotating an orientation of substrate 312. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 350.


In some embodiments where plasma may be used as discussed above, showerhead 306 and pedestal 308 electrically communicate with a radio frequency (RF) power supply 314 and matching network 316 for powering a plasma. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 314 and matching network 316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Likewise, RF power supply 314 may provide RF power of any suitable frequency. In some embodiments, RF power supply 314 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz, for example 2 MHz, 13.56 MHz, or 27 MHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions. In one non-limiting example, the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.


In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.


In some embodiments, instructions for a controller 350 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas (e.g., the second reactant) as a soak gas, instructions for setting a flow rate of a carrier gas (such as nitrogen), instructions for setting a pedestal temperature, and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas, and instructions for modulating a flow rate of a carrier or purge gas and time delay instructions for the second recipe phase. A third recipe phase may include instructions for setting a flow rate of an inert and/or reactant gas (e.g., the first precursor), instructions for modulating a flow rate of a carrier gas, and time delay instructions for the third recipe phase. A fourth recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas, instructions for modulating the flow rate of a carrier or purge gas, and time delay instructions for the fourth recipe phase. A fifth recipe phase may include instructions for setting a flow rate of an inert and/or reactant gas which may be the same as or different from the gas used in the first recipe phase (e.g., the second reactant), instructions for modulating a flow rate of a carrier gas, and time delay instructions for the fifth recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.


In some embodiments, pedestal 308 may be temperature controlled via heater 310. The pedestal may be set to a deposition temperature. For example, the pedestal may be set to a temperature between about 200° C. and about 300° C. for deposition of a nitride or carbide. Further, in some embodiments, pressure control for process station 300 may be provided by butterfly valve 318. As shown in the embodiment of FIG. 3, butterfly valve 318 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 300 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 300.


As described above, one or more process stations may be included in a multi-station processing tool. FIG. 4 shows a schematic view of an embodiment of a multi-station processing tool 400 with an inbound load lock 402 and an outbound load lock 404, either or both of which may comprise a remote plasma source. A robot 406, at atmospheric pressure, is configured to move substrates or wafers from a cassette loaded through a pod 408 into inbound load lock 402 via an atmospheric port 410. A substrate is placed by the robot 406 on a pedestal 412 in the inbound load lock 402, the atmospheric port 410 is closed, and the load lock is pumped down. Where the inbound load lock 402 comprises a remote plasma source, the substrate may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 414. Further, the substrate also may be heated in the inbound load lock 402 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 416 to processing chamber 414 is opened, and another robot (not shown) places the substrate into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 4 includes load locks, it will be appreciated that, in some embodiments, direct entry of a substrate into a process station may be provided. In various embodiments, the soak gas is introduced to the station when the substrate is placed by the robot 406 on the pedestal 412.


The depicted processing chamber 414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 4. Each station has a heated pedestal (shown at 418 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between an ALD and plasma-enhanced ALD process mode. Additionally or alternatively, in some embodiments, processing chamber 414 may include one or more matched pairs of ALD and plasma-enhanced ALD process stations. While the depicted processing chamber 414 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.



FIG. 4 depicts an embodiment of a wafer handling system 490 for transferring substrates within processing chamber 414. In some embodiments, wafer handling system 490 may transfer substrates between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 4 also depicts an embodiment of a system controller 450 employed to control process conditions and hardware states of process tool 400. System controller 450 may include one or more memory devices 456, one or more mass storage devices 454, and one or more processors 452. Processor 452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


In some embodiments, system controller 450 controls all of the activities of process tool 400. System controller 450 executes system control software 458 stored in mass storage device 454, loaded into memory device 456, and executed on processor 452. Alternatively, the control logic may be hard coded in the controller 450. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 458 may include instructions for controlling the timing, mixture of gases, amount of gas flow, chamber and/or station pressure, chamber and/or station temperature, substrate temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 400. System control software 458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 458 may be coded in any suitable computer readable programming language.


In some embodiments, system control software 458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 454 and/or memory device 456 associated with system controller 450 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.


A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 418 and to control the spacing between the substrate and other parts of process tool 400.


A process gas control program may include code for controlling gas composition (e.g., first precursor gas, soak gas, second reactant gas, and purge gases as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.


A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as the soak gas) to the substrate.


A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.


A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.


In some embodiments, there may be a user interface associated with system controller 450. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


In some embodiments, parameters adjusted by system controller 450 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, temperature, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 450 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 400. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.


System controller 450 may provide program instructions for implementing the above-described deposition processes such as processes that employ a soak prior to initiating ALD for a substrate inserted into the reaction chamber, with the soak performed under any of the soak conditions described herein. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.


The system controller will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller.


In some implementations, the system controller 450 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The system controller 450, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the system controller 450 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 450 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The system controller 450, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 450 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 450 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 450 is configured to interface with or control. Thus as described above, the system controller 450 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the system controller 450 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


An appropriate apparatus for performing the methods disclosed herein is further discussed and described in U.S. patent application Ser. No. 13/084,399, filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”; and Ser. No. 13/084,305, filed Apr. 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” each of which is incorporated herein in its entireties.


The apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.


EXPERIMENTAL
Experiment 1

An experiment was conducted comparing film thickness between films deposited by ALD using helium as a soak gas prior to ALD and films deposited using gases to be used during the plasma environment operation of ALD prior to ALD. The pedestal was set to a temperature of 50° C. Substrates were placed on the pedestal to bring the substrate temperature up to 50° C. from room temperature.


In one trial, the substrate was exposed to a helium soak gas for seven seconds prior to performing ALD cycles sufficient to deposit 8.8 Å of silicon oxide. Only 5.0 Å of silicon oxide was deposited. In a second trial, the substrate was exposed to an argon soak gas for nine seconds prior to performing ALD cycles sufficient to deposit 8.8 Å of silicon oxide. Argon was used as a carrier gas during the oxidant exposure with plasma in the ALD cycle. The thickness of the silicon oxide film deposited was 8.7 Å. In a third trial, the substrate was exposed to a mixture of nitrous oxide (N2O) and oxygen (O2) for nine seconds prior to performing ALD cycles sufficient to deposit 8.8 Å of silicon oxide. The same mixture of N2O and O2 was used in each cycle during the oxidant exposure with plasma phase. The thickness of the silicon oxide film deposited was 8.7 Å. In the fourth trial, the substrate was exposed to nitrogen (N2) for nine seconds prior to performing ALD cycles sufficient to deposit 8.8 Å of silicon oxide. Nitrogen was used as a carrier gas in each cycle during the oxidant exposure with plasma phase. The thickness of the silicon oxide film deposited was 8.6 Å. As shown in Table 1 below, films deposited using gases that were used in the second reactant phase with plasma of the ALD cycle achieved thicknesses closer to the thickness to be deposited as measured by the number of ALD cycles. Using a helium soak resulted in a film thickness sufficiently lower than desired.









TABLE 1







Thickness of Silicon


Oxide Films Deposited


with Soak Gases










Soak
Thickness



Chemistry
Deposited







Helium only
5.0 Å



Ar
8.7 Å



N2O/O2
8.7 Å



N2
8.6 Å










Experiment 2

An experiment was conducted to measure the wafer-to-wafer variation between films deposited using disclosed embodiments. One hundred substrates were deposited using the same sequence of ALD cycles in a four-station tool. The tool was indexed after sufficient cycles were deposited for each substrate. Each time a substrate was inserted into the tool, the tool introduced nitrogen (N2) as a soak gas for 60 seconds before resuming the ALD cycles. Each ALD cycle included exposure to N2, purging with N2 purge gas, exposure to nitrous oxide (N2O) and oxygen (O2) and a plasma, and purging with N2 purge gas. Each substrate was exposed to sufficient ALD cycles to ideally deposit 8.8 Å of silicon oxide.


One set of experimental data obtained for thickness of films deposited for various wafers is shown in FIG. 5. The line priming time for this set of experimental data was 7 seconds. The wafers shown in FIG. 5 yielded films with similar thickness at or around 8.8 Å, suggesting that disclosed embodiments can exhibit high consistency in repeatability.


CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A method of depositing a silicon-containing film on a substrate, the method comprising: providing the substrate;exposing the substrate to an aminosilane;exposing the substrate to a reactant to react with the aminosilane and form at least a portion of a silicon-containing film;alternating between exposing of the substrate to the aminosilane and the exposing of the substrate to the reactant in cycles until a desired thickness of the silicon-containing film is deposited; andprior to exposing the substrate to the aminosilane, exposing the substrate to a soak gas containing only one or more gases used when exposing the substrate to the reactant,wherein the exposing of the substrate to the aminosilane and exposing of the substrate to the reactant is performed at a temperature greater than about 400° C.
  • 2. The method of claim 1, wherein the aminosilane is selected from the group consisting of di(isopropylamido)silane and di(sec-butylamino)silane.
  • 3. The method of claim 1, wherein a plasma is ignited during the exposing of the substrate to the reactant.
  • 4. The method of claim 3, wherein the plasma is generated in a chamber housing the substrate.
  • 5. The method of claim 3, wherein the plasma is generated remotely.
  • 6. The method of claim 1, wherein the silicon-containing film comprises silicon oxide.
  • 7. The method of claim 1, wherein the silicon-containing film comprises oxygen-doped silicon carbide.
  • 8. The method of claim 1, wherein a chamber housing the substrate is purged between exposing the substrate to the aminosilane and exposing the substrate to the reactant.
Parent Case Info

This application is a continuation of U.S. patent application Ser. No. 16/457,635 filed on Jun. 28, 2019, which issued as U.S. Pat. No. 11,101,129; which is a continuation of U.S. patent application Ser. No. 14/664,545 filed on Mar. 20, 2015, which issued as U.S. Pat. No. 10,566,187.

US Referenced Citations (509)
Number Name Date Kind
4500563 Ellenberger et al. Feb 1985 A
5094984 Liu et al. Mar 1992 A
5223443 Chinn et al. Jun 1993 A
5230929 Caporiccio et al. Jul 1993 A
5318928 Gegenwart et al. Jun 1994 A
5344454 Clarke et al. Sep 1994 A
5496608 Matsuda et al. Mar 1996 A
5593914 Evans, Jr. et al. Jan 1997 A
5670432 Tsai Sep 1997 A
5856003 Chiu Jan 1999 A
5874368 Laxman et al. Feb 1999 A
5916365 Sherman Jun 1999 A
5932286 Beinglass et al. Aug 1999 A
6069058 Hong May 2000 A
6100202 Lin et al. Aug 2000 A
6156149 Cheung et al. Dec 2000 A
6218293 Kraus et al. Apr 2001 B1
6270572 Kim et al. Aug 2001 B1
6346741 Buskirk et al. Feb 2002 B1
6391803 Kim et al. May 2002 B1
6399221 Marks et al. Jun 2002 B1
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6465272 Davis, Jr. et al. Oct 2002 B1
6468924 Lee et al. Oct 2002 B2
6482726 Aminpur et al. Nov 2002 B1
6509601 Lee et al. Jan 2003 B1
6528430 Kwan et al. Mar 2003 B2
6541398 Grill et al. Apr 2003 B2
6551893 Zheng et al. Apr 2003 B1
6569501 Chiang et al. May 2003 B2
6576053 Kim et al. Jun 2003 B1
6576345 Van Cleemput et al. Jun 2003 B1
6602784 Sneh Aug 2003 B2
6632478 Gaillard et al. Oct 2003 B2
6645574 Lee et al. Nov 2003 B1
6689220 Nguyen Feb 2004 B1
6723595 Park Apr 2004 B2
6730614 Lim et al. May 2004 B1
6743738 Todd Jun 2004 B2
6756318 Nguyen et al. Jun 2004 B2
6765303 Krivokapic et al. Jul 2004 B1
6809421 Hayasaka et al. Oct 2004 B1
6828218 Kim et al. Dec 2004 B2
6835417 Saenger et al. Dec 2004 B2
6861356 Matsuse et al. Mar 2005 B2
6884466 Kaloyeros et al. Apr 2005 B2
6930058 Hill et al. Aug 2005 B2
6930060 Chou et al. Aug 2005 B2
6943092 Kim Sep 2005 B2
6962876 Ahn et al. Nov 2005 B2
6987240 Jennings et al. Jan 2006 B2
7001844 Chakravarti et al. Feb 2006 B2
7041335 Chung May 2006 B2
7077904 Cho et al. Jul 2006 B2
7081271 Chung et al. Jul 2006 B2
7084076 Park et al. Aug 2006 B2
7097886 Moghadam et al. Aug 2006 B2
7109129 Papasouliotis Sep 2006 B1
7115166 Vaartstra et al. Oct 2006 B2
7115528 Vaartstra et al. Oct 2006 B2
7122222 Xiao et al. Oct 2006 B2
7122464 Vaartstra Oct 2006 B2
7125815 Vaartstra Oct 2006 B2
7132353 Xia et al. Nov 2006 B1
7141278 Koh et al. Nov 2006 B2
7148155 Tarafdar et al. Dec 2006 B1
7151039 Lee et al. Dec 2006 B2
7172792 Wang et al. Feb 2007 B2
7176084 Lee et al. Feb 2007 B2
7205187 Leith et al. Apr 2007 B2
7223649 Oh et al. May 2007 B2
7235484 Nguyen et al. Jun 2007 B2
7241686 Marcadal et al. Jul 2007 B2
7244668 Kim Jul 2007 B2
7250083 Sneh Jul 2007 B2
7259050 Chen et al. Aug 2007 B2
7261919 Mehregany et al. Aug 2007 B2
7294582 Haverkort et al. Nov 2007 B2
7297641 Todd et al. Nov 2007 B2
7300885 Hasebe et al. Nov 2007 B2
7314835 Ishizaka et al. Jan 2008 B2
7341959 Brcka Mar 2008 B2
7351668 Chou et al. Apr 2008 B2
7361538 Luan et al. Apr 2008 B2
7361611 Chakravarti et al. Apr 2008 B2
7390743 Shin Jun 2008 B2
7393561 Paranjpe Jul 2008 B2
7399388 Moghadam et al. Jul 2008 B2
7419888 Yang et al. Sep 2008 B2
7435454 Brcka Oct 2008 B2
7435684 Lang et al. Oct 2008 B1
7462571 Hasebe et al. Dec 2008 B2
7482247 Papasouliotis et al. Jan 2009 B1
7488694 Kim et al. Feb 2009 B2
7507676 Chou et al. Mar 2009 B2
7510984 Saito et al. Mar 2009 B2
7521331 Park et al. Apr 2009 B2
7524762 Marcadal et al. Apr 2009 B2
7544615 Vaartstra Jun 2009 B2
7572052 Ravi et al. Aug 2009 B2
7592231 Cheng et al. Sep 2009 B2
7595010 Chakravarti et al. Sep 2009 B2
7601648 Chua et al. Oct 2009 B2
7615438 Ahn et al. Nov 2009 B2
7615449 Chung et al. Nov 2009 B2
7622369 Lee et al. Nov 2009 B1
7622383 Kim et al. Nov 2009 B2
7629267 Wan et al. Dec 2009 B2
7632757 Matsuura Dec 2009 B2
7633125 Lu et al. Dec 2009 B2
7638170 Li Dec 2009 B2
7645484 Ishizaka Jan 2010 B2
7651729 Kim et al. Jan 2010 B2
7651730 Hasebe Jan 2010 B2
7651953 Todd et al. Jan 2010 B2
7651959 Fukazawa et al. Jan 2010 B2
7682657 Sherman Mar 2010 B2
7687409 Ahn et al. Mar 2010 B2
7713592 Nguyen et al. May 2010 B2
7745346 Hausmann et al. Jun 2010 B2
7758920 Hasebe et al. Jul 2010 B2
7776733 Hasegawa Aug 2010 B2
7790633 Tarafdar et al. Sep 2010 B1
7825039 Takahashi et al. Nov 2010 B2
7863190 Papasouliotis et al. Jan 2011 B1
7906168 Hasebe et al. Mar 2011 B2
7919416 Lee et al. Apr 2011 B2
7923068 Dickey et al. Apr 2011 B2
7923378 Hasebe et al. Apr 2011 B2
7959985 Ishizaka et al. Jun 2011 B2
7964241 Hasebe et al. Jun 2011 B2
7964513 Todd et al. Jun 2011 B2
7972980 Lee et al. Jul 2011 B2
7981473 Kim et al. Jul 2011 B2
7989365 Park et al. Aug 2011 B2
8034673 Kadonaga et al. Oct 2011 B2
8080290 Hasebe et al. Dec 2011 B2
8101531 Li et al. Jan 2012 B1
8119424 Mather et al. Feb 2012 B2
8119544 Hasebe et al. Feb 2012 B2
8133797 Van Schravendijk et al. Mar 2012 B2
8178448 Nodera et al. May 2012 B2
8227032 Dussarrat et al. Jul 2012 B2
8257789 Matsunaga et al. Sep 2012 B2
8278224 Mui et al. Oct 2012 B1
8334218 Van Nooten et al. Dec 2012 B2
8338312 Sato et al. Dec 2012 B2
8357619 Hasebe et al. Jan 2013 B2
8366953 Kohno et al. Feb 2013 B2
8383525 Raisanen et al. Feb 2013 B2
8394466 Hong et al. Mar 2013 B2
8524612 Li et al. Sep 2013 B2
8592328 Hausmann et al. Nov 2013 B2
8633050 Pierreux Jan 2014 B2
8637411 Swaminathan et al. Jan 2014 B2
8647993 Lavoie et al. Feb 2014 B1
8669185 Onizawa et al. Mar 2014 B2
8728955 Lavoie et al. May 2014 B2
8728956 LaVoie et al. May 2014 B2
8829636 Ohchi et al. Sep 2014 B2
8846484 Lee et al. Sep 2014 B2
8956983 Swaminathan et al. Feb 2015 B2
8999859 Swaminathan et al. Apr 2015 B2
9023693 Lin et al. May 2015 B1
9076646 Sims et al. Jul 2015 B2
9214334 Swaminathan et al. Dec 2015 B2
9230800 Lavoie et al. Jan 2016 B2
9257274 Kang et al. Feb 2016 B2
9287113 Kang et al. Mar 2016 B2
9355839 Swaminathan et al. May 2016 B2
9355886 Swaminathan et al. May 2016 B2
9373500 Swaminathan et al. Jun 2016 B2
9406693 Pang et al. Aug 2016 B1
9502238 Danek et al. Nov 2016 B2
9564312 Henri et al. Feb 2017 B2
9570274 Swaminathan et al. Feb 2017 B2
9570290 Swaminathan et al. Feb 2017 B2
9611544 Lavoie et al. Apr 2017 B2
9627221 Zaitsu et al. Apr 2017 B1
9673041 Swaminathan et al. Jun 2017 B2
9685320 Kang et al. Jun 2017 B2
9704713 Guo et al. Jul 2017 B1
9773643 Singhal et al. Sep 2017 B1
9786570 Kang et al. Oct 2017 B2
9793110 Kang et al. Oct 2017 B2
9875891 Henri et al. Jan 2018 B2
9892917 Swaminathan et al. Feb 2018 B2
9997357 Arghavani et al. Jun 2018 B2
10008428 Kang et al. Jun 2018 B2
10037884 Ou et al. Jul 2018 B2
10043655 Swaminathan et al. Aug 2018 B2
10043657 Swaminathan et al. Aug 2018 B2
10062563 Kumar et al. Aug 2018 B2
10269559 Abel et al. Apr 2019 B2
10361076 Kang et al. Jul 2019 B2
10373806 Singhal et al. Aug 2019 B2
10559468 Arghavani et al. Feb 2020 B2
10566187 Qian Feb 2020 B2
10679848 Kumar et al. Jun 2020 B2
10741458 Kang et al. Aug 2020 B2
11011379 Arghavani et al. May 2021 B2
11101129 Qian Aug 2021 B2
20010041250 Werkhoven et al. Nov 2001 A1
20020066411 Chiang et al. Jun 2002 A1
20020076507 Chiang et al. Jun 2002 A1
20020153101 Nguyen et al. Oct 2002 A1
20020175393 Baum et al. Nov 2002 A1
20030008070 Seutter et al. Jan 2003 A1
20030024477 Okuda et al. Feb 2003 A1
20030143839 Raaijmakers et al. Jul 2003 A1
20030200917 Vaartstra Oct 2003 A1
20030216006 Li et al. Nov 2003 A1
20040033698 Lee et al. Feb 2004 A1
20040043633 Vaartstra Mar 2004 A1
20040053515 Comita et al. Mar 2004 A1
20040067308 Zheng et al. Apr 2004 A1
20040121164 Iacovangelo et al. Jun 2004 A1
20040129212 Gadgil et al. Jul 2004 A1
20040146644 Xiao et al. Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040157472 Sugino et al. Aug 2004 A1
20040171280 Conley, Jr. et al. Sep 2004 A1
20040219746 Vaartstra et al. Nov 2004 A1
20040219784 Kang et al. Nov 2004 A1
20040231799 Lee et al. Nov 2004 A1
20050005851 Keshner et al. Jan 2005 A1
20050009368 Vaartstra Jan 2005 A1
20050042865 Cabral, Jr. et al. Feb 2005 A1
20050079661 Cho et al. Apr 2005 A1
20050109276 Iyer et al. May 2005 A1
20050118837 Todd et al. Jun 2005 A1
20050142795 Ahn et al. Jun 2005 A1
20050158983 Hoshi et al. Jul 2005 A1
20050159017 Kim et al. Jul 2005 A1
20050181535 Yun et al. Aug 2005 A1
20050184397 Gates et al. Aug 2005 A1
20050196977 Saito et al. Sep 2005 A1
20050208718 Lim et al. Sep 2005 A1
20050230047 Collins et al. Oct 2005 A1
20050233553 Kountz et al. Oct 2005 A1
20050260347 Narwankar et al. Nov 2005 A1
20050276099 Horng et al. Dec 2005 A1
20050276930 Gates et al. Dec 2005 A1
20050282346 Barth et al. Dec 2005 A1
20050287775 Hasebe et al. Dec 2005 A1
20060003557 Cabral, Jr. et al. Jan 2006 A1
20060009041 Iyer et al. Jan 2006 A1
20060030148 Seutter et al. Feb 2006 A1
20060032442 Hasebe Feb 2006 A1
20060032443 Hasebe et al. Feb 2006 A1
20060084283 Paranjpe et al. Apr 2006 A1
20060088985 Haverkort et al. Apr 2006 A1
20060105106 Balseanu et al. May 2006 A1
20060165890 Kaushal et al. Jul 2006 A1
20060178019 Senzaki et al. Aug 2006 A1
20060183055 O'Neill et al. Aug 2006 A1
20060199357 Wan et al. Sep 2006 A1
20060216418 Matsuura Sep 2006 A1
20060228868 Ahn et al. Oct 2006 A1
20060244100 Ahn et al. Nov 2006 A1
20060286774 Singh et al. Dec 2006 A1
20060286776 Ranish et al. Dec 2006 A1
20060286818 Wang et al. Dec 2006 A1
20070010071 Matsuura Jan 2007 A1
20070026540 Nooten et al. Feb 2007 A1
20070032047 Hasebe et al. Feb 2007 A1
20070048455 Koh et al. Mar 2007 A1
20070065576 Singh et al. Mar 2007 A1
20070065578 McDougall Mar 2007 A1
20070087574 Gupta et al. Apr 2007 A1
20070087581 Singh et al. Apr 2007 A1
20070116887 Faguet May 2007 A1
20070119370 Ma et al. May 2007 A1
20070134942 Ahn et al. Jun 2007 A1
20070137572 Matsuura et al. Jun 2007 A1
20070145483 Ono Jun 2007 A1
20070163488 Tois et al. Jul 2007 A1
20070167028 Chou et al. Jul 2007 A1
20070215036 Park et al. Sep 2007 A1
20070218701 Shimizu et al. Sep 2007 A1
20070231487 Ishizaka Oct 2007 A1
20070232082 Balseanu et al. Oct 2007 A1
20070243693 Nemani et al. Oct 2007 A1
20070245959 Paterson et al. Oct 2007 A1
20070251444 Gros-Jean et al. Nov 2007 A1
20070259110 Mahajani et al. Nov 2007 A1
20070281495 Mallick et al. Dec 2007 A1
20070298585 Lubomirsky et al. Dec 2007 A1
20080014759 Chua et al. Jan 2008 A1
20080038936 Todd et al. Feb 2008 A1
20080063791 Hasebe et al. Mar 2008 A1
20080075881 Won et al. Mar 2008 A1
20080081470 Clark Apr 2008 A1
20080085610 Wang et al. Apr 2008 A1
20080087890 Ahn et al. Apr 2008 A1
20080113097 Mahajani May 2008 A1
20080119098 Palley et al. May 2008 A1
20080123394 Lee et al. May 2008 A1
20080131601 Kim et al. Jun 2008 A1
20080138996 Nishizuka Jun 2008 A1
20080139003 Pirzada et al. Jun 2008 A1
20080142483 Hua et al. Jun 2008 A1
20080207007 Thridandam et al. Aug 2008 A1
20080213479 Chou et al. Sep 2008 A1
20080233762 Hong Sep 2008 A1
20080242116 Clark Oct 2008 A1
20080260969 Dussarrat et al. Oct 2008 A1
20080274302 Hasebe et al. Nov 2008 A1
20080311760 Nodera et al. Dec 2008 A1
20080317972 Hendriks et al. Dec 2008 A1
20090001510 Matz et al. Jan 2009 A1
20090018668 Galbraith Jan 2009 A1
20090039349 Honda Feb 2009 A1
20090041952 Yoon et al. Feb 2009 A1
20090065896 Hwang Mar 2009 A1
20090075490 Dussarrat Mar 2009 A1
20090085095 Kamath et al. Apr 2009 A1
20090148625 Yeom et al. Jun 2009 A1
20090155606 Yoon et al. Jun 2009 A1
20090155968 Min et al. Jun 2009 A1
20090163012 Clark et al. Jun 2009 A1
20090191687 Hong et al. Jul 2009 A1
20090191722 Hasebe et al. Jul 2009 A1
20090203197 Hanawa et al. Aug 2009 A1
20090203227 Hasebe Aug 2009 A1
20090208880 Nemani et al. Aug 2009 A1
20090278224 Kim et al. Nov 2009 A1
20090286381 Van Schravendijk et al. Nov 2009 A1
20090286402 Xia et al. Nov 2009 A1
20100022078 Rockenberger et al. Jan 2010 A1
20100022099 Van Nooten et al. Jan 2010 A1
20100025824 Chen et al. Feb 2010 A1
20100048011 Yeh et al. Feb 2010 A1
20100051578 Chang et al. Mar 2010 A1
20100051579 Kobayashi Mar 2010 A1
20100078316 Edakawa et al. Apr 2010 A1
20100081293 Mallick et al. Apr 2010 A1
20100096687 Balseanu et al. Apr 2010 A1
20100096688 Balseanu et al. Apr 2010 A1
20100099236 Kwon et al. Apr 2010 A1
20100099271 Hausmann et al. Apr 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100120262 Vorsa et al. May 2010 A1
20100124618 Kobayashi et al. May 2010 A1
20100124621 Kobayashi et al. May 2010 A1
20100136260 Matsunaga et al. Jun 2010 A1
20100136313 Shimizu et al. Jun 2010 A1
20100144162 Lee et al. Jun 2010 A1
20100167555 Maula et al. Jul 2010 A1
20100190353 Nguyen et al. Jul 2010 A1
20100197129 Ishikawa Aug 2010 A1
20100216268 Katayama et al. Aug 2010 A1
20100221925 Lee et al. Sep 2010 A1
20100244114 Konno et al. Sep 2010 A1
20100255218 Oka et al. Oct 2010 A1
20100304047 Yang et al. Dec 2010 A1
20100304574 Nodera et al. Dec 2010 A1
20100310791 Shimazu et al. Dec 2010 A1
20110003445 Murata et al. Jan 2011 A1
20110008972 Damjanovic et al. Jan 2011 A1
20110014795 Lee et al. Jan 2011 A1
20110014796 Hayashi Jan 2011 A1
20110014798 Mallick et al. Jan 2011 A1
20110042744 Cheng et al. Feb 2011 A1
20110064969 Chen et al. Mar 2011 A1
20110086516 Lee et al. Apr 2011 A1
20110121354 Schmid et al. May 2011 A1
20110124187 Afzali-Ardakani et al. May 2011 A1
20110139176 Cheung et al. Jun 2011 A1
20110143548 Cheung et al. Jun 2011 A1
20110151142 Seamons et al. Jun 2011 A1
20110151246 Ramon Moreno et al. Jun 2011 A1
20110151674 Tang et al. Jun 2011 A1
20110151678 Ashtiani et al. Jun 2011 A1
20110159202 Matsushita et al. Jun 2011 A1
20110159673 Hanawa et al. Jun 2011 A1
20110171775 Yamamoto et al. Jul 2011 A1
20110176967 Okuda et al. Jul 2011 A1
20110198756 Thenappan et al. Aug 2011 A1
20110201210 Sato et al. Aug 2011 A1
20110215445 Yang et al. Sep 2011 A1
20110256726 LaVoie et al. Oct 2011 A1
20110256734 Hausmann et al. Oct 2011 A1
20110262642 Xiao Oct 2011 A1
20110298099 Lee et al. Dec 2011 A1
20110309475 Lee Dec 2011 A1
20120009802 LaVoie et al. Jan 2012 A1
20120009803 Jung et al. Jan 2012 A1
20120021252 Lee Jan 2012 A1
20120028454 Swaminathan et al. Feb 2012 A1
20120028469 Onizawa et al. Feb 2012 A1
20120058282 Hong et al. Mar 2012 A1
20120064682 Jang et al. Mar 2012 A1
20120074844 York et al. Mar 2012 A1
20120077349 Li et al. Mar 2012 A1
20120086048 Park et al. Apr 2012 A1
20120108079 Mahajani May 2012 A1
20120113672 Dubrow et al. May 2012 A1
20120128897 Xiao et al. May 2012 A1
20120149213 Nittala Jun 2012 A1
20120164846 Ha et al. Jun 2012 A1
20120193693 Kanaya Aug 2012 A1
20120213940 Mallick Aug 2012 A1
20120280200 Tada et al. Nov 2012 A1
20120282418 Chou et al. Nov 2012 A1
20120315394 Ito Dec 2012 A1
20130040447 Swaminathan et al. Feb 2013 A1
20130043512 Huang et al. Feb 2013 A1
20130058161 Yamanaka et al. Mar 2013 A1
20130058162 Yamanaka et al. Mar 2013 A1
20130065404 Weidman et al. Mar 2013 A1
20130071580 Weidman et al. Mar 2013 A1
20130084688 O'Meara et al. Apr 2013 A1
20130113073 Liu et al. May 2013 A1
20130115783 Kim et al. May 2013 A1
20130189854 Hausmann et al. Jul 2013 A1
20130196516 Lavoie et al. Aug 2013 A1
20130230987 Draeger Sep 2013 A1
20130252437 Sano et al. Sep 2013 A1
20130309415 Swaminathan et al. Nov 2013 A1
20130319329 Li et al. Dec 2013 A1
20130323923 Koehler et al. Dec 2013 A1
20130330935 Varadarajan Dec 2013 A1
20130344248 Clark Dec 2013 A1
20140030444 Swaminathan et al. Jan 2014 A1
20140051262 Lavoie et al. Feb 2014 A9
20140065842 Anthis et al. Mar 2014 A1
20140087066 Wang et al. Mar 2014 A1
20140106574 Kang et al. Apr 2014 A1
20140113457 Sims et al. Apr 2014 A1
20140120270 Tour et al. May 2014 A1
20140120737 Swaminathan et al. May 2014 A1
20140134827 Swaminathan et al. May 2014 A1
20140141542 Kang et al. May 2014 A1
20140141626 Hausmann et al. May 2014 A1
20140182619 Goto et al. Jul 2014 A1
20140199854 Chen Jul 2014 A1
20140209562 LaVoie et al. Jul 2014 A1
20140216337 Swaminathan et al. Aug 2014 A1
20140262038 Wang et al. Sep 2014 A1
20140264555 Ahn et al. Sep 2014 A1
20140273428 Shero et al. Sep 2014 A1
20140295084 Shirai et al. Oct 2014 A1
20140302686 Pan et al. Oct 2014 A1
20140356549 Varadarajan Dec 2014 A1
20150041867 Han Feb 2015 A1
20150093902 Huang et al. Apr 2015 A1
20150109814 Chen et al. Apr 2015 A1
20150118863 Rathod Apr 2015 A1
20150126042 Pasquale et al. May 2015 A1
20150147483 Fukazawa May 2015 A1
20150159271 Lee et al. Jun 2015 A1
20150170900 LaVoie Jun 2015 A1
20150206719 Swaminathan et al. Jul 2015 A1
20150235835 Swaminathan et al. Aug 2015 A1
20150243883 Swaminathan et al. Aug 2015 A1
20150249007 Xiao et al. Sep 2015 A1
20150249013 Arghavani et al. Sep 2015 A1
20150294905 Wu et al. Oct 2015 A1
20160020092 Kang et al. Jan 2016 A1
20160064211 Swaminathan et al. Mar 2016 A1
20160118246 Kang et al. Apr 2016 A1
20160148800 Henri et al. May 2016 A1
20160148806 Henri et al. May 2016 A1
20160155676 Kang et al. Jun 2016 A1
20160163539 Kang et al. Jun 2016 A9
20160163972 Swaminathan et al. Jun 2016 A1
20160240428 Tung et al. Aug 2016 A1
20160251756 Lansalot-Matras et al. Sep 2016 A1
20160276148 Qian et al. Sep 2016 A1
20160293398 Danek et al. Oct 2016 A1
20160293838 Swaminathan et al. Oct 2016 A1
20160322371 Yonemochi Nov 2016 A1
20160329206 Kumar et al. Nov 2016 A1
20160329423 Kawahara et al. Nov 2016 A1
20160336178 Swaminathan et al. Nov 2016 A1
20160340782 Chandrasekharan et al. Nov 2016 A1
20160365425 Chen et al. Dec 2016 A1
20160379826 Arghavani et al. Dec 2016 A9
20170009346 Kumar et al. Jan 2017 A1
20170092735 Hashemi et al. Mar 2017 A1
20170103891 Lee et al. Apr 2017 A1
20170110364 Song et al. Apr 2017 A1
20170110533 Huang et al. Apr 2017 A1
20170117134 Henri et al. Apr 2017 A1
20170117150 Liao et al. Apr 2017 A1
20170140926 Pore et al. May 2017 A1
20170148628 Swaminathan et al. May 2017 A1
20170170026 Hudson et al. Jun 2017 A1
20170226637 Lubomirsky et al. Aug 2017 A1
20170263450 Swaminathan et al. Sep 2017 A1
20170316988 Kang et al. Nov 2017 A1
20170323786 Kang et al. Nov 2017 A1
20180005801 Singhal et al. Jan 2018 A1
20180005814 Kumar et al. Jan 2018 A1
20180061628 Ou et al. Mar 2018 A1
20180127592 Lei et al. May 2018 A1
20180138028 Henri et al. May 2018 A1
20180247875 Kang et al. Aug 2018 A1
20180269061 Arghavani et al. Sep 2018 A1
20180323057 Kumar et al. Nov 2018 A1
20190080903 Abel et al. Mar 2019 A1
20190311897 Kang et al. Oct 2019 A1
20190378710 Qian et al. Dec 2019 A1
20190385820 Singhal et al. Dec 2019 A1
20190385850 Arghavani et al. Dec 2019 A1
20200152446 Qian et al. May 2020 A1
20220098727 Varadarajan Mar 2022 A1
Foreign Referenced Citations (146)
Number Date Country
1732288 Feb 2006 CN
1768158 May 2006 CN
1841676 Oct 2006 CN
1926668 Mar 2007 CN
101006195 Jul 2007 CN
101255548 Sep 2008 CN
101328578 Dec 2008 CN
101378007 Mar 2009 CN
101416293 Apr 2009 CN
101535524 Sep 2009 CN
101736326 Jun 2010 CN
101889331 Nov 2010 CN
102005462 Apr 2011 CN
102191479 Sep 2011 CN
102471885 May 2012 CN
102687249 Sep 2012 CN
102906304 Jan 2013 CN
103137864 Jun 2013 CN
103928396 Jul 2014 CN
105391427 Mar 2016 CN
105719954 Jun 2016 CN
112635563 Apr 2021 CN
10137088 Mar 2008 DE
0277766 Aug 1988 EP
0541212 May 1993 EP
1081754 Mar 2001 EP
1174527 May 2001 EP
1703552 Sep 2006 EP
2278046 Jan 2011 EP
S4843472 Jun 1973 JP
H0293071 Apr 1990 JP
H0311635 Jan 1991 JP
H05226279 Sep 1993 JP
H06177120 Jun 1994 JP
H09102494 Apr 1997 JP
H09219401 Aug 1997 JP
H1098032 Apr 1998 JP
H10189467 Jul 1998 JP
H11172439 Jun 1999 JP
2001274404 Oct 2001 JP
2001338922 Dec 2001 JP
2002009072 Jan 2002 JP
2002134497 May 2002 JP
2002164345 Jun 2002 JP
2002539640 Nov 2002 JP
2005210076 Aug 2005 JP
2005310927 Nov 2005 JP
2006060091 Mar 2006 JP
2006303431 Nov 2006 JP
2007165883 Jun 2007 JP
2007180362 Jul 2007 JP
2007189173 Jul 2007 JP
2007521658 Aug 2007 JP
2007287889 Nov 2007 JP
2007287890 Nov 2007 JP
2008500742 Jan 2008 JP
2008506262 Feb 2008 JP
2008060455 Mar 2008 JP
2008109093 May 2008 JP
2008517479 May 2008 JP
2008522405 Jun 2008 JP
2008182199 Aug 2008 JP
2008258591 Oct 2008 JP
2008294260 Dec 2008 JP
2008306093 Dec 2008 JP
2009065203 Mar 2009 JP
2009170823 Jul 2009 JP
4364320 Nov 2009 JP
2009540128 Nov 2009 JP
2010010497 Jan 2010 JP
2010043081 Feb 2010 JP
2010103484 May 2010 JP
2010118664 May 2010 JP
2010152136 Jul 2010 JP
2010183069 Aug 2010 JP
2010530127 Sep 2010 JP
2010245518 Oct 2010 JP
2010251654 Nov 2010 JP
2010283388 Dec 2010 JP
2010539730 Dec 2010 JP
2011023576 Feb 2011 JP
2011023655 Feb 2011 JP
2011054968 Mar 2011 JP
2011067744 Apr 2011 JP
2011187934 Sep 2011 JP
2012506640 Mar 2012 JP
2012199306 Oct 2012 JP
2013102130 May 2013 JP
2013166965 Aug 2013 JP
2013196822 Sep 2013 JP
2013225655 Oct 2013 JP
2013240042 Nov 2013 JP
2014532304 Dec 2014 JP
20010111448 Dec 2001 KR
100356473 Oct 2002 KR
20040001036 Jan 2004 KR
20050069986 Jul 2005 KR
20060056883 May 2006 KR
100721503 May 2007 KR
20070060104 Jun 2007 KR
100734748 Jul 2007 KR
20080052499 Jun 2008 KR
20090057665 Jun 2009 KR
20090080019 Jul 2009 KR
20090081396 Jul 2009 KR
20090116433 Nov 2009 KR
20090126318 Dec 2009 KR
20100133377 Dec 2010 KR
20110016916 Feb 2011 KR
20110082025 Jul 2011 KR
20110086090 Jul 2011 KR
20110132534 Dec 2011 KR
20110134521 Dec 2011 KR
20130032343 Apr 2013 KR
20130056608 May 2013 KR
20150025224 Mar 2015 KR
10-2019-0045649 May 2019 KR
188537 Apr 2013 SG
483103 Apr 2002 TW
200701341 Jan 2007 TW
200721306 Jun 2007 TW
201009942 Mar 2010 TW
201042706 Dec 2010 TW
201113934 Apr 2011 TW
201140695 Nov 2011 TW
201144475 Dec 2011 TW
WO-03051946 Jun 2003 WO
WO-2004032196 Apr 2004 WO
WO-2006014471 Feb 2006 WO
WO-2006018441 Feb 2006 WO
WO-2006026350 Mar 2006 WO
WO-2006104741 Oct 2006 WO
WO-2007043709 Apr 2007 WO
WO-2007118026 Oct 2007 WO
WO-2011087580 Jul 2011 WO
WO-2011087850 Jul 2011 WO
WO-2011130326 Oct 2011 WO
WO-2011130397 Oct 2011 WO
WO-2012040317 Mar 2012 WO
WO-2012048094 Apr 2012 WO
WO-2012087737 Jun 2012 WO
WO-2013032786 Mar 2013 WO
WO-2013043330 Mar 2013 WO
WO-2013065806 May 2013 WO
WO-2013095396 Jun 2013 WO
WO-2013112727 Aug 2013 WO
Non-Patent Literature Citations (274)
Entry
Won, Seok-Jun, et al., “Growth and electrical properties of silicon oxide grown by atomic layer deposition using Bis(ethyl-methyl-amino)silane and ozone”. J. Vac. Sci. Technol. A 30(1), 01A126 (2012) pp. 1-7.
O'Neill, Mark L., et al., “Impact of Aminosilane Precursor Structure on Silicon Oxides by Atomic Layer Deposition”. The Electrochemical Society Interface, Winter 2011, pp. 34-37.
Pena, Luis Fabian, et al., “Atomic Layer Deposition of Silicon Dioxide Using Aminosilanes Disec-butylaminosilane and Bis(tert-butylamino)silane with Ozone”. The Journal of Physical Chemistry C, 2016, 120, 10927-10935.
Huang, Liang, et al., “Design of efficient mono-aminosilane precursors for atomic layer deposition of SiO2 thin films”. RSC Advances, 2017, 7, 22672-22678.
Lee, Won-Jun, et al., “Characteristics of SiO2 Film Grown by Atomic Layer Deposition as the Gate Insulator of Low-Temperature Polysilicon Thin-Film Transistors”. Solid State Phenomena vols. 124-126 (2007) pp. 247-250.
Burton, B.B., et al., “SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy”. J. Phys. Chen. C2009, 113, 8249-8257.
Arl, D., et al., “SiO2 thin film growth through a pure atomic layer deposition technique at room temperature”. RSC Adv., 2020, 10, pp. 18073-18081.
Ashurbekova, et al., “Molecular layer deposition of hybrid siloxane thin films by ring opening of cyclic trisiloxane (V3D3) and azasilane”. Chem. Commun., 2020, 56, 8778-8781.
Cecchi et al., (2007) “Ultra-thin conformal pore-sealing of low-k materials by plasma-assisted ALD,” University of New Mexico, Albuquerque, NM, Sandia National Labs, Albuquerque, NM, 1 page.
Chabal et al. (2016) “Atomic Layer Deposition of Silicon Dioxide Using Aminosilanes Di-sec-butylaminosilane and Bis(tert-butylamino)silane with Ozone,” The Journal of Physical Chemistry C, ACS Publications, American Chemical Society, 120:10927-10935.
Chinese Fifth Office Action dated Jun. 30, 2020 issued in Application No. CN 201510091775.9.
Chinese First Office Action dated Apr. 11, 2016 issued in Application No. CN 201510086588.1.
Chinese First Office Action dated Feb. 22, 2016 issued in Application No. CN 201380006994.1.
Chinese First Office Action dated Jan. 20, 2020 issued in Application No. CN 201710347032.2.
Chinese First Office Action dated Jul. 10, 2020 issued in Application No. CN 201710839679.7.
Chinese First Office Action dated Jun. 2, 2015 issued in Application No. CN 201180045808.6.
Chinese First Office Action dated Mar. 30, 2018 issued in Application No. CN 201610206201.6.
Chinese First Office Action dated May 19, 2016 issued in Application No. CN 201310021460.8.
Chinese First Office Action dated May 27, 2017 issued in Application No. CN 201510091775.9.
Chinese First Office Action dated Nov. 19, 2015 issued in Application No. CN 201280046487.6.
Chinese First Office Action dated Nov. 28, 2016 issued in Application No. CN 201410521390.7.
Chinese First Office Action dated Nov. 6, 2015 issued in Application No. CN 201280053888.4.
Chinese First Office Action dated Oct. 8, 2018 issued in Application No. CN 201710522311.8.
Chinese Fourt Office Action dated May 16, 2018 issued in Application No. CN 201310021460.8.
Chinese Fourth Office Action dated Mar. 27, 2019 issued in Application No. CN 201510091775.9.
Chinese Notification of Reexamination dated Apr. 17, 2020 issued in Application No. CN 201310021460.8.
Chinese Second Office Action dated Apr. 13, 2017 issued in Application No. CN 201310021460.8.
Chinese Second Office Action dated Aug. 14, 2020 issued in Application No. CN 201710347032.2.
Chinese Second Office Action dated Aug. 16, 2016 issued in Application No. CN 201280053888.4.
Chinese Second Office Action dated Aug. 22, 2016 issued in Application No. CN 201280046487.6.
Chinese Second Office Action dated Feb. 2, 2016 issued in Application No. CN 201180045808.6.
Chinese Second Office Action dated Feb. 6, 2017 issued in Application No. CN 201380006994.1.
Chinese Second Office Action dated Jan. 24, 2019 issued in Application No. CN 201610206201.6.
Chinese Second Office Action dated Jun. 13, 2019 issued in Application No. CN 201710522311.8.
Chinese Second Office Action dated Mar. 20, 2017 issued in Application No. CN 201510086588.1.
Chinese Second Office Action dated Mar. 26, 2018 issued in Application No. CN 201510091775.9.
Chinese Third Office Action dated Dec. 22, 2017, issued in Application No. CN 201380006994.1.
Chinese Third Office Action dated Oct. 15, 2018 issued in Application No. CN 201510091775.9.
Chinese Third Office Action dated Oct. 17, 2017 issued in Application No. CN 201310021460.8.
Choi, Gyu-Jin et al., (2009) “Plasma-enhanced atomic layer deposition of TiO2 and Al-doped TiO2 films using N2O and O2 reactants,” Journal of the Electrochemical Society, 156(9):G138-G143.
Ek, Satu, et al., “Atomic Layer Deposition of a High-Density Aminopropylsiloxane Network on Silica through Sequential Reactions of y-Aminopropyltrialkoxysilanes and Water”. Langmuir 2003, 19, pp. 10601-10609.
Elam et al.,(2003) “Growth of ZnO/Al2O3 Alloy Films Using Atomic Layer Deposition Techniques,” Chemistry of Materials, 2003, vol. 15, No. 4, pp. 1020-1028. doi:10.1021/cm020607+.
European Examination Report dated Dec. 11, 2017 issued in Application No. EP 13 15 2046.
European Extended Search Report dated Apr. 14, 2014 issued in Application No. EP13152046.2.
Faraz et al., (2015) “Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?,” ECS Journal of Solid State Science and Technology, 4(6):N5023-N5032.
Final Office Action dated Nov. 18, 2020 issued in U.S. Appl. No. 16/457,635.
Hausmann et al., (2002) “Atomic Layer Deposition of Hafnium and Zirconium Oxides Using Metal Amide Precursors,” Chem. Mater. 14(10):4350-4358.
Hiller, D., et al., “Low temperature of silicon dioxide by thermal atomic layer deposition: Investigation of material properties”. Journal of Applied Physics 107, 064313 (2010), pp. 1-10.
International Preliminary Report on Patentability dated Mar. 26, 2020 issued in Application No. PCT/US2018/050049.
International Search Report and Written Opinion dated Feb. 25, 2019 issued in Application No. PCT/US2018/050049.
Japanese Decision of Refusal dated Dec. 5, 2017 issued in Application No. JP2014-554825.
Japanese Decision of Rejection dated Jan. 9, 2018 issued in Application No. JP 2013-007612.
Japanese Decision to Grant dated Sep. 10, 2018 issued in Application No. JP2013-230782.
Japanese First Office Action dated Dec. 18, 2018 issued in Application No. JP 2014-262248.
Japanese First Office Action dated May 29, 2019 issued in Application No. JP 2018-090402.
Japanese First Office Action dated Oct. 31, 2017 issued in Application No. JP 2013-230782.
Japanese Notice of Reason for Refusal dated Jul. 24, 2018 issued in Application No. JP 2017-159931.
Japanese Notification of Reasons for Rejection dated Jan. 10, 2017 issued in Application No. JP2014-554825.
Japanese Office Action dated Apr. 19, 2016 issued in Application No. JP 2015-21804.
Japanese Office Action dated Aug. 23, 2016 issued in Application No. JP 2014-531838.
Japanese Office Action dated Dec. 5, 2017 issued in Application No. JP 2013-231188.
Japanese Office Action dated Jan. 10, 2017 issued in Application No. JP 2013-007612.
Japanese Reason for Refusal dated Apr. 2, 2019 issued in Application No. JP 2013-007612.
Japanese Second Office Action dated Jun. 17, 2019 issued in Application No. JP 2017-159931.
Japanese Second Office Action dated May 22, 2018 issued in Application No. JP 2013-230782.
Japanese Second Office Action [Decision of Rejection] dated Dec. 4, 2018 issued in Application No. JP 2013-231188.
Japanese Second Office Action [Decision of Rejection] dated Jan. 14, 2020 issued in Application No. JP 2018-090402.
Japanese Third Office Action dated Mar. 10, 2020 issued in Application No. JP 2017-159931.
Kim, Dae Hyun, et al., “Thermal Atomic Layer Deposition of Device-Quality SiO2 Thin Films under 100 ° C Using an Aminodisilane Precursor”. Chem. Mater. 2019, 31, pp. 5502-5508.
Kim, H., et al., (2002) “The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties,” Mat. Res. Soc. Symp. Proc. 716:B8.5.1-B8.5.6.
King, Sean W., (Jul./Aug. 2011) “Plasma enhanced atomic layer deposition of SiNx:H and SiO2,” J. Vac. Sci. Technol. A29(4):041501-1 through 041501-9 (9 pages).
Ko, Myoung-Gyun, et al., “Characterization of ruthenium thin film deposited by rf-direct plasma atomic layer deposition,” 209th ECS Meeting, Abstract #50, p. 1 [Downloaded on Jun. 9, 2014].
Ko, Myoung-Gyun, et al., (Oct. 2008) “Correlation of Carbon Content with the Thermal Stability of Ruthenium Deposited by Using RF-Direct Plasma-Enhanced Atomic-Layer Deposition,” Journal of the Korean Physical Society, 53(4):2123-2128.
Korean Decision for Grant of Patent, dated Jul. 25, 2018, issued in Application No. KR 10-2013-7010291.
Korean Decision for Grant of Patent, dated May 17, 2019 issued in Application No. KR 10-2014-7008696.
Korean Decision from the Patent Tribunal of the KIPO (description) dated May 26, 2015 issued in Application No. KR 10-2012-0043797.
Korean Final Office Action dated Aug. 18, 2014 issued in Application No. KR 10-2012-0043797.
Korean Final Office Action dated Jun. 29, 2016, issued in Application No. KR 10-2015-0022610.
Korean First Office Action dated Aug. 19, 2019 issued in Application No. KR 10-2019-7012231.
Korean First Office Action dated Feb. 19, 2016, issued in Application No. KR 10-2015-0022610.
Korean First Office Action dated Jan. 15, 2020 issued in Application No. KR 10-2013-0135907.
Korean First Office Action dated Jan. 18, 2021 issued in Application No. KR 10-2020-0143459.
Korean First Office Action dated Jun. 28, 2019 issued in Application No. KR 10-2013-0056776.
Korean First Office Action dated May 14, 2020 issued in Application No. KR 10-2013-0135905.
Korean First Office Action dated May 27, 2020 issued in Application No. KR 10-2013-0126834.
Korean First Office Action dated Oct. 2, 2018 issued in Application No. KR 10-2014-7010949.
Korean First Office Action dated Oct. 31, 2017 issued in Application No. KR 10-2014-7008696.
Korean Notice of Provisional Rejection dated Dec. 6, 2013 issued in Application No. KR 10-2012-0043797.
Korean Office Action, dated Aug. 23, 2017, issued in Application No. KR 10-2017-7020548.
Korean Office Action, dated Feb. 7, 2017, issued in Application No. KR 10-2012-7004925.
Korean Office Action, dated May 23, 2017, issued in Application No. KR 10-2013-7010291.
Korean Office Action, dated Nov. 27, 2017, issued in Application No. KR 10-2013-7010291.
Korean Second Office Action dated Sep. 20, 2018 issued in Application No. KR 10-2014-7008696.
KR Office Action dated Dec. 7, 2021, in Application No. KR1020210140454 with English translation.
Lavareda et al., (2004) “Properties of a-Si:H TFTs using silicon carbonitride as dielectric,” Journal of Non-Crystalline Solids, 338-340:797-801.
Lee et al., (2005) “Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O,” School of Materials Science and Engineering, and Inter-university Semiconductor Research Center, Seoul National University, Microelectronic Engineering 80:158-161.
Lee, Jong Ju, (2005) “Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes,” Materials Letters, 59:615-617.
Li, Xingcun, et al., (2011) “Atomic Layer Deposition A1203 Thin Films in Magnetized Radio Frequency Plasma Source,” Physics Procedia 18:100-106.
Mallikarjunan, Anupama, et al., “Designing high performance precursors for atomic layer deposition of silicon oxide”. Journal of Vacuum Science & Technology A 33 (1 ), 01A 137 (2015), pp. 1-4. URL:https://doi .org/10.1116/1.4903275.
Man P.F. et al., (Feb. 11-15, 1996) “Elimination of Post-Release Adhesion in Microstructures Using Conformal Fluorocarbon Coatings,” MEMS '96 Proceedings, IEEE, pp. 55-60.
Nguyen, S.V. et al., (Jan./Mar. 1999) “Plasma-assist chemical vapor deposition of dielectric thin films for ULSI semiconductor circuits,” IBM J.Res.Develop. 43(1.2):5-38.
Notice of Allowance dated Apr. 19, 2021 issued in U.S. Appl. No. 16/457,635.
Notice of Allowance dated Feb. 7, 2020 issued in U.S. Appl. No. 16/034,022.
Notice of Allowance dated Jan. 15, 2021 issued in U.S. Appl. No. 16/556,122.
Office Action dated Aug. 7, 2020 issued in U.S. Appl. No. 16/457,635.
PCT International Preliminary Report on Patentability and Written Opinion dated Apr. 3, 2014, issued in Application No. PCT/US2012/052769.
PCT International Preliminary Report on Patentability and Written Opinion dated Apr. 4, 2013 issued in Application No. PCT/US2011/052537.
PCT International Preliminary Report on Patentability and Written Opinion dated Aug. 7, 2014, issued in Application No. PCT/US2013/022977.
PCT International Preliminary Report on Patentability and Written Opinion dated Mar. 13, 2014, issued in Application No. PCT/US2012/051740.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 26, 2012, issued in PCT/US2011/032303.
PCT International Preliminary Report on Patentability, dated Oct. 26, 2012, issued in Application No. PCT/US2011/032186.
PCT International Search Report and Written Opinion dated Dec. 18, 2012, issued in Application No. PCT/US2012/052769.
PCT International Search Report and Written Opinion, dated Feb. 20, 2012, issued in PCT/US2011/032303.
PCT International Search Report and Written Opinion dated Feb. 28, 2013, issued in Application No. PCT/US2012/051740.
PCT International Search Report and Written Opinion dated May 2, 2012 issued in Application No. PCT/US2011/052537.
PCT International Search Report and Written Opinion dated May 27, 2013, issued in Application No. PCT/US2013/022977.
PCT International Search Report and Written Opinion, dated Oct. 20, 2011, issued in Application No. PCT/US2011/032186.
PCT Invitation to Pay Additional Fees; Communication Re Partial International Search, dated Dec. 16, 2011, issued in Application No. PCT/US2011/032303.
Plasma Enhanced Atomic Layer Deposition (PEALD), Website: http://www.asm.com/index.php?option=com_content&task=view&id=19&Itemid=161 (2010), 1 page.
“PlasmaProTM NGP® 80 Range,” Oxford Instruments (2010), 8 pages.
Pritchett, Merry, (May 2004) “Adherence/Diffusion Barrier Layers for Copper Metallization: Amorphous Carbon:Silicon Polymerized Films,” Dissertation Prepared for the Degree of Doctor of Philosophy, University of Texas, 113pp.
Puurunen, Riikka L. (2005) “Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process,” Journal of Applied Physics, 97:121301-1-121301-52.
Singapore Eligibility to Grant w/Supplemental Examinatinon Report dated Apr. 23, 2019 issued in Application No. SG 10201408801Q.
Singapore Search Report and Written Opinion dated Mar. 14, 2019 issued in Application No. SG 10201807090Q.
Singapore Search Report and Written Opinion dated May 19, 2020 issued in Application No. SG 10201607194P.
Singapore Second Written Opinion dated Jan. 24, 2020 issued in Application No. SG 102018070900.
Singapore Supplementary Examination Report dated Aug. 11, 2016 issued in Application No. SG 11201404315R.
Singapore Supplementary Examination Report dated Jun. 1, 2016 issued in Application No. SG 11201400633R.
STREM brochure, “MOCVD, CVD &ALD Precursors”. Strem Chemicals, Inc., CVD Nov. 2018, pp. 1-70.
Taiwan Examination Report dated Jan. 11, 2017 issued in Application No. TW 102138326.
Taiwan Examination Report dated Jul. 13, 2017 issued in Application No. TW 102140721.
Taiwan Examination Report dated Mar. 29, 2017 issued in Application No. TW 102102054.
Taiwan First Office Action dated Jun. 26, 2018 issued in Application No. TW 103145386.
Taiwan First Office Action dated Mar. 14, 2018 issued in Application No. TW 106122777.
Taiwan First Office Action dated May 3, 2018 issued in Application No. TW 103133765.
Taiwan First Office Action dated Oct. 16, 2019 issued in Application No. TW 105109955.
Taiwan First Office Action dated Sep. 13, 2018, issued in Application No. TW 104104648.
Taiwan First Office Action dated Sep. 20, 2018 issued in Application No. TW 106140906.
Taiwan Notice of Allowance and Search Report dated Aug. 30, 2018 issued in Application No. TW 104104471.
Taiwan Notice of Allowance and Search Report dated Dec. 18, 2015 issued in Application No. TW 101134692.
Taiwan Notice of Allowance dated Jul. 2, 2020, issued in Application No. TW 108119661.
Taiwan Office Action and Search Report dated Jan. 27, 2016 issued in Application No. TW 101131556.
Taiwan Office Action and Search Report dated Jul. 20, 2016 issued in Application No. TW 102102879.
Taiwan Office Action and Search Report dated Nov. 9, 2016 issued in Application No. TW 101131556.
Taiwan Office Action dated Apr. 27, 2016 issued in Application No. TW 100113041.
Taiwan Office Action dated May 5, 2016 issued in Application No. TW 100134208.
Taiwan Office Action dated Oct. 19, 2017 issued in Application No. TW 105130207.
Taiwan Office Action dated Oct. 25, 2016 issued in Application No. TW 102117772.
Taiwan Rejection Decision dated Aug. 17, 2017 issued in Application No. TW 102117772.
Taiwan Second Office Action dated Dec. 14, 2018 issued in Application No. TW 106122777.
Taiwanese First Office Action dated Nov. 9, 2018 issued in Application No. TW 104122669.
Taiwanese First Office Action dated Sep. 14, 2018 issued in Application No. TW 104106165.
U.S. Examiner's Answer to Appeal Brief (filed May 22, 14) Before the Patent Trial and Appeal Board dated Aug. 14, 2014 issued U.S. Appl. No. 13/224,240.
U.S. Final Office Action dated Apr. 25, 2013 issued in U.S. Appl. No. 13/084,305.
U.S. Final Office Action dated Aug. 20, 2020 issued in U.S. Appl. No. 16/453,237.
U.S. Final Office Action dated Aug. 24, 2016 issued in U.S. Appl. No. 14/335,785.
U.S. Final Office Action dated Dec. 16, 2015 issued in U.S. Appl. No. 14/187,145.
U.S. Final Office Action dated Dec. 4, 2017 issued in U.S. Appl. No. 15/609,864.
U.S. Final Office Action dated Feb. 26, 2013 issued in U.S. Appl. No. 13/011,569.
U.S. Final Office Action dated Jan. 15, 2015 issued in U.S. Appl. No. 14/144,107.
U.S. Final Office Action dated Jan. 21, 2020 issued in U.S. Appl. No. 15/847,744.
U.S. Final Office Action dated Jun. 10, 2015 issued in U.S. Appl. No. 14/231,554.
U.S. Final Office Action dated Jun. 28, 2017 issued in U.S. Appl. No. 13/963,212.
U.S. Final Office Action dated Mar. 18, 2016 issued U.S. Appl. No. 14/607,997.
U.S. Final Office Action dated Nov. 1, 2016 issued in U.S. Appl. No. 14/194,549.
U.S. Final Office Action dated Nov. 16, 2018 issued in U.S. Appl. No. 15/683,397.
U.S. Final Office Action dated Nov. 22, 2013 issued U.S. Appl. No. 13/224,240.
U.S. Final Office Action dated Nov. 24, 2014 issued in U.S. Appl. No. 13/953,616.
U.S. Final Office Action dated Sep. 13, 2013 issued in U.S. Appl. No. 13/084,399.
U.S. Final Office Action dated Sep. 20, 2017 issued in U.S. Appl. No. 14/194,549.
U.S. Final Office Action dated Sep. 25, 2020 issued in U.S. Appl. No. 16/556,122.
U.S. Final Office Action dated Sep. 27, 2019 issued in U.S. Appl. No. 16/034,022.
U.S. Notice of Allowance [Corrected Notice of Allowability] dated Apr. 19, 2017 issued in U.S. Appl. No. 14/335,785.
U.S. Notice of Allowance [Corrected Notice of Allowability] dated Nov. 28, 2017 issued in U.S. Appl. No. 15/224,347.
U.S. Notice of Allowance dated Apr. 18, 2017 issued in U.S. Appl. No. 15/178,474.
U.S. Notice of Allowance dated Apr. 9, 2018 issued in U.S. Appl. No. 15/201,221.
U.S. Notice of Allowance dated Aug. 22, 2017 issued in U.S. Appl. No. 14/987,542.
U.S. Notice of Allowance dated Aug. 31, 2015 issued in U.S. Appl. No. 14/231,554.
U.S. Notice of Allowance dated Aug. 5, 2015 issued in U.S. Appl. No. 14/183,287.
U.S. Notice of Allowance dated Aug. 7, 2014 issued U.S. Appl. No. 14/133,239.
U.S. Notice of Allowance dated Dec. 5, 2018 issued in U.S. Appl. No. 15/703,917.
U.S. Notice of Allowance dated Feb. 10, 2017 issued in U.S. Appl. No. 15/178,474.
U.S. Notice of Allowance dated Feb. 11, 2016 issued in U.S. Appl. No. 14/061,587.
U.S. Notice of Allowance dated Feb. 12, 2016 issued in U.S. Appl. No. 14/074,596.
U.S. Notice of Allowance dated Feb. 14, 2018 issued in U.S. Appl. No. 14/194,549.
U.S. Notice of Allowance dated Feb. 22, 2017 issued in U.S. Appl. No. 14/335,785.
U.S. Notice of Allowance dated Feb. 25, 2016 issued in U.S. Appl. No. 14/187,145.
U.S. Notice of Allowance dated Jan. 15, 2014 issued in U.S. Appl. No. 13/084,399.
U.S. Notice of Allowance dated Jan. 29, 2018 issued in U.S. Appl. No. 15/650,662.
U.S. Notice of Allowance dated Jul. 15, 2016 issued in U.S. Appl. No. 14/678,736.
U.S. Notice of Allowance dated Jul. 26, 2013, issued U.S. Appl. No. 13/414,619.
U.S. Notice of Allowance dated Jun. 15, 2017 issued in U.S. Appl. No. 15/015,952.
U.S. Notice of Allowance dated Jun. 16, 2016 issued U.S. Appl. No. 14/607,997.
U.S. Notice of Allowance dated Jun. 19, 2013 issued U.S. Appl. No. 13/242,084.
U.S. Notice of Allowance dated Jun. 20, 2017 issued in U.S. Appl. No. 14/987,542.
U.S. Notice of Allowance dated Mar. 19, 2015 issued in U.S. Appl. No. 14/144,107.
U.S. Notice of Allowance dated Mar. 21, 2017 issued in U.S. Appl. No. 14/335,785.
U.S. Notice of Allowance dated Mar. 26, 2018 issued in U.S. Appl. No. 15/253,301.
U.S. Notice of Allowance dated Mar. 27, 2017 issued in U.S. Appl. No. 15/178,474.
U.S. Notice of Allowance dated Mar. 28, 2018 issued in U.S. Appl. No. 15/426,889.
U.S. Notice of Allowance dated Mar. 28, 2019 issued in U.S. Appl. No. 15/683,397.
U.S. Notice of Allowance dated Mar. 30, 2020 issued in U.S. Appl. No. 15/965,628.
U.S. Notice of Allowance dated Mar. 7, 2019 issued in U.S. Appl. No. 15/654,186.
U.S. Notice of Allowance dated Mar. 9, 2018 issued in U.S. Appl. No. 15/609,864.
U.S. Notice of Allowance dated May 28, 2020 issued in U.S. Appl. No. 15/847,744.
U.S. Notice of Allowance dated May 6, 2013 issued in U.S. Appl. No. 13/011,569.
U.S. Notice of Allowance dated Nov. 17, 2016 issued U.S. Appl. No. 13/224,240.
U.S. Notice of Allowance dated Nov. 19, 2014 issued in U.S. Appl. No. 13/607,386.
U.S. Notice of Allowance dated Nov. 20, 2015 issued in U.S. Appl. No. 14/074,617.
U.S. Notice of Allowance dated Nov. 26, 2014 issued U.S. Appl. No. 14/133,239.
U.S. Notice of Allowance dated Nov. 4, 2016 issued in U.S. Appl. No. 14/335,785.
U.S. Notice of Allowance dated Oct. 1, 2015 issued in U.S. Appl. No. 14/137,860.
U.S. Notice of Allowance dated Oct. 13, 2016 issued in U.S. Appl. No. 15/019,904.
U.S. Notice of Allowance dated Oct. 3, 2019 issued in U.S. Appl. No. 15/976,793.
U.S. Notice of Allowance dated Oct. 4, 2013 issued U.S. Appl. No. 13/472,282.
U.S. Notice of Allowance dated Oct. 4, 2017 issued in U.S. Appl. No. 15/224,347.
U.S. Notice of Allowance dated Oct. 8, 2014 issued in U.S. Appl. No. 13/607,386.
U.S. Notice of Allowance dated Sep. 19, 2013 issued U.S. Appl. No. 13/242,084.
U.S. Notice of Allowance dated Sep. 26, 2016 issued in U.S. Appl. No. 14/552,011.
U.S. Notice of Allowance dated Sep. 27, 2016 issued U.S. Appl. No. 14/607,997.
U.S. Notice of Allowance dated Sep. 28, 2017 issued in U.S. Appl. No. 15/399,637.
U.S. Notice of Allowance dated Sep. 30, 2011 issued in U.S. Appl. No. 12/889,132.
U.S. Notice of Allowance (Supplemental Notice of Allowability) dated Feb. 21, 2017 issued U.S. Appl. No. 13/224,240.
U.S. Notice of Allowance [Supplemental Notice of Allowability] dated Mar. 1, 2016 issued in U.S. Appl. No. 14/061,587.
U.S. Notice of Allowance [Supplemental Notice of Allowability] dated Oct. 22, 2015 issued in U.S. Appl. No. 14/137,860.
U.S. Office Action dated Apr. 1, 2020 issued in U.S. Appl. No. 16/556,122.
U.S. Office Action dated Apr. 13, 2015 issued in U.S. Appl. No. 14/335,785.
U.S. Office Action dated Apr. 19, 2017 issued in U.S. Appl. No. 14/194,549.
U.S. Office Action dated Apr. 29, 2013 issued U.S. Appl. No. 13/224,240.
U.S. Office Action dated Apr. 4, 2013 issued U.S. Appl. No. 13/242,084.
U.S. Office Action dated Apr. 13, 2011 issued in U.S. Appl. No. 12/889,132.
U.S. Office Action dated Aug. 1, 2012 issued in U.S. Appl. No. 13/011,569.
U.S. Office Action dated Aug. 1, 2016 issued in U.S. Appl. No. 14/932,869.
U.S. Office Action dated Aug. 14, 2015 issued in U.S. Appl. No. 14/061,587.
U.S. Office Action dated Aug. 18, 2017 issued in U.S. Appl. No. 15/201,221.
U.S. Office Action dated Aug. 22, 2017 issued in U.S. Appl. No. 15/609,864.
U.S. Office Action dated Dec. 11, 2014 issued in U.S. Appl. No. 14/074,596.
U.S. Office Action dated Dec. 24, 2015 issued in U.S. Appl. No. 14/074,596.
U.S. Office Action dated Dec. 30, 2016 issued in U.S. Appl. No. 15/015,952.
U.S. Office Action dated Feb. 14, 2020 issued in U.S. Appl. No. 16/453,237.
U.S. Office Action dated Feb. 3, 2017 issued in U.S. Appl. No. 14/987,542.
U.S. Office Action dated Jan. 12, 2017 issued in U.S. Appl. No. 13/963,212.
U.S. Office Action dated Jan. 2, 2015 issued in U.S. Appl. No. 14/231,554.
U.S. Office Action dated Jan. 24, 2018 issued in U.S. Appl. No. 13/963,212.
U.S. Office Action dated Jan. 26, 2018 issued in U.S. Appl. No. 15/683,397.
U.S. Office Action dated Jul. 1, 2016 issued in U.S. Appl. No. 13/963,212.
U.S. Office Action dated Jul. 10, 2014 issued in U.S. Appl. No. 14/144,107.
U.S. Office Action dated Jul. 18, 2018 issued in U.S. Appl. No. 15/703,917.
U.S. Office Action dated Jul. 2, 2015 issued in U.S. Appl. No. 14/187,145.
U.S. Office Action dated Jul. 30, 2019 issued in U.S. Appl. No. 15/847,744.
U.S. Office Action dated Jun. 13, 2014 issued in U.S. Appl. No. 13/953,616.
U.S. Office Action dated Jun. 14, 2016 issued in U.S. Appl. No. 15/019,904.
U.S. Office Action dated Jun. 7, 2013 issued U.S. Appl. No. 13/414,619.
U.S. Office Action dated Jun. 9, 2017 issued in U.S. Appl. No. 15/224,347.
U.S. Office Action dated Mar. 15, 2013 issued in U.S. Appl. No. 13/084,399.
U.S. Office Action dated Mar. 2, 2015 issued in U.S. Appl. No. 14/137,860.
U.S. Office Action dated Mar. 21, 2019 issued in U.S. Appl. No. 15/976,793.
U.S. Office Action dated Mar. 21, 2019 issued in U.S. Appl. No. 16/034,022.
U.S. Office Action dated May 15, 2015 issued in U.S. Appl. No. 14/074,617.
U.S. Office Action dated May 21, 2014 issued in U.S. Appl. No. 13/607,386.
U.S. Office Action dated May 24, 2013 issued U.S. Appl. No. 13/472,282.
U.S. Office Action dated May 25, 2016 issued in U.S. Appl. No. 14/552,011.
U.S. Office Action dated Nov. 25, 2016 issued in U.S. Appl. No. 15/178,474.
U.S. Office Action dated Nov. 9, 2018 issued in U.S. Appl. No. 15/654,186.
U.S. Office Action dated Oct. 1, 2019 issued in U.S. Appl. No. 15/965,628.
U.S. Office Action dated Oct. 21, 2015 issued in U.S. Appl. No. 14/194,549.
U.S. Office Action dated Oct. 6, 2017 issued in U.S. Appl. No. 15/253,301.
U.S. Office Action dated Sep. 14, 2012 issued in U.S. Appl. No. 13/084,305.
U.S. Office Action dated Sep. 21, 2015 issued U.S. Appl. No. 14/607,997.
U.S. Office Action dated Sep. 26, 2017 issued in U.S. Appl. No. 15/426,889.
U.S. Appl. No. 16/036,784, inventors Ou et al., filed Jul. 16, 2018.
U.S. Patent Board Decision on Appeal Before the Patent Trial and Appeal Board (Examiner Affirmed) dated Aug. 11, 2016 issued U.S. Appl. No. 13/224,240.
KR Office Action dated Oct. 5, 2022, in Application No. KR10-2021-0140454 with English translation.
KR Office Action dated Jan. 20, 2023 in Application No. KR10-2021-0174878 with English translation.
Related Publications (1)
Number Date Country
20210343520 A1 Nov 2021 US
Continuations (2)
Number Date Country
Parent 16457635 Jun 2019 US
Child 17305938 US
Parent 14664545 Mar 2015 US
Child 16457635 US