Advanced transistors with punch through suppression

Information

  • Patent Grant
  • 9508800
  • Patent Number
    9,508,800
  • Date Filed
    Tuesday, December 22, 2015
    8 years ago
  • Date Issued
    Tuesday, November 29, 2016
    7 years ago
Abstract
An advanced transistor with punch through suppression includes a gate with length Lg, a well doped to have a first concentration of a dopant, and a screening region positioned under the gate and having a second concentration of dopant. The second concentration of dopant may be greater than 5×1018 dopant atoms per cm3. At least one punch through suppression region is disposed under the gate between the screening region and the well. The punch through suppression region has a third concentration of a dopant intermediate between the first concentration and the second concentration of dopant. A bias voltage may be applied to the well region to adjust a threshold voltage of the transistor.
Description
TECHNICAL FIELD OF THE INVENTION

This disclosure relates to structures and processes for forming advanced transistors with improved operational characteristics, including enhanced punch through suppression.


BACKGROUND OF THE INVENTION

Fitting more transistors onto a single die is desirable to reduce cost of electronics and improve their functional capability. A common strategy employed by semiconductor manufacturers is to simply reduce gate size of a field effect transistor (FET), and proportionally shrink area of the transistor source, drain, and required interconnects between transistors. However, a simple proportional shrink is not always possible because of what are known as “short channel effects”. Short channel effects are particularly acute when channel length under a transistor gate is comparable in magnitude to depletion depth of an operating transistor, and include reduction in threshold voltage, severe surface scattering, drain induced barrier lowering (DIBL), source-drain punch through, and electron mobility issues.


Conventional solutions to mitigate some short channel effects can involve implantation of pocket or halo implants around the source and the drain. Halo implants can be symmetrical or asymmetrical with respect to a transistor source and drain, and typically provide a smoother dopant gradient between a transistor well and the source and drains. Unfortunately, while such implants improve some electrical characteristics such as threshold voltage rolloff and drain induced barrier lowering, the resultant increased channel doping adversely affects electron mobility, primarily because of the increased dopant scattering in the channel.


Many semiconductor manufacturers have attempted to reduce short channel effects by employing new transistor types, including fully or partially depleted silicon on insulator (SOI) transistors. SOI transistors are built on a thin layer of silicon that overlies an insulator layer, have an undoped or low doped channel that minimizes short channel effects, and do not require either deep well implants or halo implants for operation. Unfortunately, creating a suitable insulator layer is expensive and difficult to accomplish. Early SOI devices were built on insulative sapphire wafers instead of silicon wafers, and are typically only used in specialty applications (e.g. military avionics or satellite) because of the high costs. Modem SOI technology can use silicon wafers, but require expensive and time consuming additional wafer processing steps to make an insulative silicon oxide layer that extends across the entire wafer below a surface layer of device-quality single-crystal silicon.


One common approach to making such a silicon oxide layer on a silicon wafer requires high dose ion implantation of oxygen and high temperature annealing to form a buried oxide (BOX) layer in a bulk silicon wafer. Alternatively, SOI wafers can be fabricated by bonding a silicon wafer to another silicon wafer (a “handle” wafer) that has an oxide layer on its surface. The pair of wafers are split apart, using a process that leaves a thin transistor quality layer of single crystal silicon on top of the BOX layer on the handle wafer. This is called the “layer transfer” technique, because it transfers a thin layer of silicon onto a thermally grown oxide layer of the handle wafer.


As would be expected, both BOX formation or layer transfer are costly manufacturing techniques with a relatively high failure rate. Accordingly, manufacture of SOI transistors not an economically attractive solution for many leading manufacturers. When cost of transistor redesign to cope with “floating body” effects, the need to develop new SOI specific transistor processes, and other circuit changes is added to SOI wafer costs, it is clear that other solutions are needed.


Another possible advanced transistor that has been investigated uses multiple gate transistors that, like SOI transistors, minimize short channel effects by having little or no doping in the channel. Commonly known as a finFET (due to a fin-like shaped channel partially surrounded by gates), use of finFET transistors has been proposed for transistors having 28 nanometer or lower transistor gate size. But again, like SOI transistors, while moving to a radically new transistor architecture solves some short channel effect issues, it creates others, requiring even more significant transistor layout redesign than SOI. Considering the likely need for complex non-planar transistor manufacturing techniques to make a finFET, and the unknown difficulty in creating a new process flow for finFET, manufacturers have been reluctant to invest in semiconductor fabrication facilities capable of making finFETs.





BRIEF DESCRIPTION OF THE DRAWINGS

A more complete understanding of embodiments of the invention will be apparent from the detailed description taken in conjunction with the accompanying drawings wherein like reference numerals represent like parts, in which:



FIG. 1 illustrates a DDC transistor with a punch through suppression;



FIG. 2 illustrates a dopant profile of a DDC transistor with enhanced punch through suppression;



FIGS. 3-7 illustrate alternative useful dopant profiles; and



FIG. 8 is a flow diagram illustrating one exemplary process for forming a DDC transistor with a punch through suppression.





DETAILED DESCRIPTION OF THE INVENTION

Unlike silicon on insulator (SOI) transistors, nanoscale bulk CMOS transistors (those typically having a gate length less than 100 nanometers) are subject to significant adverse short channel effects, including body leakage through both drain induced barrier lowering (DIBL) and source drain punch through. Punch through is associated with the merging of source and drain depletion layers, causing the drain depletion layer to extend across a doped substrate and reach the source depletion layer, creating a conduction path or leakage current between the source and drain. This results in a substantial increase in required transistor electrical power, along with a consequent increase in transistor heat output and decrease in operational lifetime for portable or battery powered devices using such transistors.


An improved transistor manufacturable on bulk CMOS substrates is seen in FIG. 1. A Field Effect Transistor (FET) 100 is configured to have greatly reduced short channel effects, along with enhanced punch through suppression according to certain described embodiments. The FET 100 includes a gate electrode 102, source 104, drain 106, and a gate dielectric 108 positioned over a channel 110. In operation, the channel 110 is deeply depleted, forming what can be described as deeply depleted channel (DDC) as compared to conventional transistors, with depletion depth set in part by a highly doped screening region 112. While the channel 110 is substantially undoped, and positioned as illustrated above a highly doped screening region 112, it may include simple or complex layering with different dopant concentrations. This doped layering can include a threshold voltage set region 111 with a dopant concentration less than screening region 112, optionally positioned between the gate dielectric 108 and the screening region 112 in the channel 110. A threshold voltage set region 111 permits small adjustments in operational threshold voltage of the FET 100, while leaving the bulk of the channel 110 substantially undoped. In particular, that portion of the channel 110 adjacent to the gate dielectric 108 should remain undoped. Additionally, a punch through suppression region 113 is formed beneath the screening region 112. Like the threshold voltage set region 111, the punch through suppression region 113 has a dopant concentration less than screening region 112, while being higher than the overall dopant concentration of a lightly doped well substrate 114.


In operation, a bias voltage 122 VBS may be applied to source 104 to further modify operational threshold voltage, and P+ terminal 126 can be connected to P-well 114 at connection 124 to close the circuit. The gate stack includes a gate electrode 102, gate contact 118 and a gate dielectric 108. Gate spacers 130 are included to separate the gate from the source and drain, and optional Source/Drain Extensions (SDE) 132, or “tips” extend the source and drain under the gate spacers and gate dielectric 108, somewhat reducing the gate length and improving electrical characteristics of FET 100.


In this exemplary embodiment, the FET 100 is shown as an N-channel transistor having a source and drain made of N-type dopant material, formed upon a substrate as P-type doped silicon substrate providing a P-well 114 formed on a substrate 116. However, it will be understood that, with appropriate change to substrate or dopant material, a nonsilicon P-type semiconductor transistor formed from other suitable substrates such as Gallium Arsenide based materials may be substituted. The source 104 and drain 106 can be formed using conventional dopant implant processes and materials, and may include, for example, modifications such as stress inducing source/drain structures, raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (low doped drain) techniques. Various other techniques to modify source/drain operational characteristics can also be used, including, in certain embodiments, use of heterogeneous dopant materials as compensation dopants to modify electrical characteristics.


The gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof. In certain embodiments the gate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy. Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride. Formation of the gate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods. Typically, the gate electrode 102 has an overall thickness from about 1 to about 500 nanometers.


The gate dielectric 108 may include conventional dielectric materials such as oxides, nitrides and oxynitrides. Alternatively, the gate dielectric 108 may include generally higher dielectric constant dielectric materials including, but not limited to hafnium oxides, hafnium silicates, zirconium oxides, lanthanum oxides, titanium oxides, barium-strontium-titanates and lead-zirconate-titanates, metal based dielectric materials, and other materials having dielectric properties. Preferred hafnium-containing oxides include HfO2, HfZrOx, HfSiOx, HfTiOx, HfAlOx, and the like. Depending on composition and available deposition processing equipment, the gate dielectric 108 may be formed by such methods as thermal or plasma oxidation, nitridation methods, chemical vapor deposition methods (including atomic layer deposition methods) and physical vapor deposition methods. In some embodiments, multiple or composite layers, laminates, and compositional mixtures of dielectric materials can be used. For example, a gate dielectric can be formed from a SiO2-based insulator having a thickness between about 0.3 and 1 nm and the hafnium oxide based insulator having a thickness between 0.5 and 4 nm. Typically, the gate dielectric has an overall thickness from about 0.5 to about 5 nanometers.


The channel region 110 is formed below the gate dielectric 108 and above the highly doped screening region 112. The channel region 110 also contacts and extends between, the source 104 and the drain 106. Preferably, the channel region includes substantially undoped silicon having a dopant concentration less than 5×1017 dopant atoms per cm3 adjacent or near the gate dielectric 108. Channel thickness can typically range from 5 to 50 nanometers. In certain embodiments the channel region 110 is formed by epitaxial growth of pure or substantially pure silicon on the screening region.


As disclosed, the threshold voltage set region 111 is positioned under the gate dielectric 108, spaced therefrom, and above screening region 112, and is typically formed as a thin doped layer. Suitably varying dopant concentration, thickness, and separation from the gate dielectric and the screening region allows for controlled slight adjustments of threshold voltage in the operating FET 100. In certain embodiments, the threshold voltage set region 111 is doped to have a concentration between about 1×1018 dopant atoms per cm3 and about 1×1019 dopant atoms per cm3. The threshold voltage set region 111 can be formed by several different processes, including 1) in-situ epitaxial doping, 2) epitaxial growth of a thin layer of silicon followed by a tightly controlled dopant implant, 3) epitaxial growth of a thin layer of silicon followed by dopant diffusion of atoms from the screening region 112, or 4) by any combination of these processes (e.g. epitaxial growth of silicon followed by both dopant implant and diffusion from the screening layer 112).


Position of a highly doped screening region 112 typically sets depth of the depletion zone of an operating FET 100. Advantageously, the screening region 112 (and associated depletion depth) are set at a depth that ranges from one comparable to the gate length (Lg/1) to a depth that is a large fraction of the gate length (Lg/5). In preferred embodiments, the typical range is between Lg/3 to Lg/1.5. Devices having an Lg/2 or greater are preferred for extremely low power operation, while digital or analog devices operating at higher voltages can often be formed with a screening region between Lg/5 and Lg/2. For example, a transistor having a gate length of 32 nanometers could be formed to have a screening region that has a peak dopant density at a depth below the gate dielectric of about 16 nanometers (Lg/2), along with a threshold voltage set region at peak dopant density at a depth of 8 nanometers (Lg/4).


In certain embodiments, the screening region 112 is doped to have a concentration between about 5×1018 dopant atoms per cm3 and about 1×1020 dopant atoms per cm3, significantly more than the dopant concentration of the undoped channel, and at least slightly greater than the dopant concentration of the optional threshold voltage set region 111. As will be appreciated, exact dopant concentrations and screening region depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions.


To help control leakage, the punch through suppression region 113 is formed beneath the screening region 112. Typically, the punch through suppression region 113 is formed by direct implant into a lightly doped well, but it may be formed by out-diffusion from the screening region, in-situ growth, or other known process. Like the threshold voltage set region 111, the punch through suppression region 113 has a dopant concentration less than the screening region 112, typically set between about 1×1018 dopant atoms per cm3 and about 1×1019 dopant atoms per cm3. In addition, the punch through suppression region 113 dopant concentration is set higher than the overall dopant concentration of the well substrate. As will be appreciated, exact dopant concentrations and depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions.


Forming such a FET 100 is relatively simple compared to SOI or finFET transistors, since well developed and long used planar CMOS processing techniques can be readily adapted.


Together, the structures and the methods of making the structures allow for FET transistors having both a low operating voltage and a low threshold voltage as compared to conventional nanoscale devices. Furthermore, DDC transistors can be configured to allow for the threshold voltage to be statically set with the aid of a voltage body bias generator. In some embodiments the threshold voltage can even be dynamically controlled, allowing the transistor leakage currents to be greatly reduced (by setting the voltage bias to upwardly adjust the VT for low leakage, low speed operation), or increased (by downwardly adjusting the VT for high leakage, high speed operation). Ultimately, these structures and the methods of making structures provide for designing integrated circuits having FET devices that can be dynamically adjusted while the circuit is in operation. Thus, transistors in an integrated circuit can be designed with nominally identical structure, and can be controlled, modulated or programmed to operate at different operating voltages in response to different bias voltages, or to operate in different operating modes in response to different bias voltages and operating voltages. In addition, these can be configured post-fabrication for different applications within a circuit.


As will be appreciated, concentrations of atoms implanted or otherwise present in a substrate or crystalline layers of a semiconductor to modify physical and electrical characteristics of a semiconductor are be described in terms of physical and functional regions or layers. These may be understood by those skilled in the art as three-dimensional masses of material that have particular averages of concentrations. Or, they may be understood as sub-regions or sub-layers with different or spatially varying concentrations. They may also exist as small groups of dopant atoms, regions of substantially similar dopant atoms or the like, or other physical embodiments. Descriptions of the regions based on these properties are not intended to limit the shape, exact location or orientation. They are also not intended to limit these regions or layers to any particular type or number of process steps, type or numbers of layers (e.g., composite or unitary), semiconductor deposition, etch techniques, or growth techniques utilized. These processes may include epitaxially formed regions or atomic layer deposition, dopant implant methodologies or particular vertical or lateral dopant profiles, including linear, monotonically increasing, retrograde, or other suitable spatially varying dopant concentration. To ensure that desired dopant concentrations are maintained, various dopant anti-migration techniques are contemplated, including low temperature processing, carbon doping, in-situ dopant deposition, and advanced flash or other annealing techniques. The resultant dopant profile may have one or more regions or layers with different dopant concentrations, and the variations in concentrations and how the regions or layers are defined, regardless of process, mayor may not be detectable via techniques including infrared spectroscopy, Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.


To better appreciate one possible transistor structure, FIG. 2 illustrates a dopant profile 202 of a deeply depleted transistor taken at midline between a source and drain, and extending downward from a gate dielectric toward a well. Concentration is measured in number of dopant atoms per cubic centimeter, and downward depth is measured as a ratio of gate length Lg. Measuring as a ratio rather than absolute depth in nanometers better allows cross comparison between transistors manufactured at different nodes (e.g. 45 nm, 32 nm, 22 nm, or 15 nm) where nodes are commonly defined in term of minimum gate lengths.


As seen in FIG. 2, the region of the channel 210 adjacent to the gate dielectric is substantially free of dopants, having less than 5×1017 dopant atoms per cm3 to a depth of nearly Lg/4. A threshold voltage set region 211 increases the dopant concentration to about 3×1018 dopant atoms per cm3, and the concentration increases another order of magnitude above 3×1018 dopant atoms per cm3 to form the screening region 212 that sets the base of the depletion zone in an operating transistor. A punch through suppression region 213 having a dopant concentration of about 1×1019 dopant atoms per cm3 at a depth of about Lg/1 is intermediate between the screening region and the lightly doped well 214. Without the punch through suppression region, a transistor constructed to have, for example, a 30 nm gate length and an operating voltage of 1.0 volts would be expected to have significantly greater leakage. When the disclosed punch through suppression region is implanted, punch through leakage is reduced, making the transistor more power efficient, and better able to tolerate process variations in transistor structure without punch through failure.


This is better seen with respect to the following Table 1, which indicates expected performance improvements for a range of punch through dosage and threshold voltage:












TABLE 1






Ioff (nA/um)
Idsat (mA/um)
Vt (V)


















Target Punchthrough layer
2
0.89
0.31


No Punchthrough layer
70
1
0.199


Higher Dose Punchthrough
0.9
0.54
0.488


Very deep Punchthrough
15
1
0.237









Alternative dopant profiles are contemplated. As seen in FIG. 3, an alternative dopant profile 203 that includes a slightly increased depth for the low doped channel is shown. In contrast to the embodiments of FIG. 2, the threshold voltage set region 211 is a shallow notch primarily formed by out-diffusion into an epitaxially deposited layer of silicon from the screening region 212. The screening region 212 itself is set to have a dopant concentration greater than 3×1019 dopant atoms per cm3. The punch through suppression region 213 has a dopant concentration of about 8×1018 dopant atoms per cm3, provided by a combination of out-diffusion from the screening region 212 and a separate low energy implant.


As seen in FIG. 4, an alternative dopant profile 204 that includes a greatly increased depth for the low doped channel is shown. In contrast to the embodiments of FIGS. 2 and 3, there is no distinct notch, plane or layer to aid in threshold voltage setting. The screening region 212 is set to be greater than 3×1019 dopant atoms per cm3 and the punch through suppression region 213 has a similarly high, yet narrowly defined dopant concentration of about 8×1018 dopant atoms per cm3, provided by with a separate low energy implant.


Yet another variation in dopant profile is seen in FIG. 5, which illustrates a transistor dopant profile 205 for a transistor structure that includes a very low doped channel 210. The threshold voltage set region 211 is precisely formed by in-situ or well controlled implant doping of thin epitaxial layer grown on the screening region. The screening region 212 is set to be about 1×1019 dopant atoms per cm3 and the punch through suppression region 213 also has narrowly defined dopant concentration of about 8×1018 dopant atoms per cm3, provided by with a separate low energy implant. The well implant 214 concentration is gradually reduced to about 5×1017 dopant atoms per cm3.


As seen in FIG. 6, a dopant profile 206 includes a low doped channel 210 adjacent to the gate dielectric, and a narrowly defined threshold voltage set region 211. The screening region 212 increases to a narrow peak set to be about 1×1019 dopant atoms per cm3 and the punch through suppression region 213 also has broadly peak dopant concentration of about 5×1018 dopant atoms per cm3, provided by with a separate low energy implant. The well implant 214 concentration is high to improve bias coefficient of the transistor, with a concentration of about 8×1017 dopant atoms per cm3.


In contrast to the narrow screen region peak dopant concentration of FIG. 6, the dopant profile 207 of FIG. 7 has a broad peak 212. In addition to a narrow undoped channel 210, the transistor structure includes a well defined partially retrograde threshold set 211, and a distinct separate punch through suppression peak 213. The well 214 doping concentration is relatively low, less than about 5×1017 dopant atoms per cm3.



FIG. 8 is a schematic process flow diagram 300 illustrating one exemplary process for forming a transistor with a punch through suppression region and a screening region suitable for different types of FET structures, including both analog and digital transistors. The process illustrated here is intended to be general and broad in its description in order not to obscure the inventive concepts, and more detailed embodiments and examples are set forth below. These along with other process steps allow for the processing and manufacture of integrated circuits that include DDC structured devices together with legacy devices, allowing for designs to cover a full range of analog and digital devices with improved performance and lower power.


In Step 302, the process begins at the well formation, which may be one of many different processes according to different embodiments and examples. As indicated in 303, the well formation may be before or after STI (shallow trench isolation) formation 304, depending on the application and results desired. Boron (B), indium (I) or other P-type materials may be used for P-type implants, and arsenic (As) or phosphorous (P) and other N-type materials may be used for N-type implants. For the PMOS well implants, the P+ implant may be implanted within a range from 10 to 80 keV, and at NMOS well implants, the boron implant B+ implant may be within a range of 0.5 to 5 keV, and within a concentration range of 1×1023 to 8×1013/cm2. A germanium implant Ge+, may be performed within a range of 10 to 60 keV, and at a concentration of 1×1014 to 5×1014/cm2. To reduce dopant migration, a carbon implant, C+ may be performed at a range of 0.5 to 5 keV, and at a concentration of 1×1013 to 8×1013/cm2. Well implants may include sequential implant, and/or epitaxial growth and implant, of punch through suppression regions, screen regions having a higher dopant density than the punch through suppression region, and threshold voltage set regions (which previously discussed are typically formed by implant or diffusion of dopants into a grown epitaxial layer on the screening region).


In some embodiments the well formation 302 may include a beam line implant of Ge/B (N), As (P), followed by an epitaxial (EPI) pre-clean process, and followed finally non-selective blanket EPI deposition, as shown in 302A. Alternatively, the well may be formed using a plasma implant of B (N), As (P), followed by an EPI pre-clean, then finally a non-selective (blanket) EPI deposition, 302B. The well formation may alternatively include a solid-source diffusion of B(N), As(P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302C. The well formation may alternatively include a solid-source diffusion of B (N), As (P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302D. As yet another alternative, well formation may simply include well implants, followed by in-situ doped selective EPI of B (N), P (P). Embodiments described herein allow for anyone of a number of devices configured on a common substrate with different well structures and according to different parameters.


Shallow trench isolation (STI) formation 304, which, again, may occur before or after well formation 302, may include a low temperature trench sacrificial oxide (TSOX) liner 304A at a temperature lower than 900° C. The gate stack 306 may be formed or otherwise constructed in a number of different ways, from different materials, and of different work functions. One option is a poly/SiON gate stack 306A. Another option is a gate-first process 306B that includes SiON/Metal/Poly and/or SiON/Poly, followed by High-K/Metal Gate. Another option, a gate-last process 306C includes a high-K/metal gate stack wherein the gate stack can either be formed with “Hi-K first-Metal gate last” flow or and “Hi-K last-Metal gate last” flow. Yet another option, 306D is a metal gate that includes a tunable range of work functions depending on the device construction, N(NMOS)/P(PMOS)N(PMOS)/P(NMOS)/Mid-gap or anywhere in between. In one example, N has a work function (WF) of 4.05 V±200 mV, and P has a WF of 5.01 V±200 mV.


Next, in Step 308, Source/Drain tips may be implanted, or optionally may not be implanted depending on the application. The dimensions of the tips can be varied as required, and will depend in part on whether gate spacers (SPCR) are used. In one option, there may be no tip implant in 308A. Next, in optional steps 310 and 312, PMOS or NMOS EPI layers may be formed in the source and drain regions as performance enhancers for creating strained channels. For gate-last gate stack options, in Step 314, a Gate-last module is formed. This may be only for gate-last processes 314A.


Die supporting multiple transistor types, including those with and without a punch through suppression, those having different threshold voltages, and with and without static or dynamic biasing are contemplated. Systems on a chip (SoC), advanced microprocessors, radio frequency, memory, and other die with one or more digital and analog transistor configurations can be incorporated into a device using the methods described herein. According to the methods and processes discussed herein, a system having a variety of combinations of DDC and/or transistor devices and structures with or without punch through suppression can be produced on silicon using bulk CMOS. In different embodiments, the die may be divided into one or more areas where dynamic bias structures, static bias structures or no-bias structures exist separately or in some combination. In a dynamic bias section, for example, dynamically adjustable devices may exist along with high and low VT devices and possibly DDC logic devices.


While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on the broad invention, and that this invention not be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art.


Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.


Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions, and alterations can be made therein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims
  • 1. A die, comprising: a substrate that is a single crystal of semiconductor material;a first field effect transistor structure and a second field effect transistor structure, each supported by the substrate;wherein the first field effect transistor structure has a first gate, a first source, a first drain, and a first plurality of distinct doped regions underlying the first gate and extending between the first source and the first drain, the first plurality of doped regions implanted to define a first dopant profile of p-type, the first dopant profile having a p-type peak dopant concentration at a first depth from the first gate, a first p-type intermediate dopant concentration at a second depth from the first gate, the first p-type intermediate dopant concentration being lower than the p-type peak dopant concentration;wherein the first field effect transistor structure includes a first channel region formed by an undoped blanket epitaxial growth, the first channel region being directly on a first threshold voltage control region formed in the single crystal of the single semiconductor material, the first threshold voltage control region associated with the first intermediate dopant concentration,wherein the second field effect transistor structure has a second gate, a second source, a second drain, and a second plurality of distinct doped regions underlying the second gate and extending between the second source and the second drain, the second plurality of doped regions implanted to define a second dopant profile of n-type, the second dopant profile having a n-type peak dopant concentration at a third depth from the second gate, a first n-type intermediate dopant concentration at a fourth depth from the second gate, the first n-type intermediate dopant concentration being lower than the n-type peak dopant concentration;wherein the second field effect transistor structure includes a second channel region commonly formed by the undoped blanket epitaxial growth, the second channel region being directly on a second threshold voltage control region formed in the single crystal of the single semiconductor material, the second threshold voltage control region associated with the first n-type intermediate dopant concentration.
  • 2. The die of claim 1, wherein the first dopant profile includes a second p-type intermediate dopant concentration at a fifth depth from the first gate, the second p-type intermediate dopant concentration is higher than the first p-type intermediate dopant concentration, wherein the second dopant profile includes a second n-type intermediate dopant concentration at a sixth depth from the second gate, the second n-type intermediate dopant concentration is higher than the first n-type intermediate dopant concentration.
  • 3. The die of claim 1, wherein the p-type peak dopant concentration at the first depth sets a first depletion depth for the first field effect transistor structure when a first voltage is applied to the first gate, wherein the n-type peak dopant concentration at the third depth sets a second depletion depth for the second field effect transistor structure when a second voltage is applied to the second gate.
  • 4. The die of claim 1, further comprising: a first bias structure coupled to the first source of the first field effect transistor structure, the first bias structure operable to modify a first operational threshold voltage of the first field effect transistor structure, a second bias structure coupled to the second source of the second field effect transistor structure, the second bias structure operable to modify a second operational threshold voltage of the second field effect transistor structure.
  • 5. The die of claim 4, further comprising: a first fixed voltage source coupled to the first bias structure to statically set the first threshold voltage of the first field effect transistor structure and a second fixed voltage source coupled to the second bias structure to statically set the second threshold voltage of the second field effect transistor structure.
  • 6. The die of claim 4, further comprising: a first variable voltage source coupled to the first bias structure to dynamically adjust the first threshold voltage of the first field effect transistor structure and a second variable voltage source coupled to the second bias structure to dynamically adjust the second threshold voltage of the second field effect transistor structure.
  • 7. The die of claim 4, wherein the first field effect transistor structure and the second field effect transistor structure are separated into different bias sections, a first bias section providing no threshold voltage adjustment, a second bias section operable to provide static threshold voltage adjustment, and a third bias section operable to provide dynamic threshold voltage adjustment.
  • 8. The die of claim 7, wherein the different bias sections have different threshold voltages with or without any adjustment.
  • 9. The die of claim 1, wherein the first depth is deeper below the first gate than the second depth, the third depth is deeper below the second gate than the fourth depth.
  • 10. The die of claim 1, wherein the first depth is in a range between one half and one fifth of a length of the first gate and the third depth is in a range between one half and one fifth of a length of the second gate.
  • 11. The die of claim 1, wherein each of the first plurality of doped regions and the second plurality of doped regions are formed in the substrate.
  • 12. The die of claim 1, wherein each of the first plurality of doped regions and the second plurality of doped regions are formed on the substrate.
  • 13. The die of claim 1, further comprising: first source and drain extensions extending into the first channel region of the first field effect transistor structure and second source and drain extensions extending into the second channel region of the second field effect transistor structure.
  • 14. The die of claim 1, wherein the first plurality of doped regions are in contact with the first source and the first drain and the second plurality of doped regions are in contact with the second source and the second drain.
  • 15. The die of claim 1, wherein the first dopant profile has a first dopant concentration at a first point between the first depth and the second depth, the first dopant concentration being less than or equal to the first p-type intermediate dopant concentration to establish a first p-type notch in the first dopant profile, the second dopant profile has a second dopant concentration at a second point between the third depth and the fourth depth, the second dopant concentration being less than or equal to the first n-type intermediate dopant concentration to establish a first n-type notch in the second dopant profile.
  • 16. The die of claim 2, wherein the first dopant profile has a third dopant concentration at a third point between the first depth and the fifth depth, the third dopant concentration being less than or equal to the second p-type intermediate dopant concentration to establish a second p-type notch in the first dopant profile, the second dopant profile has a fourth dopant concentration at a fourth point between the third depth and the sixth depth, the fourth dopant concentration being less than or equal to the second n-type intermediate dopant concentration to establish a second n-type notch in the second dopant profile.
  • 17. The die of claim 2, wherein the second p-type intermediate dopant concentration is associated with a p-type suppression of punch through region of the first field effect transistor structure and the second n-type intermediate dopant concentration is associated with a n-type suppression of punch through region of the second field effect transistor structure.
  • 18. The die of claim 2, wherein the fifth depth is deeper below the first gate than the first depth and the sixth depth is deeper below the second gate than the third depth.
RELATED APPLICATIONS

This application is a divisional of U.S. application Ser. No. 14/188,218 filed Feb. 24, 2014 which is a divisional of Ser. No. 13/787,073 filed Mar. 6, 2013, now abandoned, which is a continuation of U.S. application Ser. No. 12/895,813 now U.S. Pat. No. 8,421,162 claiming the benefit of U.S. Provisional Application No. 61/247,300, U.S. Provisional Application No. 61/262,122, and is a CIP of U.S. application Ser. No. 12/708,497 filed Feb. 18, 2010, now U.S. Pat. No. 8,273,617, and claims benefit of U.S. Provisional Application No. 61/357,492 filed Jun. 22, 2010, the disclosure of each being incorporated by reference herein.

US Referenced Citations (507)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh May 1977 A
4242691 Kotani Dec 1980 A
4276095 Beilstein, Jr. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen Dec 1985 A
4578128 Mundt Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl Aug 1988 A
4780748 Cunningham Oct 1988 A
4819043 Yazawa Apr 1989 A
4885477 Bird Dec 1989 A
4908681 Nishida Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou Sep 1990 A
5034337 Mosher Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee Nov 1992 A
5208473 Komori May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert Dec 1994 A
5384476 Nishizawa Jan 1995 A
5426328 Yimaz Jun 1995 A
5444008 Han Aug 1995 A
5552332 Tseng Sep 1996 A
5559368 Hu Sep 1996 A
5594264 Shirahata et al. Jan 1997 A
5608253 Liu Mar 1997 A
5622880 Burr Apr 1997 A
5624863 Helm Apr 1997 A
5625568 Edwards Apr 1997 A
5641980 Yamaguchi Jun 1997 A
5663583 Matloubian Sep 1997 A
5712501 Davies Jan 1998 A
5719422 Burr Feb 1998 A
5726488 Watanabe Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura Jun 1998 A
5780899 Hu Jul 1998 A
5847419 Imai Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf Mar 1999 A
5895954 Yasumura Apr 1999 A
5899714 Farremkopf May 1999 A
5918129 Fulford, Jr. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham Mar 2000 A
6060345 Hause May 2000 A
6060364 Maszara May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son Aug 2000 A
6121153 Kikkawa Sep 2000 A
6124156 Widmann Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Gossmann Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito Jan 2001 B1
6184112 Maszara Feb 2001 B1
6190979 Radens Feb 2001 B1
6194259 Nayak Feb 2001 B1
6198157 Ishida Mar 2001 B1
6218892 Soumyanath Apr 2001 B1
6218895 De Apr 2001 B1
6221724 Yu Apr 2001 B1
6229188 Aoki May 2001 B1
6232164 Tsai May 2001 B1
6235597 Miles May 2001 B1
6245618 An Jun 2001 B1
6268640 Park Jul 2001 B1
6271070 Kotani Aug 2001 B2
6271551 Schmitz Aug 2001 B1
6288429 Iwata Sep 2001 B1
6297132 Zhang Oct 2001 B1
6300177 Sundaresan Oct 2001 B1
6313489 Letavic Nov 2001 B1
6319799 Ouyang Nov 2001 B1
6320222 Forbes Nov 2001 B1
6323525 Noguchi Nov 2001 B1
6326666 Bernstein Dec 2001 B1
6335233 Cho Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu Apr 2002 B1
6391752 Colinge May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster Jul 2002 B1
6432754 Assaderaghi Aug 2002 B1
6444550 Hao Sep 2002 B1
6444551 Ku Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall Oct 2002 B1
6482714 Hieda Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang Dec 2002 B1
6500739 Wang Dec 2002 B1
6501131 Divakaruni Dec 2002 B1
6503801 Rouse Jan 2003 B1
6503805 Wang Jan 2003 B2
6506640 Ishida Jan 2003 B1
6518623 Oda Feb 2003 B1
6521470 Lin Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang Apr 2003 B2
6541829 Nishinohara Apr 2003 B2
6548842 Bulucea Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke Jun 2003 B2
6576535 Drobny Jun 2003 B2
6600200 Lustig Jul 2003 B1
6620671 Wang Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried Dec 2003 B2
6667200 Sohn Dec 2003 B2
6670260 Yu Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda May 2004 B2
6743291 Ang Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya Jun 2004 B1
6753230 Sohn Jun 2004 B2
6760900 Rategh Jul 2004 B2
6770944 Nishinohara Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson Sep 2004 B2
6797602 Kluth Sep 2004 B1
6797994 Hoke Sep 2004 B1
6808004 Kamm Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami Nov 2004 B2
6821825 Todd Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar Nov 2004 B2
6831292 Currie Dec 2004 B2
6835639 Rotondaro Dec 2004 B2
6852602 Kanzawa Feb 2005 B2
6852603 Chakravarthi Feb 2005 B2
6881641 Wieczorek Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jachne May 2005 B2
6893947 Martinez May 2005 B2
6900519 Cantell May 2005 B2
6901564 Stine May 2005 B2
6916698 Mocuta Jul 2005 B2
6917237 Tschanz Jul 2005 B1
6927463 Iwata Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu Aug 2005 B2
6930360 Yamauchi Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack Nov 2005 B2
6995397 Yamashita Feb 2006 B2
7002214 Boyd Feb 2006 B1
7008836 Algotsson Mar 2006 B2
7015546 Herr Mar 2006 B2
7015741 Tschanz Mar 2006 B2
7022559 Barnak Apr 2006 B2
7036098 Eleyan Apr 2006 B2
7038258 Liu May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto May 2006 B2
7057216 Ouyang Jun 2006 B2
7061058 Chakravarthi Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock Jun 2006 B2
7071103 Chan Jul 2006 B2
7078325 Curello Jul 2006 B2
7078776 Nishinohara Jul 2006 B2
7089513 Bard Aug 2006 B2
7089515 Hanafi Aug 2006 B2
7091093 Noda Aug 2006 B1
7105399 Dakshina-Murthy Sep 2006 B1
7109099 Tan Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch Nov 2006 B2
7169675 Tan Jan 2007 B2
7170120 Datta Jan 2007 B2
7176137 Perug Feb 2007 B2
7186598 Yamauchi Mar 2007 B2
7189627 Wu Mar 2007 B2
7199430 Babcock Apr 2007 B2
7202517 Dixit Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu May 2007 B2
7223646 Miyashita May 2007 B2
7226833 White Jun 2007 B2
7226843 Weber Jun 2007 B2
7230680 Fujisawa Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski Aug 2007 B2
7294877 Rueckes Nov 2007 B2
7297994 Wieczorek Nov 2007 B2
7301208 Handa Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie Dec 2007 B2
7312500 Miyashita Dec 2007 B2
7323754 Ema Jan 2008 B2
7332439 Lindert Feb 2008 B2
7348629 Chu Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi May 2008 B2
7398497 Sato Jul 2008 B2
7402207 Besser Jul 2008 B1
7402872 Murthy Jul 2008 B2
7416605 Zollner Aug 2008 B2
7427788 Li Sep 2008 B2
7442971 Wirbeleit Oct 2008 B2
7449733 Inaba Nov 2008 B2
7462908 Bol Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh Dec 2008 B2
7485536 Jin Feb 2009 B2
7487474 Ciplickas Feb 2009 B2
7491988 Tolchinsky Feb 2009 B2
7494861 Chu Feb 2009 B2
7496862 Chang Feb 2009 B2
7496867 Turner Feb 2009 B2
7498637 Yamaoka Mar 2009 B2
7501324 Babcock Mar 2009 B2
7503020 Allen Mar 2009 B2
7507999 Kusumoto Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu Apr 2009 B2
7531393 Doyle May 2009 B2
7531836 Liu May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze May 2009 B2
7562233 Sheng Jul 2009 B1
7564105 Chi Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko Aug 2009 B2
7586322 Xu Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea Sep 2009 B1
7598142 Ranade Oct 2009 B2
7605041 Ema Oct 2009 B2
7605060 Meunier-Beillard Oct 2009 B2
7605429 Bertsein Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt Nov 2009 B2
7622341 Chudzik Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae Jan 2010 B2
7644377 Saxe Jan 2010 B1
7645665 Kubo Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock Feb 2010 B2
7673273 Madurawe Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu Mar 2010 B2
7681628 Joshi Mar 2010 B2
7682887 Dokumaci Mar 2010 B2
7683442 Burr Mar 2010 B1
7696000 Liu Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu Apr 2010 B2
7709828 Braithwaite May 2010 B2
7723750 Zhu May 2010 B2
7737472 Kondo Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho Jun 2010 B2
7745270 Shah Jun 2010 B2
7750374 Capasso Jul 2010 B2
7750381 Hokazono Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein Jul 2010 B2
7755144 Li Jul 2010 B2
7755146 Helm Jul 2010 B2
7759206 Luo Jul 2010 B2
7759714 Itoh Jul 2010 B2
7761820 Berger Jul 2010 B2
7795677 Bangsaruntip Sep 2010 B2
7808045 Kawahara Oct 2010 B2
7808410 Kim Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng Oct 2010 B2
7818702 Mandelman Oct 2010 B2
7821066 Lebby Oct 2010 B2
7829402 Matocha Nov 2010 B2
7831873 Trimberger Nov 2010 B1
7846822 Seebauer Dec 2010 B2
7855118 Hoentschel Dec 2010 B2
7859013 Chen Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee Jan 2011 B2
7883977 Babcock Feb 2011 B2
7888205 Herner Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner Feb 2011 B2
7897495 Ye Mar 2011 B2
7906413 Cardone Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger Mar 2011 B2
7919791 Flynn Apr 2011 B2
7926018 Moroz Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder May 2011 B2
7945800 Gomm May 2011 B2
7948008 Liu May 2011 B2
7952147 Ueno May 2011 B2
7960232 King Jun 2011 B2
7960238 Kohli Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell Jun 2011 B2
7989900 Haensch Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa Aug 2011 B2
8012827 Yu Sep 2011 B2
8029620 Kim Oct 2011 B2
8039332 Bernard Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove Nov 2011 B2
8048810 Tsai Nov 2011 B2
8051340 Cranford, Jr. Nov 2011 B2
8053340 Colombeau Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra Nov 2011 B2
8067280 Wang Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng Dec 2011 B2
8097529 Krull Jan 2012 B2
8103983 Agarwal Jan 2012 B2
8105891 Yeh Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow Feb 2012 B2
8114761 Mandrekar Feb 2012 B2
8119482 Bhalla Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock Mar 2012 B2
8129797 Chen Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr Mar 2012 B2
8143124 Challa Mar 2012 B2
8143678 Kim Mar 2012 B2
8148774 Mori Apr 2012 B2
8163619 Yang Apr 2012 B2
8169002 Chang May 2012 B2
8170857 Joshi May 2012 B2
8173499 Chung May 2012 B2
8173502 Yan May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim May 2012 B2
8179530 Levy May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur May 2012 B2
8185865 Gupta May 2012 B2
8187959 Pawlak May 2012 B2
8188542 Yoo May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III Jun 2012 B2
8214190 Joshi Jul 2012 B2
8217423 Liu Jul 2012 B2
8225255 Ouyang Jul 2012 B2
8227307 Chen Jul 2012 B2
8236661 Dennard Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock Aug 2012 B2
8255843 Chen Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8299562 Li Oct 2012 B2
8324059 Guo Dec 2012 B2
20010014495 Yu Aug 2001 A1
20020033511 Babcock et al. Mar 2002 A1
20020042184 Nandakumar Apr 2002 A1
20030006415 Yokogawa Jan 2003 A1
20030047763 Hieda Mar 2003 A1
20030122203 Nishinohara Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek Oct 2003 A1
20030215992 Sohn Nov 2003 A1
20040053457 Sohn Mar 2004 A1
20040075118 Heinemann Apr 2004 A1
20040075143 Bae Apr 2004 A1
20040084731 Matsuda May 2004 A1
20040087090 Grudowski May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050056877 Rueckes Mar 2005 A1
20050106824 Alberto May 2005 A1
20050116282 Pattanayak Jun 2005 A1
20050250289 Babcock Nov 2005 A1
20050280075 Ema Dec 2005 A1
20060017100 Bol Jan 2006 A1
20060022270 Boyd Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060091481 Li May 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060157794 Doyle Jul 2006 A1
20060197158 Babcock Sep 2006 A1
20060203581 Joshi Sep 2006 A1
20060220114 Miyashita Oct 2006 A1
20060223248 Venugopal Oct 2006 A1
20060273299 Stephenson Dec 2006 A1
20070040222 Van Camp Feb 2007 A1
20070117326 Tan May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito Mar 2008 A1
20080079493 Hamlin Apr 2008 A1
20080108208 Arevalo May 2008 A1
20080138953 Challa Jun 2008 A1
20080169493 Lee Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach Aug 2008 A1
20080227250 Ranade Sep 2008 A1
20080237661 Ranade Oct 2008 A1
20080258198 Bojarczuk Oct 2008 A1
20080272409 Sonkusale Nov 2008 A1
20090003105 Itoh Jan 2009 A1
20090057746 Sugll Mar 2009 A1
20090057762 Bangsaruntip Mar 2009 A1
20090108350 Cai Apr 2009 A1
20090121298 Furukawa May 2009 A1
20090134468 Tsuchiya May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai Dec 2009 A1
20090309140 Khamankar Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura Dec 2009 A1
20100012988 Yang Jan 2010 A1
20100038724 Anderson Feb 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100270600 Inukai Oct 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard Mar 2011 A1
20110074498 Thompson Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren Apr 2011 A1
20110095811 Chi Apr 2011 A1
20110147828 Murthy Jun 2011 A1
20110169082 Zhu Jul 2011 A1
20110175170 Wang Jul 2011 A1
20110180880 Chudzik Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu Sep 2011 A1
20110230039 Mowry Sep 2011 A1
20110242921 Tran Oct 2011 A1
20110248352 Shifren Oct 2011 A1
20110294278 Eguchi Dec 2011 A1
20110309447 Arghavani Dec 2011 A1
20120021594 Gurtej Jan 2012 A1
20120034745 Colombeau Feb 2012 A1
20120056275 Cai Mar 2012 A1
20120065920 Nagumo Mar 2012 A1
20120108050 Chen May 2012 A1
20120132998 Kwon May 2012 A1
20120138953 Cai Jun 2012 A1
20120146155 Hoentschel Jun 2012 A1
20120167025 Gillespie Jun 2012 A1
20120168864 Dennard Jul 2012 A1
20120187491 Zhu Jul 2012 A1
20120190177 Kim Jul 2012 A1
20120223363 Kronholz Sep 2012 A1
Foreign Referenced Citations (19)
Number Date Country
200910109443.3 Aug 2009 CN
101661889 Sep 2011 CN
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683 515 Nov 1995 EP
0889502 Jan 1999 EP
1 450 394 Aug 2004 EP
59193066 Nov 1984 JP
S63305566(A) Dec 1988 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
1996172187 Jul 1996 JP
8288508 Nov 1996 JP
H09-246534 Sep 1997 JP
2000-243958 Sep 2000 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO 2011062788 May 2011 WO
Non-Patent Literature Citations (45)
Entry
US 7,011,991, 3/2006, Li (withdrawn).
State Intellectual Property Office of the People's Republic of China, The First Office Action, Application No. 201180035830.2; with English language translation, 15 pages, Dated: May 6, 2014.
Japanese Office Action issued in JP Appl. No. 2013-516663; 7 pages with English translation, Apr. 21, 2015.
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE, 2009.
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications”, Electron Devices Meeting (IEDM), Dec. 2009.
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009.
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176, Sep. 2006.
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951, Sep. 2003.
Hori, et al., “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions”, Proceedsing of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911, Dec. 5, 1993.
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996.
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003, Nov. 2012.
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798, Nov. 2006.
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15 μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001.
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Sil-yCy Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961, 2006.
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000.
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996.
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002.
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004.
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US10/48998; 10 pages, Jan. 6, 2011.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2010/049000; 9 pages, Jan. 12, 2011.
Shao, et al. “Boron diffusion in silicon: the anomalies and control by point defect engineering” Materials Science and Engineering R: Reports, vol. 42, No. 3-4, Nov. 1, 2003 pp. 65-114.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2011/041156; dated Sep. 21, 2011; 12 pages.
Yan, et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, IEEE Service Center, Pisacataway, NJ, US, vol. 39, No. 7, Jul. 1, 1992 pp. 1704-1710.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2011/041165; dated Nov. 2, 2011; 6 pages.
USPTO Office Action for U.S. Appl. No. 12/895,695, filed Sep. 30, 2010 in the name of Lucian Shifren, et al. 27 pages, dated May 27, 2011.
USPTO Office Action for U.S. Appl. No. 12/895,695, filed Sep. 30, 2010 in the name of Lucian Shifren, et al. 30 pages, dated Oct. 24, 2011.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3' 1998, pp. 1-19, 1998.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998.
Japanese Office Action issued in Appl. No. 2013-516663; 5 pages including English Concise Explanation of Office Action, Feb. 18, 2016.
Japanese Office Action issued in Appl. No. 2013-516663; 5 pages including English Concise Explanation of Office Action, Sep. 6, 2016.
Related Publications (1)
Number Date Country
20160181370 A1 Jun 2016 US
Provisional Applications (3)
Number Date Country
61247300 Sep 2009 US
61262122 Nov 2009 US
61357492 Jun 2010 US
Divisions (2)
Number Date Country
Parent 14188218 Feb 2014 US
Child 14977887 US
Parent 13787073 Mar 2013 US
Child 14188218 US
Continuations (1)
Number Date Country
Parent 12895813 Sep 2010 US
Child 13787073 US
Continuation in Parts (1)
Number Date Country
Parent 12708497 Feb 2010 US
Child 12895813 US