Articles and methods of forming vias in substrates

Information

  • Patent Grant
  • 11114309
  • Patent Number
    11,114,309
  • Date Filed
    Monday, July 29, 2019
    4 years ago
  • Date Issued
    Tuesday, September 7, 2021
    2 years ago
Abstract
Methods of forming vias in substrates having at least one damage region extending from a first surface etching the at least one damage region of the substrate to form a via in the substrate, wherein the via extends through the thickness T of the substrate while the first surface of the substrate is masked. The mask is removed from the first surface of the substrate after etching and upon removal of the mask the first surface of the substrate has a surface roughness (Rq) of about less than 1.0 nm.
Description
BACKGROUND
Field

The present disclosure generally relates to articles and methods of forming vias in substrates. In particular, the present disclosure is directed to articles and methods of forming through vias in substrates that include etching processes that preserve the surface roughness (Rq) of a substrate.


Technical Background

An interposer may be used as an electrical interface in an electronic device, including devices having a radio frequency (RF) filter, to spread an electrical connection to a wider pitch or to reroute an electrical connection to a different electrical connection. Glass interposers have become an attractive alternative to silicon and fiber reinforced polymers. This is due, in part, to the ability of glass to be formed in large thin sheets. However, with continuously thinner electronic devices, many applications require interposers to the have thicknesses of 300 μm or less. Such thin glass can be difficult to handle in fabrication procedures because of the glass's fragility and lack of stiffness. To counteract a glass substrate's fragility and lack of stiffness, fabrication methods using a carrier to which the glass substrate is bonded have been developed.


Van der Waals forces may be used to temporarily bond glass articles to carriers. The energy of the temporary bond is sufficient to survive flat panel fabrication, while remaining de-bondable. However, Van der Waals forces may produce weak bonds, if any, when the surface roughness (Rq) of the glass article is too high.


Typically, glass interposers require vias (holes) to be filled with electrically conductive material to provide electrical interfacing. A known method of creating vias in glass interposers is by creating a damage region through the thickness of the glass interposer and then submerging to substrate into an etchant. The etchant may then remove material from the damage region to enlarge the hole. However, the etching process is not selective and material may be removed from both faces of the glass interposer as well as enlarging the hole. This invariably creates a glass interposer surface roughness (Rq) outside of the range which Van der Waals bonds can be appropriately formed.


Accordingly, a need exists for methods for forming vias in substrates while preserving low surface roughnesses (Rq) such that the substrates may be removably bonded to carriers.


SUMMARY

In a first aspect, a method of forming a via in a substrate having at least one damage region extending from a first surface includes etching the at least one damage region of the substrate to form a via in the substrate while the first surface of the substrate is masked. The mask is then removed from the first surface of the substrate, and upon removal of the mask the first surface of the substrate has a surface roughness (Rq) of about less than 1.0 nm.


A second aspect according to the first aspect, wherein the mask is selected from a group consisting of diphenylsilicon, phenylsilicon, methylphenylsilicon, and diamond-like carbon (DLC).


A third aspect according to the second aspect, wherein the mask is DLC and the DLC is a hydrogenated amorphous carbon.


A fourth aspect according to any of the preceding aspects, wherein the first surface of the substrate has a surface roughness (Rq) of about less than 0.6 nm upon removal of the mask.


A fifth aspect according to any of the preceding aspects, wherein a second surface of the substrate opposite the first surface of the substrate is masked during etching.


A sixth aspect according to any of the preceding aspect, wherein the mask is removed by oxidation.


A seventh aspect according to any of the preceding aspects, wherein the via is a blind via or a through via.


An eighth aspect according to any of the preceding aspects, wherein the mask is selectively applied to the first surface of the substrate such that the damage region is unmasked.


A ninth aspect according to any of the preceding aspects, wherein the substrate is a glass, ceramic, or glass-ceramic.


A tenth aspect according to any of the preceding aspects, further including removably bonding the substrate to a carrier by disposing the first surface of the substrate on a bonding surface of the carrier.


An eleventh aspect according to the tenth aspect, further including, after removably bonding the substrate to the carrier, processing the substrate by at least one of applying alkaline cleaning solutions to the substrate, wet etching the substrate, polishing the substrate, metal plating the substrate, metal patterning the substrate by wet etching, depositing material onto the substrate, and annealing the substrate.


A twelfth aspect according to the eleventh aspect, further including removing the carrier from the substrate.


A thirteenth aspect according to any one of the tenth through twelfth aspects, wherein the mask is selectively applied to the first surface of the substrate such that the damage region is unmasked.


In a fourteenth aspect, an article includes a substrate including a first surface and a second surface separated by a thickness T. At least one damage region is within the substrate and extends from the first surface. A first film layer is disposed on an undamaged region of the first surface of the substrate. The first film layer is selected from a group consisting of diphenylsilicon, phenylsilicon, methylphenylsilicon, and diamond-like carbon (DLC).


A fifteenth aspect according to the fourteenth aspect, further including a second film layer disposed on the undamaged region of the second surface of the substrate, the second film layer selected from a group consisting of diphenylsilicon, phenylsilicon, methylphenylsilicon, and diamond-like carbon (DLC).


A sixteenth aspect according to the fourteenth or fifteenth aspect, wherein the first film is DLC and the DLC is a hydrogenated amorphous carbon.


In a seventeenth aspect according to any one of the fourteenth through sixteenth aspects, wherein the substrate is glass, ceramic, or glass-ceramic.


Additional features and advantages of the will be set forth in the detailed description which follows, and in part will be readily apparent to those skilled in the art from that description or recognized by practicing the embodiments described herein, including the detailed description which follows, the claims, as well as the appended drawings.


It is to be understood that both the foregoing general description and the following detailed description describe various embodiments and are intended to provide an overview or framework for understanding the nature and character of the claimed subject matter. The accompanying drawings are included to provide a further understanding of the various embodiments, and are incorporated into and constitute a part of this specification. The drawings illustrate the various embodiments described herein, and together with the description serve to explain the principles and operations of the claimed subject matter.





BRIEF DESCRIPTION OF THE DRAWINGS

The embodiments set forth in the drawings are illustrative and exemplary in nature and are not intended to limit the subject matter defined by the claims. The following detailed description of the illustrative embodiments can be understood when read in conjunction with the following drawings, where like structure is indicated with like reference numerals and in which:



FIG. 1 illustrates a perspective view of an article including a substrate and a mask, according to one or more embodiments shown and described herein;



FIG. 2 illustrates a flowchart describing a method of making an article, according to one or more embodiments shown and described herein;



FIG. 3 illustrates a cross-sectional view of the article of FIG. 1 with one or more damage regions formed therein, according to one or more embodiments shown and described herein;



FIG. 4 illustrates the article of FIG. 1 dipped in an etchant, according to one or more embodiments shown and described herein;



FIG. 5 illustrates a cross-sectional view of the article of FIG. 1 with one or more vias formed therein, according to one or more embodiments shown and described herein;



FIG. 6 illustrates a cross-sectional view of the article of FIG. 4 with the mask removed, according to one or more embodiments shown and described herein; and



FIG. 7 illustrates a perspective view of the article of FIG. 6 bonded to a carrier, according to one or more embodiments shown and described herein.





DETAILED DESCRIPTION

Referring generally to the figures, embodiments of articles and methods of creating vias in substrates provided herein allow for the preservation of surface roughness (Rq) of substrates so that the substrates may be removably bonded to carriers for further processing. Reference will now be made in detail to various embodiments of articles and methods of forming vias in substrates, examples of which are illustrated in the accompanying drawings. Whenever possible, the same reference numerals will be used throughout the drawings to refer to the same or like parts. It is noted that the embodiments illustrated in the figures are not to scale and that relative sizes and widths were selected for illustrative purposes only.


Embodiments preserve a pre-etch surface roughness of a substrate by utilizing mask layers during etching processes for via formation. By preserving the low surface roughness of the substrate during via formation, the substrate may be removably bonded to a carrier for further processing. After processing, the substrate may be removed from the carrier, such that the carrier may be reused for processing further substrates. Various embodiments of articles and methods for via formation are described in detail below.



FIG. 1 depicts an example article 100. The articles disclosed herein may be used, for example, as an interposer in a semiconductor package, the articles having etched holes (e.g., vias) and surface attributes which allow for successful downstream processing including, but not limited to, via metallization and application of redistribution layers (RDL) for semiconductor devices, radio-frequency (RF) devices (e.g., antennae, switches, and the like), interposer devices, microelectronic devices, optoelectronic devices, microelectronic mechanical system (MEMS) devices and other applications where vias may be leveraged.



FIG. 2 depicts an exemplary flowchart 10 generally illustrating an example process for forming vias in substrates. The steps depicted in the flowchart will be described in greater detail throughout the description of the various figures. It is noted that though the flowchart 10 is depicted as having a certain order, it should be understood that embodiments of the present disclosure are not limited to the order of steps shown in FIG. 1.


Referring to FIG. 1, the article 100 generally includes a substrate 110. The substrate 110 has first and second surfaces 112, 114. At least one damage region 120 is shown on the first surface 112. First and second masks 150a, 150b are formed on at least one of the first and second surfaces 112, 114 of the substrate 110. In some embodiments, the a mask may only be formed on one of the first or second surface 112,114. In other embodiments, and as shown in FIG. 1, the first and second masks 150a, 150b are formed on both the first and second surfaces 112, 114 of the substrate 110. The substrate 110 may be formed from various glass compositions including, without limitation, borosilicate glasses, aluminosilicate glasses, alkali-aluminosilicate glasses, aluminoborosilicate glasses, alkali-aluminoborosilicate glasses, and soda lime glasses. Furthermore, the substrate 110 may be strengthened (e.g., by an ion exchange process) or non-strengthened. Exemplary substrates may include, but are not limited to, Corning EAGLE XG® glass, chemically strengthened or non-strengthened Corning Gorilla® glass, and Corning Willow® glass. In yet further embodiments, the substrate 110 may be made from other materials such as ceramic and glass ceramic.


Referring now to FIG. 3, the first and second surfaces 112, 114 of the substrate 110 may be separated by a thickness T, which may depend on the application and is not limited by this disclosure. As non-limiting examples, the thickness T may be in a range of from about 25 μm to about 3,000 μm, about 25 μm to about 2,000 μm, about 25 μm to about 1,000 μm, about 50 μm to about 3,000 μm, about 50 μm to about 2,000 μm, about 50 μm to about 1,000 μm, about 100 μm to about 3,000 μm, about 100 μm to about 2,000 μm, about 100 μm to about 1,000 μm, about 200 μm to about 3,000 μm, about 200 μm to about 2,000 μm, about 200 μm to about 1,000 μm, about 500 μm to about 3,000 μm, about 500 μm to about 2,000 μm, about 500 μm to about 1,000 μm, about 3,000 μm or less, about 2,000 μm or less, about 1,000 μm or less, about 700 μm or less, about 500 μm or less, about 400 μm or less, about 300 μm or less, about 200 μm or less, or about 100 μm or less. The first and second surfaces 112, 114 also have a pre-etch surface roughness (Rq). Surface roughness (Rq) refers to the Root Mean Square (RMS) of a surface's measured microscopic peaks and valleys. The surface roughness (Rq) may be measured using an atomic force microscope (AFM), for example a Veeco Dimension Icon. The surface roughness (Rq) may change in response to processing steps, such as the etching processing described below. This may be more apparent in the examples provided below.


Referring to block 11 of FIG. 2, the at least one damage region 120 is created in the substrate 110. As indicated in FIG. 3, the at least one damage region 120 extends through the thickness T of the substrate 110. The at least one damage region 120 may be a hole extending through the thickness T of the substrate 110. In some embodiments, the at least one damage region 120 does not extend through the entire thickness of the substrate. In some embodiments, there is a combination of damage regions that extend through the thickness T of the substrate with damage regions that do not extend through the entire thickness of the substrate. The at least one damage region 120 may be formed with in the substrate 110 in a variety of ways. In some embodiments, the at least one damage region 120 may be created applying a high energy laser pulse to ablate a narrow hole through the substrate 110. The at least one damage region 120 allows etchant to flow therein during downstream etching processes.


In another example, the at least one damage region 120 may not be a hole through the thickness T of the substrate 110 but rather a line of laser-induced damage formed by a pulsed laser. The pulsed laser may form the damage line by non-linear multi-photon absorption, for example. The rate of material removal within the line of laser-induced damage defining the at least one damage region 120 is faster than the rate of material removal outside of the at least one damage region 120 during the etching process. Exemplary ways for performing the laser damage creation and subsequent etching are disclosed in U.S. Pat. No. 9,278,886 and U.S. Pub. No. 2015/0166395, each of which is hereby incorporated by reference in its entirety.


At block 12 of the flowchart 10 of FIG. 2, first and second masks 150a, 150b may be applied to the first and second surfaces 112, 114 of the substrate 110, respectively. In some embodiments, the first and second masks 150a, 150b are indiscriminately applied to the substrate 110. In such embodiments, the first and second masks 150a, 150b may be applied to the substrate before the at least one damage region 120 is created in the substrate 110, as described above. In such a case, a laser pulse may ablate a hole through the first and second masks 150a, 150b and the substrate 110. In other embodiments, the first and second masks 150a, 150b are indiscriminately applied to the substrate after the at least one damage region has been created. In this instance, the first and second masks 150a, 150b may be selectively removed from the already created at least one damage region 120 prior to further processing. In yet further embodiments, the first and second masks 150a, 150b are selectively applied to the substrate 110. In such embodiments, the first and second masks 150a, 150b may be applied to the substrate 110 so as to avoid the already created at least one damage region 120. As such, the first and second masks 150a, 150b may have openings that are aligned with the at least one damage region 120 to allow etchant to access the at least one damage region 120. The first and second masks 150a, 150b may be applied in thicknesses of less than or equal to 1 μm. In some embodiments, the mask 150a, 150b may be applied in thicknesses of less than or equal to 300 nm. In yet further embodiments, the mask 150a, 150b may be applied in a thickness of about 60 nm.


The first and second masks 150a, 150b may be a variety of materials. For example, and without limitation, the mask may be made from diphenylsilicon, phenylsilicon, methylphenylsilicon, and diamond-like carbon (DLC). In some embodiments, the diamond-like carbon may be hydrogenated amorphous carbon. Such hydrogenated amorphous carbon films may be formed by depositing a hydrocarbon precursor compound such as compounds of formula CnHy, wherein n is 1 to 6 and y is 2 to 14. In some examples, n is 1 to 4 and y is 2 to 10. The hydrocarbon compounds can be linear or branched. In some examples, the coating layer formed by depositing a hydrocarbon precursor compound has a combined carbon and hydrogen content of at least 80 weight percent, at least 85 weight percent, at least 90 weight percent, or at least 95 weight percent. In some examples, the precursor compound is deposited to form the coating layer has a combined carbon and hydrogen content of at least 80 weight percent, at least 85 weight percent, at least 90 weight percent, at least 95 weight percent, at least 98 weight percent, at least 99 weight percent, or greater than 99.5 weight percent.


Examples of hydrocarbon precursor compounds include alkanes. An alkane can include methane, ethane, propane, butane, pentane and hexane. In some examples, the hydrocarbon precursor compounds include at least one carbon-carbon double bond, for example, an alkene. An alkene can include ethylene, propylene, butylene, pentene and hexane. The carbon-carbon double bond in the alkene can be present at various positions in the compound, for instance, but-1-ene or but-2-ene. In yet other examples, the hydrocarbon precursor compounds includes at least one carbon-carbon triple bond, for example, an alkyne. An alkyne can include ethyne, propyne, butyne, pentyne and hexyne. In some examples, the carbon-carbon triple bond in the alkyne is present at various positions in the compound, for instance, 1-butyne or 2-butyne.


It is contemplated that the first and second masks 150a, 150b may all be the same material. In other embodiments, the first and second masks 150a, 150b may be different materials. In embodiments wherein the mask material is diphenylsilicon, a diphenylsilicon film may be applied to at least one of the first and second surfaces 112, 114 of the substrate 110. Wherein the diphenylsilicon film is applied to both of the first and second surfaces 112, 114 there is a first diphenylsilicon film layer and a second diphenylsilicon film layer. Furthermore, though not shown, the diphenylsilicon film may be applied to edge surfaces of the substrate 110. The film may be applied by vapor deposition, for example. By way of example and not limitation, diphenylsilicon film is formed from diphenylsilane and hydrogen by chemical vapor deposition, preferably plasma enhanced chemical vapor deposition. Diphenylsilicon is a highly effective masking material and is resistant to acid erosion. As such, diphenylsilicon can withstand contact with hydrofluoric-mineral acid mixtures commonly used in etching processes. Furthermore, diphenylsilicon may be readily removed from glass surfaces without creating excessive surface roughness (Rq).


In embodiments, the mask material is hydrogenated amorphous carbon a hydrogenated amorphous carbon film may be applied to at least one of the first and second surfaces 112, 114 of the substrate 110. Wherein the hydrogenated amorphous carbon film is applied to both of the first and second surfaces 112, 114 there is a first hydrogenated amorphous carbon film layer and a second hydrogenated amorphous carbon film layer. The hydrogenated amorphous carbon may be deposited by chemical vapor deposition (CVD) techniques, and like methods. Specific examples of CVD techniques include CVD, low pressure CVD, atmospheric pressure CVD, Plasma Enhanced CVD (PECVD), atmospheric plasma CVD, atomic layer deposition (ALD), plasma ALD, and chemical beam epitaxy. In another example, the coating layer can be deposited by a pyrolytic torch at temperatures above 600° C., above 800° C., or above 1,000° C., including any ranges and subranges therebetween. A gas mixture for forming the coating layer, which contains the hydrocarbon compound, may also comprise a controlled amount of another compound, for example, a carrier gas or working gas. The other compound can include air, oxygen, nitrous oxide, carbon dioxide, water vapor, or hydrogen peroxide, and/or one or more an inert gas, for example, helium, neon, argon, krypton, xenon.


One of the biggest challenges of any selective etching process in which a mask is used is mask removal. The mask material must be tough enough to resist etching, but also must be readily removable without damaging the substrate. Polymer masks, such as photoresist, usually involve soaking the masked substrate in hot solvents with mechanical agitation. Often, inorganic masks are removed by plasma etching. For example, PECVD deposited silicon carbine (SiC) is generally removed with a fluorine containing plasma. However, under such a process, the substrate is also etched during removal, thus increasing the surface roughness (Rq) of the substrate. Diphenylsilicon is unique to traditional masking materials in that it is particularly susceptible to oxidation. During oxidation, the organic groups are pyrolized and removed and the silicon is oxidized to form silicon dioxide. This process allows for the surface roughness of the substrate to be substantially preserved. As shown in Example 3 below, hydrogentated amorphous carbons may also be oxidized to remove the mask. It is contemplated that other plasma polymer films and materials may have substantially similar benefits to diphenylsilicon. For example, and not a limitation, phenylsilicon, methylphenylsilicon, and diamond-like carbon (DLC).


Referring to block 13 of the flowchart 10 of FIG. 2, after the at least one damage region 120 has been created and the first and second masks 150a, 150b have been applied, the glass article 100 may be etched. As shown in FIG. 4, etching processes may include submerging the glass article 100 in an etchant 180 bath. Additionally or alternatively, the etchant 180 may be sprayed onto the glass article 100. The etchant 180 may remove material of the substrate 110 at the unmasked portions of the substrate 110 to enlarge a diameter of the at least one damage region 120. Any suitable etchants may be utilized. Non-limiting examples of etchants include strong mineral acids such as nitric acid, hydrochloric acid, or phosphoric acid with a fluorine containing etchant such as hydrofluoric acid, ammonium bifluoride, sodium fluoride, and the like.



FIG. 5 illustrates the substrate 110 after etching has occurred. As depicted, a via 124 is opened from the at least one damage region 120 illustrated in FIG. 1. Via 124 is shown a being a through via that extends through the thickness of the substrate. In other embodiments, the via may be a blind via extending only a portion of the way through the substrate. In some embodiments, through vias are formed from damage regions that extend through the thickness of the substrate and the blind vias are formed from damage regions that do not extend through the thickness of the substrate. As an example and not a limitation, the via 124 may have a diameter in a range from about 5 μm to about 150 μm, about 20 μm to about 150 μm, or about 5 μm to about 20 μm. The via may be substantially cylindrical, for example having a waist (point along the via with the smallest diameter) with a diameter that is at least 70%, at least 75%, or at least 80% of the diameter of an opening of the via on the first or second surface.


After the at least one via 124 has been opened to a desired diameter, the first and second masks 150a, 150b may be removed from the substrate 110 (block 14 of FIG. 2). FIG. 6 illustrates the first and second masks 150a, 150b having been removed from the substrate 110. In some embodiments, the substrate 100 may be cleaned prior to and/or after removing the first and second masks 150a, 150b (e.g., by rinsing with deionized water). For example and not a limitation, in embodiments wherein diphenylsilicon is the mask material, the first and second masks 150a, 150b may be removed by oxidation. Example tools for oxidizing diphenylsilicon film includes oxygen photoresist strippers or plasma ashers. The mask 150a, 150b may be removed without substantially increasing the surface roughness (Rq) of the first and second surfaces 112, 114 of the substrate 110 (i.e., post-etch surface roughness (Rq)). Substantially increasing the surface roughness (Rq) refers to increasing the surface roughness (Rq) of the substrate 110 beyond Van der Waal bonding capability as described herein. In some instances the resulting surface roughness (Rq) of the substrate 110 after removing the mask 150a, 150b is less than about 1.2 nm, less than about 1.1 nm, less than about 1.0 nm, less than about 0.9 nm, less than about 0.8 nm, less than about 0.7 nm, less than about 0.6 nm, less than about 0.5 nm, less than about 0.4 nm, or less than about 0.3 nm.


After the via 124 has been etched, the substrate 110 may be subjected to additional processing steps for acquiring additional interposer properties. FIG. 7 illustrates an example substrate 110 removably bonded to an example carrier 200 by disposing the second surface 114 of the substrate 110 on a bonding surface 210 of the carrier 200. As discussed above, glass interposers may be very thin (e.g., anywhere from less than 300 μm to 700 μm). Such thin material may be difficult to handle during fabrication procedures because of the fragility and lack of stiffness of the substrate 110. To counteract the fragility and lack of stiffness, the substrate 110 may be removably bonded to the carrier 200 after the vias have been formed and the first and second masks 150a, 150b have been removed. One exemplary method of removably bonding a substrate 110 to a carrier is by using Van der Waals bonding such as disclosed by U.S. Patent Publication No. 2014/0170378, which is hereby incorporated by reference in its entirety. Van der Waals bonding generally includes disposing a surface of an article on a bonding surface of a carrier and raising a temperature of the article followed by cooling the article to room temperature. The result is the article and the carrier being removably bonded together. Van der Waals bonding is beneficial to downstream processing because of its ability to form bonds that are capable of withstanding processing (e.g., high temperature processing), while allowing the entire area of the substrate to be removed (either all at once, or in sections) from the carrier 200. After the substrate 110 has been removed, the carrier 200 may be reused for processing additional substrates.


However, the challenge of using Van der Waals surface bonding techniques for bonding substrates is that the roughness of the surfaces' being bonded together impacts the ability of the surfaces to be bonded. As a non-limiting example, surface roughness (Rq) greater than about 1.0 nm may substantially prevent spontaneous bonding or result in weak bonding of the substrate 110 to the carrier 200. Weak bonding may permit liquids from one or more processes to infiltrate between the substrate 110 and the carrier 200, thereby leading to de-lamination or to process contamination as residue from one process may impact later processes.


The carrier 200 may be of any suitable material, such as glass, for example. The carrier 200 need not be glass, but instead may be ceramic, glass-ceramic, or metal, for example. If made of glass, the carrier 200 may be of any suitable composition including, but not limited to, aluminosilicate, borosilicate, aluminoborosilicate, soda lime silicate, and may be either alkali containing or alkali-free depending upon its ultimate application. The carrier 200 may have any suitable thickness. Additionally, the carrier 200 may be made of one layer, as shown, or multiple layers (including multiple thin sheets) that are bonded together (e.g., by lamination). Furthermore, the coefficient of thermal expansion of the carrier 200 may be substantially matched with that of the substrate 110 to prevent warping of the substrate 110 or decoupling of the substrate 110 from the carrier 200 during processing at elevated temperatures. The surface roughness (Rq) of the substrate 110 is additive to the surface roughness of the carrier 200. Therefore, it is generally recommended that the carrier 200 have a surface roughness (Rq) less than or equal to 0.6 nm.


Referring to block 16 of FIG. 2, once the substrate 110 is sufficiently bonded to the carrier 200 such that the carrier 200 and the substrate 110 will not separate during processing, the substrate 110 may be subjected to further processing. Processing the substrate 110 may include steps such as applying alkaline cleaning solutions to the substrate 110, wet etching the substrate 110, polishing the substrate 110, metal plating the substrate 110, metal patterning the substrate 110 by wet etching, depositing material onto the substrate 110 by deposition, and annealing the substrate 110.


EXAMPLES

The following Comparative Example and Examples 1 and 2 compare changes in surface roughness (Rq) as a result of acid etching and the removal of diphenylsilicon films. In each example, the glass samples were 0.7 mm thick and did not have damage regions. The surface roughness (Rq) of each sample was measured by a Veeco Dimension ICON AFM with the following parameters: 1 Hz, 512 scans/line, and 2 micron image size.


Comparative Example

In this comparative example, the surface roughness (Rq) of an unmasked Eagle XG® glass sample was measured periodically while the glass sample was etched by a 6M hydrofluoric and 1.6M nitric acid mixture. It was established that surface roughness (Rq) greater than 1 nm is achieved in less than 10 minutes at room temperature with mechanical (non-ultrasonic) agitation. This amount of time corresponded to an etching depth of 40 μm. The results are tabulated in TABLE 1 below. It is noted that surface roughness's (Rq) in some instances of over 1.0 nm may lead to weak or ineffectual Van der Waals bonding.












TABLE 1





Time
5 minutes
10 minutes
15 minutes







Surface Roughness (Rq)
1.11 nm
1.67 nm
1.46 nm









Example 1

In this example, the surface roughness (Rq) of an Eagle XG® glass sample was measured before application, after application, and after removal of a diphenylsilicon film. The diphensylsilicon film was deposited in an Applied Materials P5000 Universal Chemical Vapor Deposition (CVD) System with the following parameters: 390° C., volumetric flow rate of 500 sccm diphenylsilicane, volumetric flow rate of 600 sccm Hydrogen (H2), 9 torr, 210 mils. gap, 300 W RF, diphenylsilane bubbler at 80° C. The diphenylsilicon film had a thickness of 60 nm. The diphenylsilicon film was removed by a Gasonics L3510 photoresist stripper having the following parameters: 200° C., 1200 mT, 1000 sccm N2, 100 sccm O2, 900 W, 2.54 GHz, endpoint control with 30 sec. over-etch. The results, tabulated below in TABLE 2, illustrate the surface roughness (Rq) increasing only slightly, while still being well within the range required for Van der Waals bonding as described above. It is noted that the glass substrate was not subjected to acid etching in this example.











TABLE 2









Status











Before
After




Application
Application
After Removal














Surface Roughness (Rq)
0.193 nm
0.298 nm
0.272 nm









Example 2

In this example, the surface roughness (Rq) of an Eagle XG® glass sample was measured after application of the diphenylsilicon film, after 15 minutes of etching, and after removal of a diphenylsilicon film. As in example 1, the diphensylsilicon film was deposited in an Applied Materials P5000 Universal CVD System with the following parameters: 390° C., volumetric flow rate of 500 sccm diphenylsilicane, volumetric flow rate of 600 sccm Hydrogen (H2), 9 torr, 210 mils. gap, 300 W RF, diphenylsilane bubbler at 80° C. The diphenylsilicon film had a thickness of 60 nm. The etchant was a mixture of by a 3M hydrofluoric acid and 1M nitric acid and incorporated mechanical (non-ultrasonic) agitation. As in the above example, the diphenylsilicon film was removed by a Gasonics L3510 photoresist stripper having the following parameters: 200° C., 1200 mT, 1000 sccm N2, 100 sccm O2,900 W, 2.54 GHz, endpoint control with 30 sec. over-etch. The results, tabulated below in TABLE 3, illustrate the surface roughness (Rq) being well within the range required for Van der Waals bonding as described above. This example also illustrates diphenylsilicon's resistance to acid erosion as discussed herein.











TABLE 3









Status











After
After




Application
15 Minute Etch
After Removal














Surface Roughness (Rq)
0.269 nm
0.259 nm
0.201 nm









Example 3

In this example, 6 samples of Eagle XG® glass wafers having a 0.7 mm thickness, a diameter of 150 mm and no damage regions had an amorphous hydrogenated carbon film mask applied. The mask was deposited from ethylene and hydrogen in a Nextral NE500 reactive ion etcher under the conditions of 30 sccm ethylene, 70 sccm hydrogen, at a pressure of 60 mT, at a power of 800 W and a frequency of 13.56 MHz. The deposition time and thickness of the mask (as deposited) is listed in Table 4 below. The index of refraction of the mask was also measured (as deposited) at 632 nm. The thickness and index of refraction were measured using a N&K analyzer. After depositing the mask, the samples were etched for approximately 15 minutes at 23° C. in an etching solution of 10 wt % hydroflouric acid and 7 wt % nitric acid. The thickness of the mask and the index of refreaction at 632 nm was measured again after etching using a N&K analyzer and are listed in Table 4 below. The changes in thickness and index were within the range of experimental error. Next the mask was removed by a Gasonics L3510 photoresist stripper having the following parameters: 200° C., 1200 mT, 1000 sccm N2, 100 sccm O2,900 W, 2.54 GHz, endpoint control with 30 sec. over-etch. and the surface roughness (Rq) of each sample was measured by a Veeco Dimension ICON AFM with the following parameters: 1 Hz, 512 scans/line, and 2 micron image size. The results are listed in Table 4 below.


As can be seen in Table 4, Sample 7 did not have a mask and was etched under the same conditions as the six samples with the mask. The surface roughness (Rq) of the unmasked Sample 7 was significantly higher 1.13 compared to 0.240 to 0.278. This demonstrates that the mask















TABLE 4






Deposi-


Refractive
Refractive
Rq



tion
Thickness
Thickness
Index at
Index at
(nm)


Sam-
Time
(nm) as
(nm) after
632 nm as
632 nm
After


ple
(s)
deposited
etching
deposited
etching
removal





















1
30
22.86
23.78
1.809
1.791
0.278


2
59
33.52
32.85
2.001
2.013
0.241


3
89
46.02
46.14
2.066
2.065
0.267


4
160
76.93
76.63
2.119
2.119
0.257


5
178
80.81
80.39
2.120
2.119
0.240


6
237
110.80
110.90
2.118
2.120
0.248


7
0
0
0
0

1.13









Other materials provided herein may be deposited on substrates using an Applied Materials P5000 Universal CVD System having similar parameters. For example, phenylsilicon films may deposited from organosilicon hydride precursors with a hydrogen carrier gas in an Applied Materials P5000 Universal CVD system from phenylsilane and hydrogen with the following conditions: 390° C., volumetric flow rate of 120 sccm phenylsilane, and volumetric flow rate of 600 sccm Hydrogen (H2), 9 Torr. pressure, 210 mils. gap, and 300 W 13.56 MHz RF. The phenylsilane ampoule may be at 30° C. The deposition rate may be nearly 1000 nm/min. Methylphenylsilicon films may deposited us a Applied Materials P5000 Universal CVD System with the following process conditions: 390° C., volumetric flow rate of 200 sccm methylphenylsilane, volumetric flow rate of 600 sccm Hydrogen (H2), 9 torr, 210 mils. gap, and 450 W RF. The methylphenylsilane ampoule may be at 80° C. Other precursors such as organosilicon halides would produce similar results.


It should be understood that embodiments described herein provide for forming vias in substrates without substantially increasing the surface roughness (Rq) of the substrate. By preserving the low surface roughness of the substrate during via formation, the substrate may be removably bonded to a carrier for further processing. After processing, the substrate may be removed from the carrier, such that the carrier may be reused for processing further substrates. Furthermore, the through vias may be made substantially cylindrical because they may be etched from both ends.


It will be apparent to those skilled in the art that various modifications and variations can be made to the embodiments described herein without departing from the spirit and scope of the claimed subject matter. Thus it is intended that the specification cover the modifications and variations of the various embodiments described herein provided such modification and variations come within the scope of the appended claims and their equivalents.

Claims
  • 1. An article comprising: a substrate comprising a first surface and a second surface separated by a thickness T;at least one damage region within the substrate and extending from the first surface; anda first film layer disposed on an undamaged region of the first surface of the substrate, the first film layer selected from a group consisting of diphenylsilicon, phenylsilicon, methylphenylsilicon, and diamond-like carbon (DLC),wherein the first film layer is configured to be removed via oxidation from the first surface of the substrate without increasing a surface roughness (Rq) of the first surface of the substrate beyond Van der Waal bonding capability with an additional surface.
  • 2. The article of claim 1, wherein the substrate is a glass, ceramic, or glass-ceramic.
  • 3. The article of claim 1, wherein the first film layer is configured to be removed from the first surface of the substrate such that the first surface of the substrate has a surface roughness (Rq) of less than about 1.0 nm upon removal of the first film layer.
  • 4. The article of claim 3, wherein the first film layer is configured to be removed from the first surface of the substrate such that the surface roughness (Rq) of the first surface of the substrate is less than about 0.3 nm.
  • 5. The article of claim 3, wherein the first film layer is configured to be removed from the first surface of the substrate such that the surface roughness (Rq) of the first surface of the substrate is not substantially increased from a surface roughness (Rq) of the first surface of the substrate measured prior to application of the first film layer.
  • 6. The article of claim 5, wherein the first film layer is configured to be removed from the first surface of the substrate such that the surface roughness (Rq) of the first surface of the substrate decreases from a surface roughness (Rq) of the first surface of the substrate measured after application of the first film layer.
  • 7. The article of claim 1, further comprising a second film layer disposed on the undamaged region of the second surface of the substrate, the second film layer selected from a group consisting of diphenylsilicon, phenylsilicon, methylphenylsilicon, and diamond-like carbon (DLC).
  • 8. The article of claim 7, wherein the second film layer is configured to be removed via oxidation from the second surface of the substrate without increasing a surface roughness (Rq) of the second surface of the substrate beyond Van de Waal bonding capability with an additional surface.
  • 9. The article of claim 1, wherein the first film is DLC and the DLC is a hydrogenated amorphous carbon.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional and claims the benefit of priority under 35 U.S.C. § 120 of U.S. patent application Ser. No. 15/609,238, filed on May 31, 2017, which claims the benefit of priority under 35 U.S.C. § 119 of U.S. Provisional Application Ser. No. 62/343,943 filed on Jun. 1, 2016 the content of which is relied upon and incorporated herein by reference in its entirety.

US Referenced Citations (645)
Number Name Date Kind
108387 Pike Oct 1870 A
208387 Geoege Sep 1878 A
237571 Messier Feb 1881 A
1790397 Woods et al. Jan 1931 A
2682134 Stanley Jun 1954 A
2749794 O'Leary Jun 1956 A
3647410 Heaton et al. Mar 1972 A
3695497 Dear Oct 1972 A
3695498 Dear Oct 1972 A
3713921 Fleischer et al. Jan 1973 A
3729302 Heaton Apr 1973 A
3775084 Heaton Nov 1973 A
3798013 Hasegawa et al. Mar 1974 A
4226607 Domken Oct 1980 A
4395271 Beall et al. Jul 1983 A
4441008 Chan Apr 1984 A
4546231 Gresser et al. Oct 1985 A
4547836 Anthony Oct 1985 A
4564579 Morita Jan 1986 A
4646308 Kafka et al. Feb 1987 A
4764930 Bille et al. Aug 1988 A
4891054 Bricker et al. Jan 1990 A
4907586 Bille et al. Mar 1990 A
4918751 Pessot et al. Apr 1990 A
4929065 Hagerty et al. May 1990 A
4948941 Altman et al. Aug 1990 A
5022959 Itoh Jun 1991 A
5035918 Vyas Jul 1991 A
5040182 Spinelli et al. Aug 1991 A
5089062 Pavlik et al. Feb 1992 A
5102498 Itoh Apr 1992 A
5104210 Tokas Apr 1992 A
5108857 Kitayama et al. Apr 1992 A
5112722 Tsujino et al. May 1992 A
5114834 Nachshon May 1992 A
5166493 Inagawa et al. Nov 1992 A
5208068 Davis et al. May 1993 A
5265107 Delfyett, Jr. Nov 1993 A
5314522 Kondo et al. May 1994 A
5374291 Yabe et al. Dec 1994 A
5400350 Galvanauskas Mar 1995 A
5434875 Rieger et al. Jul 1995 A
5436925 Lin et al. Jul 1995 A
5457836 Wiedeck Oct 1995 A
5493096 Koh Feb 1996 A
5553093 Ramaswamy et al. Sep 1996 A
5574597 Kataoka Nov 1996 A
5575291 Hayakawa et al. Nov 1996 A
5575936 Goldfarb Nov 1996 A
5586138 Yokoyama Dec 1996 A
5696782 Harter et al. Dec 1997 A
5736709 Neiheisel Apr 1998 A
5745236 Haga Apr 1998 A
5746884 Gupta et al. May 1998 A
5776220 Allaire et al. Jul 1998 A
5844200 Leader et al. Nov 1998 A
5879424 Nishii et al. Mar 1999 A
5909284 Nakamura Jun 1999 A
5919607 Lawandy Jul 1999 A
5933230 Imaino et al. Aug 1999 A
5965043 Noddin et al. Oct 1999 A
6016223 Suzuki et al. Jan 2000 A
6016324 Rieger et al. Jan 2000 A
6055829 Witzmann et al. May 2000 A
6072624 Dixon et al. Jun 2000 A
6078599 Everage et al. Jun 2000 A
6120131 Murthy et al. Sep 2000 A
6140243 Wallace Oct 2000 A
6143382 Koyama et al. Nov 2000 A
6156030 Neev Dec 2000 A
6160835 Kwon Dec 2000 A
6186384 Sawada Feb 2001 B1
6210401 Lai Apr 2001 B1
6224713 Hembree et al. Apr 2001 B1
6234755 Bunker et al. May 2001 B1
6256328 Delfyett et al. Jul 2001 B1
6259151 Morrison Jul 2001 B1
6259512 Mizouchi Jul 2001 B1
6272156 Reed et al. Aug 2001 B1
6301932 Allen et al. Oct 2001 B1
6308055 Welland et al. Oct 2001 B1
6319867 Chacon et al. Nov 2001 B1
6322958 Hayashi Nov 2001 B1
6338901 Veerasamy Jan 2002 B1
6339208 Rockstroh et al. Jan 2002 B1
6344242 Stolk et al. Feb 2002 B1
6373565 Kafka et al. Apr 2002 B1
6381391 Islam et al. Apr 2002 B1
6391213 Homola May 2002 B1
6396856 Sucha et al. May 2002 B1
6399914 Troitski Jun 2002 B1
6407360 Choo et al. Jun 2002 B1
6420088 Angelopoulos Jul 2002 B1
6438996 Cuvelier Aug 2002 B1
6445491 Sucha et al. Sep 2002 B2
6449301 Wu et al. Sep 2002 B1
6484052 Visuri et al. Nov 2002 B1
6489589 Alexander Dec 2002 B1
6501578 Bernstein et al. Dec 2002 B1
6537937 Nishizawa et al. Mar 2003 B1
6552301 Herman et al. Apr 2003 B2
6563079 Umetsu et al. May 2003 B1
6573026 Aitken et al. Jun 2003 B1
6592703 Habeck et al. Jul 2003 B1
6635849 Okawa et al. Oct 2003 B1
6635850 Amako et al. Oct 2003 B2
6720519 Liu et al. Apr 2004 B2
6729161 Miura et al. May 2004 B1
6737345 Lin et al. May 2004 B1
6744009 Kuan et al. May 2004 B1
6754429 Borrelli et al. Jun 2004 B2
6787732 Xuan et al. Sep 2004 B1
6794605 Park et al. Sep 2004 B2
6800237 Yamamoto et al. Oct 2004 B1
6800831 Hoetzel Oct 2004 B1
6906795 Goto et al. Jun 2005 B2
6958094 Ohmi et al. Oct 2005 B2
6990285 Schroeder et al. Jan 2006 B2
6992026 Fukuyo et al. Jan 2006 B2
6992030 Paulson Jan 2006 B2
7008817 Kim et al. Mar 2006 B2
7009138 Amako et al. Mar 2006 B2
7019257 Stevens Mar 2006 B2
7033519 Taylor et al. Apr 2006 B2
7043072 Goto et al. May 2006 B2
7057135 Li Jun 2006 B2
7084073 Lee et al. Jul 2006 B2
7211899 Taniguchi et al. May 2007 B2
7337540 Kurosawa Mar 2008 B2
7353829 Wachter et al. Apr 2008 B1
7407889 Tsunetomo et al. Aug 2008 B2
7511886 Schultz et al. Mar 2009 B2
7528967 Okawauchi et al. May 2009 B2
7534734 Ellison May 2009 B2
7535634 Savchenkov et al. May 2009 B1
7626665 Koike Dec 2009 B2
7633033 Thomas et al. Dec 2009 B2
7642483 You et al. Jan 2010 B2
7649153 Haight et al. Jan 2010 B2
7683370 Kugimiya et al. Mar 2010 B2
7726532 Gonoe May 2010 B2
7749809 How et al. Jul 2010 B2
7763559 Kurachi et al. Jul 2010 B2
7772115 Hiatt Aug 2010 B2
7777275 Lee Aug 2010 B2
7836727 Nishiyama Nov 2010 B2
7880117 Li et al. Jan 2011 B2
7981810 Subramonium Jul 2011 B1
7994503 Hino et al. Aug 2011 B2
8007913 Coppola et al. Aug 2011 B2
8021950 Abadeer et al. Sep 2011 B1
8104385 Hayashi et al. Jan 2012 B2
8118971 Hori et al. Feb 2012 B2
8119462 Takasawa et al. Feb 2012 B2
8132427 Brown et al. Mar 2012 B2
8163649 Koike et al. Apr 2012 B2
8168514 Garner et al. Apr 2012 B2
8245539 Lu et al. Aug 2012 B2
8245540 Abramov et al. Aug 2012 B2
8257603 Logunov et al. Sep 2012 B2
8269138 Garner et al. Sep 2012 B2
8283595 Fukuyo et al. Oct 2012 B2
8292141 Cox et al. Oct 2012 B2
8296066 Zhao et al. Oct 2012 B2
8303754 Higuchi Nov 2012 B2
8307672 Hidaka et al. Nov 2012 B2
8327666 Harvey et al. Dec 2012 B2
8338957 Nilsson Dec 2012 B2
8341976 Dejneka et al. Jan 2013 B2
8347651 Abramov et al. Jan 2013 B2
8358888 Ramachandran Jan 2013 B2
8384083 Mori et al. Feb 2013 B2
8411459 Yu et al. Apr 2013 B2
8444906 Lee et al. May 2013 B2
8448471 Kumatani et al. May 2013 B2
8455378 Yanase et al. Jun 2013 B2
8482189 Goto et al. Jul 2013 B2
8518280 Hsu et al. Aug 2013 B2
8531679 Scheiner Sep 2013 B2
8533942 Ohashi et al. Sep 2013 B2
8535997 Kawakami et al. Sep 2013 B2
8549881 Brown et al. Oct 2013 B2
8584354 Cornejo et al. Nov 2013 B2
8584490 Garner et al. Nov 2013 B2
8592716 Abramov et al. Nov 2013 B2
8604380 Howerton et al. Dec 2013 B2
8607590 Glaesemann et al. Dec 2013 B2
8616024 Cornejo et al. Dec 2013 B2
8635887 Black et al. Jan 2014 B2
8643129 Laming et al. Feb 2014 B2
8670182 Tanida et al. Mar 2014 B2
8680489 Martinez et al. Mar 2014 B2
8685838 Fukuyo et al. Mar 2014 B2
8697228 Carre Apr 2014 B2
8699037 Cox Apr 2014 B2
8720228 Li May 2014 B2
8742588 Nilsson et al. Jun 2014 B2
8796165 Ellison et al. Aug 2014 B2
8826696 Brown et al. Sep 2014 B2
8835335 Murata et al. Sep 2014 B2
8852698 Fukumitsu Oct 2014 B2
8871641 Nilsson Oct 2014 B2
8873067 Lee et al. Oct 2014 B2
8887529 Lu et al. Nov 2014 B2
8916798 Pluss Dec 2014 B2
8943855 Gomez et al. Feb 2015 B2
8971053 Kariya et al. Mar 2015 B2
8980727 Lei et al. Mar 2015 B1
8993465 Ellison et al. Mar 2015 B2
8999179 Yu et al. Apr 2015 B2
9023421 Nakashima May 2015 B2
9024443 Inaba et al. May 2015 B2
9093381 Barriere et al. Jul 2015 B2
9138913 Arai et al. Sep 2015 B2
9140539 Scheiner Sep 2015 B2
9227868 Matsumoto et al. Jan 2016 B2
9232652 Fushie et al. Jan 2016 B2
9263300 Tsai et al. Feb 2016 B2
9278886 Boek et al. Mar 2016 B2
9285593 Laskin et al. Mar 2016 B1
9290407 Barefoot et al. Mar 2016 B2
9296066 Hosseini et al. Mar 2016 B2
9296646 Burket et al. Mar 2016 B2
9305470 Miki et al. Apr 2016 B2
9321680 Chuang et al. Apr 2016 B2
9324791 Tamemoto Apr 2016 B2
9327381 Lee et al. May 2016 B2
9346706 Bazemore et al. May 2016 B2
9377583 Giaretta et al. Jun 2016 B2
9425125 Shen Aug 2016 B2
9442377 Ongayi Sep 2016 B1
9446590 Chen et al. Sep 2016 B2
9481598 Bergh et al. Oct 2016 B2
9517963 Marjanovic et al. Dec 2016 B2
9676046 Hamada et al. Jun 2017 B2
9745220 Burket et al. Aug 2017 B2
9758876 Shorey et al. Sep 2017 B2
9760986 Ramamurthy et al. Sep 2017 B2
9815730 Marjanovic et al. Nov 2017 B2
9832868 Wright et al. Nov 2017 B1
9850160 Marjanovic et al. Dec 2017 B2
9953912 Goers Apr 2018 B2
10144093 Marjanovic et al. Dec 2018 B2
10203476 Cui Feb 2019 B2
20010009250 Herman et al. Jul 2001 A1
20020005805 Ogura et al. Jan 2002 A1
20020041946 Abe Apr 2002 A1
20020046997 Nam et al. Apr 2002 A1
20020051563 Goto et al. May 2002 A1
20020052125 Shaffer, II May 2002 A1
20020062563 Koide et al. May 2002 A1
20020082466 Han Jun 2002 A1
20020097486 Yamaguchi et al. Jul 2002 A1
20020110639 Bruns Aug 2002 A1
20020137344 Jordan et al. Sep 2002 A1
20020180015 Yamaguchi et al. Dec 2002 A1
20020182871 Lu Dec 2002 A1
20030006221 Hong et al. Jan 2003 A1
20030007772 Borrelli et al. Jan 2003 A1
20030045420 Koyama et al. Mar 2003 A1
20030137056 Taniguchi et al. Jul 2003 A1
20030150839 Kobayashi et al. Aug 2003 A1
20030206651 Goto et al. Nov 2003 A1
20030217568 Koyo et al. Nov 2003 A1
20030235385 Taylor et al. Dec 2003 A1
20040000534 Lipinski Jan 2004 A1
20040013951 Wang Jan 2004 A1
20040022487 Nagasaka et al. Feb 2004 A1
20040058476 Enquist et al. Mar 2004 A1
20040061705 Yoon et al. Mar 2004 A1
20040092105 Lee et al. May 2004 A1
20040094524 Stevens May 2004 A1
20040152229 Najafi et al. Aug 2004 A1
20040188393 Li et al. Sep 2004 A1
20040217455 Shiono et al. Nov 2004 A1
20040221615 Postupack et al. Nov 2004 A1
20040223704 Fujii et al. Nov 2004 A1
20040256619 Nomura et al. Dec 2004 A1
20050009315 Kim et al. Jan 2005 A1
20050023246 McEntee et al. Feb 2005 A1
20050024743 Camy-Peyret Feb 2005 A1
20050029238 Chen Feb 2005 A1
20050033184 Christoph Feb 2005 A1
20050079650 Mancini Apr 2005 A1
20050098458 Gruetzmacher et al. May 2005 A1
20050098548 Kobayashi et al. May 2005 A1
20050106874 Matsui et al. May 2005 A1
20050112506 Czech May 2005 A1
20050115938 Sawaki et al. Jun 2005 A1
20050142364 Aitken Jun 2005 A1
20050142812 Kurosawa Jun 2005 A1
20050158538 Li Jul 2005 A1
20050202683 Wang Sep 2005 A1
20050266320 Amemiya Dec 2005 A1
20050274702 Deshi Dec 2005 A1
20060011593 Fukuyo et al. Jan 2006 A1
20060012766 Klosner et al. Jan 2006 A1
20060019814 Baik et al. Jan 2006 A1
20060039160 Cassarly et al. Feb 2006 A1
20060109874 Shiozaki et al. May 2006 A1
20060127679 Gulati et al. Jun 2006 A1
20060151450 You et al. Jul 2006 A1
20060192978 Laguarta Bertran et al. Aug 2006 A1
20060194916 Zhong Aug 2006 A1
20060207976 Bovatsek et al. Sep 2006 A1
20060219676 Taylor et al. Oct 2006 A1
20060227440 Gluckstad Oct 2006 A1
20060270232 Kawamura et al. Nov 2006 A1
20060289410 Morita et al. Dec 2006 A1
20060290232 Fujita et al. Dec 2006 A1
20060292877 Lake Dec 2006 A1
20070045779 Hiatt Feb 2007 A1
20070051706 Bovatsek et al. Mar 2007 A1
20070111390 Komura et al. May 2007 A1
20070111480 Maruyama et al. May 2007 A1
20070117044 Ogihara May 2007 A1
20070119831 Kandt May 2007 A1
20070132977 Komatsuda Jun 2007 A1
20070138151 Tanaka et al. Jun 2007 A1
20070177116 Amako Aug 2007 A1
20070181543 Urairi et al. Aug 2007 A1
20070190340 Coppola et al. Aug 2007 A1
20070202619 Tamura et al. Aug 2007 A1
20070232028 Lee et al. Oct 2007 A1
20070298529 Maeda et al. Dec 2007 A1
20080000884 Sugiura et al. Jan 2008 A1
20080099444 Misawa et al. Apr 2008 A1
20080194109 Ishibashi Aug 2008 A1
20080206690 Kennedy Aug 2008 A1
20080212185 Fuse Sep 2008 A1
20080245109 Flemming et al. Oct 2008 A1
20080314883 Juodkazis et al. Dec 2008 A1
20090013724 Koyo et al. Jan 2009 A1
20090029189 Moriwaki et al. Jan 2009 A1
20090032510 Ando et al. Feb 2009 A1
20090075087 Xu et al. Mar 2009 A1
20090098351 Kishi Apr 2009 A1
20090151996 Mishima et al. Jun 2009 A1
20090176034 Ruuttu et al. Jul 2009 A1
20090183764 Meyer Jul 2009 A1
20090219491 Williams et al. Sep 2009 A1
20090242528 Howerton et al. Sep 2009 A1
20090250446 Sakamoto Oct 2009 A1
20090286091 Danielson et al. Nov 2009 A1
20090294419 Abramov et al. Dec 2009 A1
20090294422 Lubatschowski et al. Dec 2009 A1
20090324899 Feinstein et al. Dec 2009 A1
20100000259 Ukrainczyk et al. Jan 2010 A1
20100015439 Buether Jan 2010 A1
20100015454 Anderson Jan 2010 A1
20100025387 Arai et al. Feb 2010 A1
20100029460 Shojiya et al. Feb 2010 A1
20100032087 Takahashi et al. Feb 2010 A1
20100050692 Logunov et al. Mar 2010 A1
20100068453 Imai et al. Mar 2010 A1
20100080961 Okamura et al. Mar 2010 A1
20100086741 Bovatsek et al. Apr 2010 A1
20100086870 Ogihara Apr 2010 A1
20100089631 Sakaguchi et al. Apr 2010 A1
20100089882 Tamura Apr 2010 A1
20100102042 Garner et al. Apr 2010 A1
20100119808 Li et al. May 2010 A1
20100119846 Sawada May 2010 A1
20100129603 Blick et al. May 2010 A1
20100133697 Nilsson Jun 2010 A1
20100147813 Lei et al. Jun 2010 A1
20100178732 Wu et al. Jul 2010 A1
20100206008 Harvey et al. Aug 2010 A1
20100252540 Lei et al. Oct 2010 A1
20100252959 Lei et al. Oct 2010 A1
20100276505 Smith Nov 2010 A1
20100279067 Sabia et al. Nov 2010 A1
20100279509 Kim Nov 2010 A1
20100284027 Scheiner Nov 2010 A1
20100287991 Brown et al. Nov 2010 A1
20100289115 Akiyama et al. Nov 2010 A1
20100289186 Longo et al. Nov 2010 A1
20100291353 Dejneka et al. Nov 2010 A1
20100292068 Takaya et al. Nov 2010 A1
20100307809 Noda et al. Dec 2010 A1
20100320179 Morita et al. Dec 2010 A1
20100326138 Kumatani et al. Dec 2010 A1
20110003619 Fujii Jan 2011 A1
20110032467 Koike Feb 2011 A1
20110045239 Takaya Feb 2011 A1
20110049764 Lee et al. Mar 2011 A1
20110049765 Li et al. Mar 2011 A1
20110088324 Wessel Apr 2011 A1
20110100401 Fiorentini May 2011 A1
20110123787 Tomamoto May 2011 A1
20110132881 Liu Jun 2011 A1
20110132883 Sheng et al. Jun 2011 A1
20110183116 Hung et al. Jul 2011 A1
20110187025 Costin, Sr. Aug 2011 A1
20110189847 Tsai Aug 2011 A1
20110195360 Flemming et al. Aug 2011 A1
20110201197 Nilsson et al. Aug 2011 A1
20110204528 Matsutani Aug 2011 A1
20110229687 Gu et al. Sep 2011 A1
20110240611 Sandstroem Oct 2011 A1
20110248405 Li et al. Oct 2011 A1
20110256344 Ono et al. Oct 2011 A1
20110259373 Hotta et al. Oct 2011 A1
20110259860 Bass et al. Oct 2011 A1
20110277507 Lu et al. Nov 2011 A1
20110300908 Grespan et al. Dec 2011 A1
20110308942 Liu et al. Dec 2011 A1
20110316561 Tinsley et al. Dec 2011 A1
20110318555 Bookbinder et al. Dec 2011 A1
20110318561 Murata et al. Dec 2011 A1
20120013196 Kim et al. Jan 2012 A1
20120017642 Teranishi et al. Jan 2012 A1
20120047951 Dannoux et al. Feb 2012 A1
20120047956 Li Feb 2012 A1
20120050692 Gollier Feb 2012 A1
20120052302 Matusick et al. Feb 2012 A1
20120048604 Cornejo et al. Mar 2012 A1
20120061440 Roell Mar 2012 A1
20120064306 Kang et al. Mar 2012 A1
20120092681 Cox Apr 2012 A1
20120103018 Lu et al. May 2012 A1
20120105095 Bryant et al. May 2012 A1
20120111057 Barefoot et al. May 2012 A1
20120125892 Shimoi et al. May 2012 A1
20120125893 Shimoi et al. May 2012 A1
20120129359 Shimoi et al. May 2012 A1
20120130004 Xu May 2012 A1
20120131958 Shimoi et al. May 2012 A1
20120131962 Mitsugi et al. May 2012 A1
20120135177 Cornejo et al. May 2012 A1
20120135195 Glaesemann et al. May 2012 A1
20120135607 Shimoi et al. May 2012 A1
20120135608 Shimoi et al. May 2012 A1
20120135852 Ellison et al. May 2012 A1
20120135853 Amin et al. May 2012 A1
20120141668 Nakashima Jun 2012 A1
20120142136 Horning et al. Jun 2012 A1
20120145331 Gomez et al. Jun 2012 A1
20120168412 Hooper Jul 2012 A1
20120196071 Cornejo et al. Aug 2012 A1
20120205356 Pluess Aug 2012 A1
20120211923 Garner et al. Aug 2012 A1
20120214006 Chen Aug 2012 A1
20120234049 Bolton Sep 2012 A1
20120234807 Sercel et al. Sep 2012 A1
20120235969 Burns et al. Sep 2012 A1
20120241919 Mitani Sep 2012 A1
20120255935 Kakui et al. Oct 2012 A1
20120261697 Margalit et al. Oct 2012 A1
20120276483 Ogihara Nov 2012 A1
20120276743 Won Nov 2012 A1
20120299203 Sugo Nov 2012 A1
20120299219 Shimoi et al. Nov 2012 A1
20120302139 Darcangelo et al. Nov 2012 A1
20120308803 Dejneka et al. Dec 2012 A1
20130019637 Sol et al. Jan 2013 A1
20130029092 Wakioka Jan 2013 A1
20130034688 Koike et al. Feb 2013 A1
20130044371 Rupp et al. Feb 2013 A1
20130050226 Shenoy et al. Feb 2013 A1
20130061636 Imai et al. Mar 2013 A1
20130068736 Mielke et al. Mar 2013 A1
20130075480 Yokogi et al. Mar 2013 A1
20130078891 Lee et al. Mar 2013 A1
20130089701 Hooper Apr 2013 A1
20130091897 Fujii et al. Apr 2013 A1
20130105213 Hu et al. May 2013 A1
20130118793 Teshima et al. May 2013 A1
20130122264 Fujii et al. May 2013 A1
20130126573 Hosseini et al. May 2013 A1
20130129947 Harvey et al. May 2013 A1
20130133367 Abramov et al. May 2013 A1
20130135745 Tanida et al. May 2013 A1
20130143416 Norval Jun 2013 A1
20130149434 Oh et al. Jun 2013 A1
20130149494 Koike et al. Jun 2013 A1
20130163801 Ha et al. Jun 2013 A1
20130167590 Teranishi et al. Jul 2013 A1
20130174607 Wootton et al. Jul 2013 A1
20130174610 Teranishi et al. Jul 2013 A1
20130180285 Kariya Jul 2013 A1
20130180665 Gomez et al. Jul 2013 A2
20130189806 Hoshino Jul 2013 A1
20130192305 Black et al. Jul 2013 A1
20130205835 Giaretta et al. Aug 2013 A1
20130209731 Nattermann et al. Aug 2013 A1
20130210245 Jackl Aug 2013 A1
20130213467 Nattermann et al. Aug 2013 A1
20130220982 Thomas et al. Aug 2013 A1
20130221053 Zhang Aug 2013 A1
20130224439 Zhang et al. Aug 2013 A1
20130224492 Bookbinder et al. Aug 2013 A1
20130228918 Chen et al. Sep 2013 A1
20130247615 Boek et al. Sep 2013 A1
20130255779 Aitken et al. Oct 2013 A1
20130266757 Giron et al. Oct 2013 A1
20130270240 Kondo Oct 2013 A1
20130280495 Matsumoto Oct 2013 A1
20130288010 Akarapu et al. Oct 2013 A1
20130291598 Saito et al. Nov 2013 A1
20130312460 Kunishi et al. Nov 2013 A1
20130323469 Abramov et al. Dec 2013 A1
20130330515 Oh Dec 2013 A1
20130334185 Nomaru Dec 2013 A1
20130337599 Yun Dec 2013 A1
20130340480 Nattermann et al. Dec 2013 A1
20140015121 Koizumi et al. Jan 2014 A1
20140027951 Srinivas et al. Jan 2014 A1
20140034374 Cornejo et al. Feb 2014 A1
20140034730 Lee Feb 2014 A1
20140042202 Lee Feb 2014 A1
20140044143 Clarkson et al. Feb 2014 A1
20140047957 Wu Feb 2014 A1
20140054618 Li Feb 2014 A1
20140102146 Saito et al. Apr 2014 A1
20140110040 Cok Apr 2014 A1
20140113797 Yamada et al. Apr 2014 A1
20140116091 Chuang et al. Apr 2014 A1
20140133119 Kariya et al. May 2014 A1
20140141217 Gulati et al. May 2014 A1
20140147623 Shorey May 2014 A1
20140147624 Streltsov May 2014 A1
20140154439 Demartino et al. Jun 2014 A1
20140165652 Saito Jun 2014 A1
20140166199 Bellman et al. Jun 2014 A1
20140170378 Bellman Jun 2014 A1
20140174131 Saito et al. Jun 2014 A1
20140199519 Schillinger et al. Jul 2014 A1
20140216108 Wiegel et al. Aug 2014 A1
20140231390 Nukaga et al. Aug 2014 A1
20140235796 Ogihara Aug 2014 A1
20140242375 Mauro et al. Aug 2014 A1
20140254004 Wooder et al. Sep 2014 A1
20140290310 Green Oct 2014 A1
20140300728 Drescher et al. Oct 2014 A1
20140320947 Egerton et al. Oct 2014 A1
20140333929 Sung et al. Nov 2014 A1
20140339207 Sugiyama et al. Nov 2014 A1
20140340730 Bergh et al. Nov 2014 A1
20140342897 Amin et al. Nov 2014 A1
20140347083 Bryant et al. Nov 2014 A1
20140361463 Desimone et al. Dec 2014 A1
20140376006 Scheiner Dec 2014 A1
20150021513 Kim et al. Jan 2015 A1
20150027757 Shin et al. Jan 2015 A1
20150036065 Yousefpor et al. Feb 2015 A1
20150037553 Mauro Feb 2015 A1
20150038313 Hosseini Feb 2015 A1
20150051060 Ellison et al. Feb 2015 A1
20150054136 Ebefors et al. Feb 2015 A1
20150060402 Burkett Mar 2015 A1
20150075221 Kawaguchi et al. Mar 2015 A1
20150075222 Mader Mar 2015 A1
20150093908 Reddy Apr 2015 A1
20150102498 Enicks et al. Apr 2015 A1
20150110442 Zimmel et al. Apr 2015 A1
20150118522 Hosseini Apr 2015 A1
20150136743 Hosseini May 2015 A1
20150140241 Hosseini May 2015 A1
20150140299 Ellison et al. May 2015 A1
20150151380 Hosseini Jun 2015 A1
20150158120 Courvoisier et al. Jun 2015 A1
20150165548 Marjanovic et al. Jun 2015 A1
20150165560 Hackert et al. Jun 2015 A1
20150165562 Marjanovic et al. Jun 2015 A1
20150165563 Manley et al. Jun 2015 A1
20150166391 Marjanovic et al. Jun 2015 A1
20150166393 Marjanovic et al. Jun 2015 A1
20150166394 Marjanovic et al. Jun 2015 A1
20150166395 Marjanovic Jun 2015 A1
20150166396 Marjanovic et al. Jun 2015 A1
20150166397 Marjanovic et al. Jun 2015 A1
20150173191 Takahashi Jun 2015 A1
20150183679 Saito Jul 2015 A1
20150232369 Marjanovic et al. Aug 2015 A1
20150274583 An et al. Oct 2015 A1
20150299018 Bhuyan et al. Oct 2015 A1
20150306847 Bellman Oct 2015 A1
20150329415 Bellman et al. Nov 2015 A1
20150360991 Grundmueller et al. Dec 2015 A1
20150367442 Bovatsek et al. Dec 2015 A1
20150368145 Senshu et al. Dec 2015 A1
20150376050 Nakamura et al. Dec 2015 A1
20160008927 Grundmueller et al. Jan 2016 A1
20160009066 Nieber et al. Jan 2016 A1
20160023922 Addiego et al. Jan 2016 A1
20160026842 Withers et al. Jan 2016 A1
20160031745 Ortner et al. Feb 2016 A1
20160035587 Keech et al. Feb 2016 A1
20160059359 Krueger et al. Mar 2016 A1
20160060156 Krueger et al. Mar 2016 A1
20160102009 Boek et al. Apr 2016 A1
20160107925 Burket et al. Apr 2016 A1
20160145149 Burket et al. May 2016 A1
20160152516 Bazemore et al. Jun 2016 A1
20160166395 Weiman Jun 2016 A9
20160199944 Hosseini Jul 2016 A1
20160200621 N'Gom et al. Jul 2016 A1
20160201474 Slavens et al. Jul 2016 A1
20160204126 Amano Jul 2016 A1
20160208387 Liu et al. Jul 2016 A1
20160219704 Vandemeer et al. Jul 2016 A1
20160237571 Liu et al. Aug 2016 A1
20160280580 Bohme Sep 2016 A1
20160282584 Cui Sep 2016 A1
20160289669 Fan et al. Oct 2016 A1
20160290791 Buono et al. Oct 2016 A1
20160305764 Cui et al. Oct 2016 A1
20160311717 Nieber et al. Oct 2016 A1
20160312365 Cordonier et al. Oct 2016 A1
20160322291 Goers Nov 2016 A1
20160327744 Giaretta et al. Nov 2016 A1
20160334203 Cui et al. Nov 2016 A1
20160352023 Dang et al. Nov 2016 A1
20160351410 Fu et al. Dec 2016 A1
20160362331 Castle et al. Dec 2016 A1
20160368100 Marjanovic et al. Dec 2016 A1
20170002601 Bergh et al. Jan 2017 A1
20170008122 Wieland et al. Jan 2017 A1
20170011914 Sumant et al. Jan 2017 A1
20170029957 Moon Feb 2017 A1
20170036419 Adib Feb 2017 A1
20170103249 Jin et al. Apr 2017 A1
20170119891 Lal et al. May 2017 A1
20170160077 Featherstone et al. Jun 2017 A1
20170169847 Tamaki Jun 2017 A1
20170228884 Yoshida Aug 2017 A1
20170252859 Kumkar et al. Sep 2017 A1
20170276951 Kumkar et al. Sep 2017 A1
20170358447 Tsunetomo et al. Dec 2017 A1
20170363417 Cui et al. Dec 2017 A1
20170372899 Yang Dec 2017 A1
20180005922 Levesque et al. Jan 2018 A1
20180033128 Sobieranski et al. Jan 2018 A1
20180057390 Hackert et al. Mar 2018 A1
20180062342 Comstock, II et al. Mar 2018 A1
20180068868 Jaramillo et al. Mar 2018 A1
20180093914 Akarapu et al. Apr 2018 A1
20180215647 Ortner et al. Aug 2018 A1
20180340262 Hiranuma Nov 2018 A1
20180342450 Huang et al. Nov 2018 A1
20180342451 Dahlberg et al. Nov 2018 A1
20190012514 Jin Jan 2019 A1
20190185373 Hu et al. Jun 2019 A1
20200156990 Sakade et al. May 2020 A1
Foreign Referenced Citations (371)
Number Date Country
2004276725 Apr 2005 AU
2011101310 Nov 2011 AU
2530607 Apr 2005 CA
1096936 Jan 1995 CN
1196562 Oct 1998 CN
2388062 Jul 2000 CN
1473087 Feb 2004 CN
1485812 Mar 2004 CN
1200793 May 2005 CN
1619778 May 2005 CN
1636912 Jul 2005 CN
1735568 Feb 2006 CN
1761378 Apr 2006 CN
1845812 Oct 2006 CN
1283409 Nov 2006 CN
1967815 May 2007 CN
101048255 Oct 2007 CN
101238572 Aug 2008 CN
101386466 Mar 2009 CN
101427427 May 2009 CN
100494879 Jun 2009 CN
101502914 Aug 2009 CN
100546004 Sep 2009 CN
100555601 Oct 2009 CN
101602148 Dec 2009 CN
101610870 Dec 2009 CN
201357287 Dec 2009 CN
101631739 Jan 2010 CN
101637849 Feb 2010 CN
201471092 May 2010 CN
101722367 Jun 2010 CN
101862907 Oct 2010 CN
101965242 Feb 2011 CN
102046545 May 2011 CN
102060437 May 2011 CN
102246292 Nov 2011 CN
102300820 Dec 2011 CN
102304323 Jan 2012 CN
102319960 Jan 2012 CN
102326232 Jan 2012 CN
102343631 Feb 2012 CN
102356049 Feb 2012 CN
102356050 Feb 2012 CN
102428047 Apr 2012 CN
102485405 Jun 2012 CN
102540474 Jul 2012 CN
102574246 Jul 2012 CN
102585696 Jul 2012 CN
102596830 Jul 2012 CN
102649199 Aug 2012 CN
102672355 Sep 2012 CN
102795596 Nov 2012 CN
102898014 Jan 2013 CN
102916081 Feb 2013 CN
102923939 Feb 2013 CN
102958642 Mar 2013 CN
103013374 Apr 2013 CN
103079747 Apr 2013 CN
103143841 Jun 2013 CN
103159401 Jun 2013 CN
203021443 Jun 2013 CN
103237771 Aug 2013 CN
103273195 Sep 2013 CN
103316990 Sep 2013 CN
103359947 Oct 2013 CN
103359948 Oct 2013 CN
103460368 Dec 2013 CN
103531414 Jan 2014 CN
103534216 Jan 2014 CN
103746027 Apr 2014 CN
203509350 Apr 2014 CN
104344202 Feb 2015 CN
104897062 Sep 2015 CN
105246850 Jan 2016 CN
105392593 Mar 2016 CN
105693102 Jun 2016 CN
106132627 Nov 2016 CN
108191258 Jun 2018 CN
2231330 Jan 1974 DE
10322376 Dec 2004 DE
102006035555 Jan 2008 DE
102010003817 Oct 2011 DE
102011000768 Aug 2012 DE
102012010635 Nov 2013 DE
102012110971 May 2014 DE
102013103370 Oct 2014 DE
102013223637 May 2015 DE
102014113339 Mar 2016 DE
247993 Dec 1987 EP
0270897 Jun 1988 EP
280918 Sep 1988 EP
0393381 Oct 1990 EP
0938946 Aug 1999 EP
1043110 Oct 2000 EP
1159104 Dec 2001 EP
1164113 Dec 2001 EP
1412131 Apr 2004 EP
1449810 Aug 2004 EP
1609559 Dec 2005 EP
1614665 Jan 2006 EP
1651943 May 2006 EP
1714730 Oct 2006 EP
1990125 Nov 2008 EP
2020273 Feb 2009 EP
2133170 Dec 2009 EP
2202545 Jun 2010 EP
2253414 Nov 2010 EP
2398746 Dec 2011 EP
2543065 Jan 2013 EP
2574983 Apr 2013 EP
2600397 Jun 2013 EP
2754524 Jul 2014 EP
2781296 Sep 2014 EP
2783784 Sep 2014 EP
2831913 Feb 2015 EP
2859984 Apr 2015 EP
2922793 Sep 2015 EP
3166372 May 2017 EP
3288906 Mar 2018 EP
2989294 Oct 2013 FR
1242172 Aug 1971 GB
2481190 Dec 2011 GB
201102390 Feb 2013 IN
55-130839 Oct 1980 JP
56129261 Oct 1981 JP
56-160893 Dec 1981 JP
60220340 Nov 1985 JP
64-077001 Mar 1989 JP
01-179770 Jul 1989 JP
03-252384 Nov 1991 JP
04-349132 Dec 1992 JP
06-079486 Mar 1994 JP
06-318756 Nov 1994 JP
09-106243 Apr 1997 JP
10-263873 Oct 1998 JP
11-197498 Jul 1999 JP
11-269683 Oct 1999 JP
11-297703 Oct 1999 JP
11-330597 Nov 1999 JP
11-347758 Dec 1999 JP
2000010289 Jan 2000 JP
2000-301372 Oct 2000 JP
2000-302488 Oct 2000 JP
2001-105398 Apr 2001 JP
2001106545 Apr 2001 JP
2001-138083 May 2001 JP
2002-028799 Jan 2002 JP
2002-154846 May 2002 JP
2002-210730 Jul 2002 JP
2002-228818 Aug 2002 JP
2003-017503 Jan 2003 JP
2003-062756 Mar 2003 JP
2003-114400 Apr 2003 JP
2003-154517 May 2003 JP
2003148931 May 2003 JP
2003-181668 Jul 2003 JP
2003-238178 Aug 2003 JP
2004-190043 Jul 2004 JP
2004-209675 Jul 2004 JP
2004-255562 Sep 2004 JP
2004-330236 Nov 2004 JP
2004-351494 Dec 2004 JP
2004363212 Dec 2004 JP
2005-000952 Jan 2005 JP
2005019576 Jan 2005 JP
2005-074663 Mar 2005 JP
2005-104819 Apr 2005 JP
2005-205440 Aug 2005 JP
2005257339 Sep 2005 JP
2005-279755 Oct 2005 JP
2005-288503 Oct 2005 JP
2005-306702 Nov 2005 JP
2006-130691 May 2006 JP
3775250 May 2006 JP
3775410 May 2006 JP
2006-161124 Jun 2006 JP
2006-248885 Sep 2006 JP
3823108 Sep 2006 JP
2006-290630 Oct 2006 JP
2007-021548 Jan 2007 JP
2007-042741 Feb 2007 JP
2007-067031 Mar 2007 JP
2007-196277 Aug 2007 JP
2007-253203 Oct 2007 JP
2007-260896 Oct 2007 JP
2008094641 Apr 2008 JP
2008-156200 Jul 2008 JP
2008-522950 Jul 2008 JP
2008-247639 Oct 2008 JP
2008273783 Nov 2008 JP
2008288577 Nov 2008 JP
4349132 Oct 2009 JP
4418282 Feb 2010 JP
2010-046761 Mar 2010 JP
2010074017 Apr 2010 JP
2010-539288 Dec 2010 JP
4592855 Dec 2010 JP
2011-011212 Jan 2011 JP
2011-037707 Feb 2011 JP
2011-049398 Mar 2011 JP
4672689 Apr 2011 JP
2011-517299 Jun 2011 JP
2011-143434 Jul 2011 JP
2011178642 Sep 2011 JP
2011-228517 Nov 2011 JP
2011-251872 Dec 2011 JP
2012-024782 Feb 2012 JP
2012-028533 Feb 2012 JP
2012-031018 Feb 2012 JP
4880820 Feb 2012 JP
2012-506837 Mar 2012 JP
2012-159749 Aug 2012 JP
2012-517957 Aug 2012 JP
2012-187618 Oct 2012 JP
2013-007842 Jan 2013 JP
2013-031879 Feb 2013 JP
2013-043808 Mar 2013 JP
2013-075802 Apr 2013 JP
2013-091578 May 2013 JP
2013-121908 Jun 2013 JP
5274085 Aug 2013 JP
2013-178371 Sep 2013 JP
2013-187247 Sep 2013 JP
2013-536081 Sep 2013 JP
5300544 Sep 2013 JP
2013-203630 Oct 2013 JP
2013-203631 Oct 2013 JP
2013-223886 Oct 2013 JP
5318748 Oct 2013 JP
2013220958 Oct 2013 JP
2013-245153 Dec 2013 JP
2015-501531 Jan 2015 JP
2015-030040 Feb 2015 JP
2015-129076 Jul 2015 JP
2015-519722 Jul 2015 JP
2015146410 Aug 2015 JP
2016-508069 Mar 2016 JP
10-2002-0038707 May 2002 KR
20020066005 Aug 2002 KR
10-2009-0057161 Jun 2009 KR
2010-0120297 Nov 2010 KR
10-1020621 Mar 2011 KR
2011-0046953 May 2011 KR
2011-0121637 Nov 2011 KR
10-2012-0015366 Feb 2012 KR
10-1120471 Mar 2012 KR
10-1159697 Jun 2012 KR
10-2012-0074508 Jul 2012 KR
2012-0102675 Sep 2012 KR
2013-0031380 Mar 2013 KR
10-1259349 Apr 2013 KR
10-1269474 May 2013 KR
2013-0079395 Jul 2013 KR
10-2013-0111269 Oct 2013 KR
10-2013-0124646 Nov 2013 KR
10-1344368 Dec 2013 KR
10-2014-0022980 Feb 2014 KR
10-2014-0022981 Feb 2014 KR
10-2014-0064220 May 2014 KR
10-1423338 Jul 2014 KR
10-2014-0112652 Sep 2014 KR
2015-0016176 Feb 2015 KR
200842313 Nov 2008 TW
201027601 Jul 2010 TW
201041027 Nov 2010 TW
201041118 Nov 2010 TW
201212755 Mar 2012 TW
201226345 Jun 2012 TW
201303259 Jan 2013 TW
201311592 Mar 2013 TW
201331136 Jul 2013 TW
201339111 Sep 2013 TW
201429897 Aug 2014 TW
201610602 Mar 2016 TW
201621267 Jun 2016 TW
8902877 Apr 1989 WO
9821154 May 1998 WO
9929243 Jun 1999 WO
9963900 Dec 1999 WO
0051778 Sep 2000 WO
0133621 May 2001 WO
2002081142 Oct 2002 WO
2003007370 Jan 2003 WO
0311522 Feb 2003 WO
03021004 Mar 2003 WO
2004110693 Dec 2004 WO
2005031300 Apr 2005 WO
2005033033 Apr 2005 WO
2005034594 Apr 2005 WO
2006073098 Jul 2006 WO
2006112822 Oct 2006 WO
2007094160 Aug 2007 WO
2007094233 Aug 2007 WO
2007096958 Aug 2007 WO
2008012186 Jan 2008 WO
2008080182 Jul 2008 WO
2008102848 Aug 2008 WO
2008110061 Sep 2008 WO
2008128612 Oct 2008 WO
2009072810 Jun 2009 WO
2009114375 Sep 2009 WO
2010035736 Mar 2010 WO
2010087483 Aug 2010 WO
2010096359 Aug 2010 WO
2010111609 Sep 2010 WO
2010129459 Nov 2010 WO
2011025908 Mar 2011 WO
2011056781 May 2011 WO
2011109648 Sep 2011 WO
2012006736 Jan 2012 WO
2012011230 Jan 2012 WO
2012027220 Mar 2012 WO
2012060277 May 2012 WO
2012075072 Jun 2012 WO
2012108052 Aug 2012 WO
2012161317 Nov 2012 WO
2012166753 Dec 2012 WO
20131008344 Jan 2013 WO
20131016157 Jan 2013 WO
20131022148 Feb 2013 WO
2013030848 Mar 2013 WO
2013043173 Mar 2013 WO
2013084877 Jun 2013 WO
2013084879 Jun 2013 WO
2013130718 Sep 2013 WO
2013134237 Sep 2013 WO
2013138802 Sep 2013 WO
2013147694 Oct 2013 WO
2013150990 Oct 2013 WO
2013153195 Oct 2013 WO
2014010490 Jan 2014 WO
2014012125 Jan 2014 WO
2014028022 Feb 2014 WO
2014038326 Mar 2014 WO
2014064492 Apr 2014 WO
2014079478 May 2014 WO
2014079570 May 2014 WO
2014085660 Jun 2014 WO
2014085663 Jun 2014 WO
2014111385 Jul 2014 WO
2014111794 Jul 2014 WO
2014121261 Aug 2014 WO
2014148020 Sep 2014 WO
2014161535 Oct 2014 WO
2014161534 Nov 2014 WO
2014205301 Dec 2014 WO
2015029286 Mar 2015 WO
2015077113 May 2015 WO
2015094898 Jun 2015 WO
2015095088 Jun 2015 WO
2015095090 Jun 2015 WO
2015095146 Jun 2015 WO
2015095151 Jun 2015 WO
2015100056 Jul 2015 WO
2015113023 Jul 2015 WO
2015127583 Sep 2015 WO
2015157202 Oct 2015 WO
2016005455 Jan 2016 WO
2016010954 Jan 2016 WO
2016069821 May 2016 WO
2016089844 Jun 2016 WO
2016118683 Jul 2016 WO
2016154284 Sep 2016 WO
2016178966 Nov 2016 WO
2016176171 Nov 2016 WO
2016201027 Dec 2016 WO
2017038075 Mar 2017 WO
2017062798 Apr 2017 WO
2017127489 Jul 2017 WO
2017210376 Dec 2017 WO
2018162385 Sep 2018 WO
Non-Patent Literature Citations (122)
Entry
Jaramillo et al., Wet etching of hydrogenated amorphous carbon films, Mar. 7, 2001, Diamond and Related Materials, vol. 10, Issues 3-7, pp. 976-979 (Year: 2001).
Machine Translation of JP-2008094641-A, Apr. 2008 (Year: 2008).
West et al., Optimisation of photoresist removal from silicon wafers using atmospheriepressure plasma jet effluent, Jul. 2015, 22nd International Symposium on Plasma Chemistry (Year: 2015).
Pie Scientific, Photoresist stripping and descum organic contamination removal for silicon wafer, Feb. 2016 (Year: 2016).
Benjamin et al; “The Adhesion of Evaporated Metal Films on Glass”; Proc. Roy. Soc. A., vol. 261, (1962); p. 516-531.
Borghi et al; “M2 Factor of Bessel-Gauss Beams”; Optics Letters; vol. 22, No. 5; (1997) p. 262-264.
Chen et al. “Development of an AOI system for chips with a hole on backside based on a frame imager” Proc. of SPIE vol. 9903, 2016. 6 pgs.
Iijima et al; “Resistivity Reduction by External Oxidation of Cu—Mn Alloy Films for Semiconductor Interconnect Application”; Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 27, 1963-1968 (2009.
Intergrace, “Borosilicate glass: technical glass by Pulles & Hanique: Duan & Pyrex,” Pulles & Hanique B.V., 2 pgs. Published Mar. 15, 2012, retrieved from: https://web.archive.org/web/20120315092729/http://www.pulleshanique.com/02_borosilicate-glass.htm.
International Search Report and Written Opinion PCT/US2017/035346 dated Sep. 7, 2017.
International Search Report and Written Opinion PCT/US2018/056240 dated May 13, 2019, 19 Pgs.
Invitation to Pay Additional Fees From the International Searching Authority; PCT/US2018/056240 dated Mar. 14, 2019; 14 Pages; European Patent Office.
Kiyama et al; “Examination of Etching Agent and Etching Mechanism on Femtosecond Laser Microfabrication of Channels Inside Vitreous Silica Substrates”; J. Phys. Chem. C, 2009, 113, p. 11560-11566.
Koike et al; “P-33: Cu—Mn Electrodes for a-Si TFT and Its Electrical Characteristics”; SID Symposium Digest of Technical Papers, 41:1, 1343-1346 (2010.
Koike et al; “Self-Forming Diffusion Barrier Layer in Cu—Mn Alloy Metallization”; Appl. Phys. Lett. 87, 041911-1-041911-3 (2005.
Microchemicals, “Silicon Wafers, Quartz Wafers, Glass Wafers,” Product Specifications: Brochure. 2014, 28 pgs.
Ogutu et al; “Superconformal Filling of High Aspect Ratio Through Glass Vias (TGV) for Interposer Applications Using TNBT and NTBC Additives”; Journal of the Electrochemical Society, 162 (9), D457-D464 (2015.
Yun et al; “P-23:The Contact Properties and TFT Structures of A-IGZO TFTs Combined With Cu—Mn Alloy Electrodes”; SID Symposium Digest of Technical Papers 42:1, 1177-1180.
Shorey et al; “Progress and Application of Through Glass Via (TGV) Technology”; 2016 Pan Pacific Microelectronis Symposium, SMTA, Jan. 25, 2016; p. 1-6.
Shorey; “Leveraging Glass for Advanced Packaging and IoT”; Apr. 21, 2016, Retrieved Form the Internet: URL:http://www.corning.com/media/worldwide/cdt/documents/iMAPs%20-%20Corning%20Overview%20-%204-21-16%20FINALpptx.pdf.
Siegman; “New Development in Laser Resonators”; SPIE, vol. 1227, Optical Resonators (1990) pp. 2-14.
Thiele; “Relation Between Catalytic Activity and Size of Particle”; Industrial and Engineering Chemistry, vol. 31, No. 7; (1939) p. 916-920.
Topper et al; “3-D Thin Film Interposer Based on TGV (Through Glass Vias): An Alternative to Si-Interposer”; IEEE, Electronic Components and Technology Conference; 2010; p. 66-73.
U.S. Appl. No. 62/846,059; Cai et al. “Silicate Glass Compositions Useful for the Efficient Production of Through Glass Vias”, filed May 10, 2019, 43 pgs. Listed in ID as 28483.
U.S. Appl. No. 62/846,102; Guo et al. “High Silicate Glass Articles Possessing Through Glass Vias and Methods of Making and Using Thereof”, filed May 10, 2019,36 pgs. Listed in ID as 28303.
Wakayama et al. “Small size probe for inner profile measurement of pipes using optical fiber ring beam device” Proc. of SPIE vol. 8563, 2012. 7 pgs.
Wu et al, “A Study on Annealing Mechanisms With Different Manganese Contents in CuMn Alloy”; Journal of Alloys and Compounds, vol. 542, 2012, p. 118-123.
Zavyalov, “3D Hole Inspection Using Lens with High Field Curvature” Measurement Science Review, V. 15, No. 1, 2015. pp. 52-57.
Smedskjaer et al; “Impact of ZnO on the Structure and Properties of Sodium Aluminosilicate Glasses: Comparison With Alkaline Earth Oxides,” Journal of Non-Crystalline Solids 381, 58-64 (2013).
Lapczyna et al., “Ultra high repetition rate (133 MHz) laser ablation of aluminum with 1.2-ps pulses”; Applied Physics A 69 [Suppl.], S883-S886, Springer-Verlag (1999); doi: 10.1007/s003399900300.
Levy et al. “Design, fabrication, and characterization of circular Dammann gratings based on grayscale lithography,” Opt. Lett vol. 35, No. 6, p. 880-882 (2010).
Li et al. “Thick Polymer cover layers for laser micromachining of fine holes,” Applied Physics A, Sep. 2005, vol. 81, Issues 4, pp. 753-758.
Liu X et al. “laser ablation and micromachining with ultrashort laser pulses”, IEEE J. Quantum Electronics, 22, 1706-1716, 1997.
Madehow.com, Liquid Crystal Display (LCD), Jan. 29, 2006, https:/fweb.archive.org/web/20060129092154/http://www.madehow.comVolume-1/Liquid-Crystal-Display-LCD.html; pp. 1-6.
Maeda et al. “Optical performance of angle-dependent light-control glass”, Proc. SPIE 1536, Optical Materials Technology for Energy Efficiency and Solar Energy Conversion X, 138 (Dec. 1, 1991).
Matsusaka et al. “Micro-machinability of silversodium ion-exchanged glass by UV nanosecond laser,” J. Materials Processing Technology 202 (2008) 514-520.
Mbise et al. “Angular selective window coatings: theory and experiments” J. Phys. D: Appl. Phys. 30 2103 (1997).
McGloin et al.“Bessel beams: diffraction in a new light” Contemporary Physics, vol. 46 No. 1 (2005) pp. 15-28.
Merola et al. “Characterization of Bessel beams generated by polymeric microaxicons” Meas. Sci. Technol. 23 (2012) 10 pgs.
MicroChemicals, Silicon Wafers Quartz Wafers Glass Wafers, 2014 (no month) (Year: 2014).
Miranda et al. (Ultraviolet-induced crosslinking of poly(vinyl alcohol) evaluated by principal component analysis of FTIR spectra; Polym Int 50:1068-1072(2001).
Mirkhalaf, M. et al., Overcoming the brittleness of glass through bio-inspiration and micro-achitecture, Nature Communications, 5:3166/ncomm4166(2014).
Mukhina L.; “Laser Pulse Damage on the Surface of Ion Exchange Treated Glass”; Soviet Journal of Glass Physics and Chemistry; vol. 19; No. 3; p. 269-272; (1993.
Perry et al., “Ultrashort-pulse laser machining of dielectric materials”; Journal of Applied Physics, vol. 85, No. 9, May 1, 1999, American Institute of Physics, pp. 6803-6810.
Perry et al., “Ultrashort-pulse laser machining”; UCRL-1D-132159, Sep. 1998, pp. 1-38.
Perry et al., “Ultrashort-pulse laser machining”; UCRL-JC-132159 Rev 1., Jan. 22, 1999, pp. 1-24.
Polavka et al. “Crosslinking of polymers by the effect of ultraviolet radiation crosslinking of poly(vinyl alcohol) in the presence oflerephthalic aldehyde”; 1980.
Polynkin et al., “Extended filamentation with temporally chirped femtosecond Bessel-Gauss beams in air”; Optics Express, vol. 17, No. 2, Jan. 19, 2009, OSA, pp. 575-584.
Ramil et al. “Micromachining of glass by the third harmonic of nanosecond Nd:YVO4 laser”, Applied Surface Science 255 (2009) p. 5557-5560.
Romero et al. “Theory of optimal beam splitting by phase gratings. II. Square and hexagonal gratings” J. Opt. Soc. Am. A/vol. 24 No. 8 (2007) pp. 2296-2312.
Salleo A et al., Machining of transparent materials using IR and UV nanosecond laser pulses, Appl. Physics A 71, 601-608, 2000.
Serafetinides et al., “Polymer ablation by ultra-short pulsed lasers” Proceedings of SPIE vol. 3885 (2000) http://proceedings. spiedigitallibrary.org/.
Serafetinides et al., “Ultra-short pulsed laser ablation of polymers”; Applied Surface Science 180 (2001) 42-56.
Shah et al. “Micromachining with a high repetition rate femtosecond fiber laser”, Journal of Laser Micro/Nanoengineering vol. 3 No. 3 (2008) pp. 157-162.
Shealy et al. “Geometric optics-based design of laser beam shapers”,Opt. Eng. 42(11), 3123-3138 (2003). doi:10.1117/1.1617311.
Stoian et al. “Spatial and temporal laser pulse design for material processing on ultrafast scales” Applied Physics A (2014) 114, p. 119-127.
Sundaram et al., “Inducing and probing non-thermal transitions in semiconductors using femtosecond laser pulses”; Nature Miracles, vol. 1, Dec. 2002, Nature Publishing Group (2002), pp. 217-224.
Swift Glass, Quartz/Fused Silica, Mar. 2016 (Year: 2016).
Tom Christiansen, Tami Erickson; Standard Operating Procedure: Spin-On-Glass, Surface Level Characterization (2000).
Toytman et al. “Optical breakdown in transparent media with adjustable axial length and location”, Optics Express vol. 18 No. 24, 24688-24698 (2010).
Tsai et al “Investigation of underwater laser drilling for brittle substrates,” J. Materials Processing technology 209 (2009) 2838-2846.
Uzgiris et al. “Etched Laser Filament Tracks in Glasses and Polymers”, (1973) Phys. Rev. A 7, 734-740.
Vanagas et al., “Glass cutting by femtosecond pulsed irradiation”; J. Micro/Nanolith. MEMS MOEMS. 3(2), 358-363 (Apr. 1, 2004); doi: 10.1117/1.1668274.
Varel et al., “Micromachining of quartz with ultrashort laser pulses”; Applied Physics A 65, 367-373, Springer-Verlag (1997).
Velpula et al.. “Ultrafast imaging of free carriers: controlled excitation with chirped ultrafast laser Bessel beams”, Proc. of SPIE vol. 8967 896711-1 (2014).
Wang et al, “Investigation on CO2 laser irradiation inducing glass strip peeling for microchannel formation”, Biomicrofluidics 6, 012820 (2012).
Wlodarczyk et al. “The Impact of Graphite Coating and Wavelength on Picosecond Laser Machining of Optical Glasses,”, 31st ICALEO Program Notes (2012). Paper M#309.
Wu et al. “Optimal orientation of the cutting head for enhancing smoothness movement in three-dimensional laser cutting” (2013) Zhongguo Jiguang/Chinese Journal of Lasers, 40 (1), art. No. 0103005.
Xu et al. “Optimization of 3D laser cutting head orientation based on the minimum energy consumption” (2014) International Journal of Advanced Manufacturing Technology, 74 (9-12), pp. 1283-1291.
Yan et al. “Fiber structure to convert a Gaussian beam to higher-order optical orbital angular momentum modes” Optics Letters vol. 37 No. 16 (2012) pp. 3294-3296.
Zeng et al. “Characteristic analysis of a refractive axicon system for optical trepanning”; Optical Engineering 45(9), 094302 (Sep. 2006), pp. 094302-1-094302-10.
Zhang et al., “Design of diffractive-phase axicon illuminated by a Gaussian-profile beam”; Acta Physica Sinica (overseas edition), vol. 5, No. 5 (May 1996) Chin. Phys. Soc., 1004-423X/96/05050354-11, pp. 354-364.
“EagleEtch” Product Brochure, EuropeTec Usa Inc., pp. 1-8, Aug. 1, 2014.
“Pharos High-power femtosecond laser system” product brochure; Light Conversion, Vilnius, LT; Apr. 18, 2011, pp. 1-2.
“TruMicro 5000” Product Manual, Trumpf Laser GmbH + Co. KG, pp. 1-4, Aug. 2011.
“What is the difference between Ra and RMS?”; Harrison Electropolishing LP; (http://www.harrisonep.com/electropolishingra.html), Accessed Aug. 8, 2016.
Abakians et al.“Evaporative Cutting of a Semitransparent Body With a Moving CW Laser”, J. Heat Transfer 110(4a), 924-930 (Nov. 1, 1988) (7 pages) doi:10.1115/1.3250594.
Abramov et al., “Laser separation of chemically strengthened glass”; Physics Procedia 5 (2010) 285-290, Elsevier.; doi: 10.1016/j.phpro.2010.08.054.
Ahmed et al. “Display glass cutting by femtosecond laser induced single shot periodic void array” Applied Physics A: Materials Science and Proccessing vol. 93 No. 1 (2008) pp. 189-192.
Arimoto et al., “Imaging properties of axicon in a scanning optical system”; Applied Optics, Nov. 1, 1992, vol. 31, No. 31, pp. 6653-6657.
ASTM standard C770-16, entitled “Standard Test Method for Measurement of Glass Stress-Optical Coefficient,”.
Bagchi et al. “Fast ion beams from intense, femtosecond laser irradiated nanostructured surfaces” Applied Physics B 88 (2007) p. 167-173.
Bhuyan et al. “Laser micro- and nanostructuring using femtosecond Bessel beams”, Eur. Phys. J. Special Topics 199 (2011) p. 101-110.
Bhuyan et al. “Single shot high aspect ratio bulk nanostructuring of fused silica using chirp-controlled ultrafast laser Bessel beams” Applied Physics Letters 104 (2014) 021107.
Bhuyan et al. “Ultrafast Bessel beams for high aspect ratio taper free micromachining of glass” Proc. Of SPIE vol. 7728 77281V-1.
Bhuyan et al., “Femtosecond non-diffracting Bessel beams and controlled nanoscale ablation” by IEEE (2011).
Bhuyan et al., “High aspect ratio nanochannel machining using single shot femtosecond Bessel beams”; Applied Physics Letters 97, 081102 (2010); doi: 10.1063/1.3479419.
Bhuyan et al., “High aspect ratio taper-free microchannel fabrication using femtosecond Bessel beams”; Optics Express (2010) vol. 18, No. 2, pp. 566-574.
Case Design Guidelines for Apple Devices Release R5 (https://web.archive.org/web/20131006050442/https://developer.apple.com/resources/cases/Case-Design-Guidelines.pdf; archived on Oct. 6, 2013).
Chen et al. “Projection ablation of glass-based single and arrayed microstructures using excimer laser”, (2005) Optics and Laser Technology 37, 271-280.
Chiao et al. 9. “Self-trapping of optical beams,” Phys. Rev. Lett, vol. 13, Num. 15, p. 479 (1964).
Corning Inc., “Corning(Registered) 1737 AM LCD Glass Substrates Material Information”, issued Aug. 2002.
Corning Inc., “Corning(Registered) Eagle2000 TM AMLCD Glass Substrates Material Information”, issued Apr. 2005.
Couairon et al. “Femtosecond filamentation in transparent media” Physics Reports 441 (2007) pp. 47-189.
Courvoisier et al. “Applications of femtosecond Bessel beams to laser ablation” Applied Physics A (2013) 112, p. 29-34.
Courvoisier et al. “Surface nanoprocessing with non-diffracting femtosecond Bessel beams” Optics Letters vol. 34 No. 20, (2009) p. 3163-3165.
Cubeddu et al., “A compact time-resolved reflectance system for dual-wavelength multichannel assessment of tissue absorption and scattering”; Part of the SPIE Conference on Optical Tomography and Spectroscopy of Tissue III, San Jose, CA (Jan. 1999), SPIE vol. 3597, 0277-786X/99, pp. 450-455.
Cubeddu et al., “Compact tissue oximeter based on dual-wavelength multichannel time-resolved reflectance”; Applied Optics, vol. 38, No. 16, Jun. 1, 1999, pp. 3670-3680.
Ding et al., “High-resolution optical coherence tomography over a large depth range with an axicon lens”; Optic Letters, vol. 27, No. 4, pp. 243-245, Feb. 15, 2002, Optical Society of America.
Dong et al. “On-axis irradiance distribution of axicons illuminated by spherical wave”, Optics & Laser Technology 39 (2007) 1258-1261.
Duocastella et al. “Bessel and annular beams for material processing”, Laser Photonics Rev. 6, 607-621, 2012.
Dumin. “Exact solutions for nondiffracting beams I. The scaler theory” J. Opt. Soc. Am. A. 4(4) pp. 651-654.
Eaton et al. “Heat accumulation effects in femtosecond laser written waveguides with variable repetition rates”, Opt. Exp. 5280, vol. 14, No. 23, Jun. 2006.
Gattass et al. “Micromachining of bulk glass with bursts of femtosecond laser pulses at variable repetition rates” Opt. Exp. 5280, vol. 14, No. 23, Jun. 2006.
Girkin et al., “Macroscopic multiphoton biomedical imaging using semiconductor saturable Bragg reflector modelocked Lasers”; Part of the SPIE Conference on Commercial and Biomedical Applications of Ultrafast Lasers, San Jose, CA (Jan. 1999), SPIE vol. 3616, 0277-786X/99, pp. 92-98.
Glezer et al., “Ultrafast-laser driven micro-explosions in transparent materials”; Applied Physics Letters, vol. 71 (1997), pp. 882-884.
Golub, I., “Fresnel axicon”; Optic Letters, vol. 31, No. 12, Jun. 15, 2006, Optical Society of America, pp. 1890-1892.
Gori et al. “Analytical derivation of the optimum triplicator” Optics Communications 157 (1998) pp. 13-16.
Handbook of Adhesives (3rd edition, Edited by Irving Skeist).
Herman et al., “Laser micromachining of ‘transparent’ fused silica with 1-ps pulses and pulse trains”; Part of the SPIE Conference on Commercial and Biomedical Applications of Ultrafast Lasers, San Jose, CA (Jan. 1999), SPIE vol. 3616, 0277-786X/99, pp. 148-155.
Honda et al. “A Novel Polymer Film that Controls Light Transmission”, Progress in Pacific Polymer Science 3, 159-169 (1994).
Hu et al. “5-axis laser cutting interference detection and correction based on STL model” (2009) Zhongguo Jiguang/Chinese Journal of Lasers, 36 (12), pp. 3313-3317.
Huang et al., “Laser etching of glass substrates by 1064 nm laser irradiation”, Applied Physics, Oct. 2008, vol. 93, Issue 1, pp. 159-162.
Juodkazis S. et al. Laser induced microexplosion confined in the bulk of a sapphire crystal: evidence of multimegabar pressures., Phys. Rev. Lett. 96, 166101, 2006.
Karlsson et al. “The technology of chemical glass strengthening—a review” Glass Technol: Eur. J. Glass Sci. Technol. A (2010) 51 (2) pp. 41-54.
Kondo et al., Three-Dimensional Microdrilling of Glass by Multiphoton Process and Chemical Etching, 1999, Japanese Journal of Applied Physics, vol. 38, Part 2, No. 10A (Year: 1999).
Kosareva et al., “Formation of extended plasma channels in a condensed medium upon axicon focusing of a femtosecond laser pulse”; Quantum Electronics 35 (11) 1013-1014 (2005), Kvantovaya Elektronika and Turpion Ltd.; doi: 10.1070/QE2005v035n11ABEH013031.
Koyama et al “Laser-micromachining for Ag Ion Exchanged Glasses,” Second International Symposium on Laser Precision Microfabrication, Proc. SPE vol. 4426 (2002) 162-165.
Kruger et al. “UV laser drilling of SiC for semiconductor device fabrication,” J. Physics:Conference Series 59 (2007) 740-744.
Kruger et al., “Femtosecond-pulse visible laser processing of transparent materials”; Applied Surface Science 96-98 (1996) 430-438.
Kruger et al., “Laser micromachining of barium aluminium borosilicate glass with pluse durations between 20 fs and 3 ps”; Applied Surface Science 127-129 (1998) 892-898.
Kruger et al., “Structuring of dielectric and metallic materials with ultrashort laser pulses between 20 fs and 3 ps”; SPIE vol. 2991, 0277-786X/97, pp. 40-47.
Related Publications (1)
Number Date Country
20190348301 A1 Nov 2019 US
Provisional Applications (1)
Number Date Country
62343943 Jun 2016 US
Divisions (1)
Number Date Country
Parent 15609238 May 2017 US
Child 16524925 US