Combined anneal and selective deposition process

Abstract
A method for forming a film with an annealing step and a deposition step is disclosed. The method comprises an annealing step for inducing self-assembly or alignment within a polymer. The method also comprises a selective deposition step in order to enable selective deposition on a polymer.
Description
CROSS-REFERENCE TO RELATED APPLICATION

This application is related to U.S. Non-Provisional patent application Ser. No. 15/132,084, filed Apr. 18, 2016 and entitled “COMBINED ANNEAL AND SELECTIVE DEPOSITION SYSTEMS,” and U.S. Provisional Patent Application 62/324,255, filed Apr. 18, 2016 and entitled “METHOD OF FORMING A DIRECTED SELF-ASSEMBLED LAYER ON A SUBSTRATE,” the disclosures of which are hereby incorporated by reference in their entireties.


FIELD

The present disclosure generally relates to processes and systems for manufacturing electronic devices. More particularly, the disclosure relates to selective deposition of films. Specifically, the disclosure may disclose methods and systems to selectively form films using a directed self-assembly (DSA) patterning technique.


BACKGROUND

As the trend has pushed semiconductor devices to smaller and smaller sizes, different patterning techniques have arisen. These techniques include spacer defined quadruple patterning, extreme ultraviolet lithography (EUV), and EUV combined with Spacer Defined Double patterning. These approaches have allowed production of nodes in the 7 nm range.


Directed self-assembly (DSA) has been considered as an option for future lithography applications. DSA involves the use of block copolymers to define patterns for self-assembly. The block copolymers used may include poly(methyl methacrylate) (PMMA), polystyrene, or poly(styrene-block-methyl methacrylate) (PS-b-PMMA). Other block copolymers may include emerging “high-Chi” polymers, which may potentially enable small dimensions.


DSA can be used to form parallel lines or regular arrays of holes/pillars/posts with very small pitch and critical dimensions. In particular, DSA can define sub-20 nm patterns through self-assembly, while guided by surface topography and/or surface chemical patterning. As a result, a DSA polymer layer can be infiltrated with a precursor, or a film may be deposited selectively on one of the polymers of the DSA layers.


However, the DSA technique has several drawbacks. In particular, DSA polymers, such as PMMA or polystyrene, have low etch resistance. This makes the transfer of the pattern to layers below more difficult. The issue of low etch resistance becomes greater when the advanced polymers needed to further downscale the size of the semiconductor device has an even lower etch resistance and etch selectivity. In addition, the DSA may result in a high line edge roughness in the obtained patterns. Another drawback is that the obtained structure of parallel lines or array of holes may have some defects at random locations.


As a result, a method for selectively forming a film with higher etching resistance and etching selectivity is desired.


SUMMARY

In accordance with at least one embodiment of the invention, a method to selectively form a film is disclosed. The method comprises: providing a substrate for processing in a reaction chamber, the substrate having at least one polymer layer disposed on the substrate; performing an annealing step on the substrate; and performing a film deposition by sequentially pulsing a first precursor and a second precursor onto the substrate, the film deposition being configured to enable infiltration of at least the first precursor into the at least one polymer layer, wherein an excess of the first precursor and the second precursor are purged from the reaction chamber; wherein a film forms on the at least one polymer from the first precursor.


In accordance with at least one embodiment of the invention, a method for selectively forming a film is disclosed. The method may comprise: providing a substrate for processing in a reaction chamber, the substrate having at least one polymer layer disposed on the substrate; performing a film deposition by sequentially pulsing a first precursor and a second precursor onto the substrate, the film deposition being configured to enable infiltration of at least the first precursor into the at least one polymer layer, wherein an excess of the first precursor and the second precursor are purged from the reaction chamber; and performing an annealing step on the substrate; wherein a film forms on the at least one polymer from the first precursor.


For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein without necessarily achieving other objects or advantages as may be taught or suggested herein.


All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of certain embodiments having reference to the attached FIGURES, the invention not being limited to any particular embodiment(s) disclosed.





BRIEF DESCRIPTION OF THE DRAWINGS

These and other features, aspects, and advantages of the invention disclosed herein are described below with reference to the drawings of certain embodiments, which are intended to illustrate and not to limit the invention.



FIG. 1 is a flowchart of a method in accordance with at least one embodiment of the invention.





It will be appreciated that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the FIGURES may be exaggerated relative to other elements to help improve understanding of illustrated embodiments of the present disclosure.


DETAILED DESCRIPTION

Although certain embodiments and examples are disclosed below, it will be understood by those in the art that the invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Thus, it is intended that the scope of the invention disclosed should not be limited by the particular disclosed embodiments described below.


Embodiments in accordance with the invention relate to the combination of DSA techniques with selective deposition. This combination can increase the etch resistance of polymers significantly. Selective deposition allows for particular polymers to be reacted with a precursor gas, while leaving other polymers untouched.


Combining selective deposition with DSA patterning may provide benefits previously unseen with prior approaches, such as the one described in US Patent Publication No. US 2014/0273514 A1. For example, a selective deposition of aluminum oxide (Al2O3) at 90° C. may allow the reaction with a PMMA polymer, while leaving a polystyrene polymer untouched. The aluminum oxide will not only deposit on top of the PMMA polymer, but may be infused into the PMMA polymer to increase the rigidity of the PMMA polymer.



FIG. 1 illustrates a method 100 in accordance with at least one embodiment of the invention. The method 100 includes a first step 110 of providing a wafer with multiple polymers in a processing chamber. As described above, the wafer may have at least a first DSA polymer and a second DSA polymer, wherein the first DSA polymer and the second DSA polymer may be made of PMMA, polystyrene (PS), among other polymers. The processing chamber may be a batch reactor or a cluster tool with two batch reactors. One example of a potential processing chamber may include an A412 system from ASM International N.V., which may run in two reactor chambers the same process or run two different processes independently or sequentially.


The method 100 may include a second step 120 of performing a self-assembly anneal of the DSA polymers. The purpose of the annealing process is to incite the self-assembly or self-organization in the DSA polymers or the block copolymer. In other words, parallel lines or grids of holes/pillars/posts in the polymers may be formed as directed by guidance structures on the substrate. In accordance with at least one embodiment of the invention, this may mean that domains of PMMA and domains of PS may be formed in an alternating manner. The benefits achieved by the self-assembly anneal may include improvement of the self-assembly process, reduction of defects, improved line width roughness, and improved critical dimension (CD) uniformity. Alternatively, the anneal of the second step 120 may have a purpose of degassing moisture or other contaminants from the polymer, hardening the polymer, or selectively burning away one of the polymer types from the substrate surface.


In order to reach a low defect density in the obtained pattern, process parameters, such as the time, temperature, and the ambient conditions and pressure of the annealing process, are critical. A long annealing time may be needed to obtain a low defect density. The anneal may take place at a temperature ranging between 100° C. and 400° C., preferably between 200° C. and 300° C., and most preferably 250° C., for about 60 minutes. Other temperatures and durations are possible depending on the amount of anneal desired. However, the temperature of the self-assembly anneal should not be increased too high or the polymers may start to decompose.


The ambient environment in which the annealing is done may comprise nitrogen, argon, helium, hydrogen, oxygen, ozone, water vapor, solvent vapors, or mixtures of these gases. The pressure of the anneal ambient environment can be any pressure in the range from ultra-high vacuum to atmospheric pressure or even above atmospheric pressure.


In accordance with one embodiment of the invention, the annealing process may take place on a single wafer hot plate. In accordance with another embodiment of the invention, a batch reactor may prove to be beneficial for processes needing a long anneal time. The batch reactor may hold between 2 and 250 substrates, preferably between 5 and 150 substrates, or most preferably about 100 substrates. For example, the A412 may be operated such that one reactor may be used for an anneal process. This may enable to perform long anneals on the order of 1-2 hours in a cost effective way.


The method 100 may also include a third step 130 of performing a selective deposition of a metal or a dielectric film on top of either the first DSA polymer or the second DSA polymer. As such, the selective deposition may be done in a way that the deposited film may react selectively with only one of the two polymers. For example, the selective deposition may take place such that the deposited film may react with PMMA polymer and not PS polymer. In accordance with at least one embodiment of the invention, the third step 130 may comprise an atomic layer deposition of the metal or dielectric film.


Furthermore, the selective deposition may be done such that the deposited metal or dielectric film may infiltrate a polymer, while also depositing a second film on the whole volume of the polymer domain. In accordance with at least one embodiment of the invention, the third step 130 may take place in one reactor of an A412 system, such that the second step 120 takes place in the other reactor of the A412 system. It may also be possible that the second step 120 and the third step 130 take place in one single reactor of the A412 system.


The metal or dielectric deposited in the third step 130 may comprise aluminum oxide (Al2O3), silicon dioxide (SiO2), silicon nitride (SiN), silicon oxycarbide (SiOC), silicon carbonitride (SiCN), aluminum nitride (AlN), titanium nitride (TiN), tantalum nitride (TaN), tungsten (W), cobalt (Co), titanium dioxide (TiO2), tantalum oxide (Ta2O5), zirconium dioxide (ZrO2), or hafnium dioxide (HfO2). In order to perform the selective deposition, precursors to obtain the metal may be used, such as trimethylaluminum (TMA) and water (H2O) for the formation of Al2O3.


The selective deposition in the third step 130 may take place at a temperature ranging between 25° C. and 300° C., with a preferable temperature range of 70° C.-90° C. for the formation of Al2O3. The temperature during the third step 130 may be less than the temperature during the second step 120, so a cooldown step may be needed to go from an example annealing temperature of 250° C. to a third step 130 temperature of 70° C. In accordance with at least one embodiment of the invention, a temperature of the second step 120 is at least 25° C. higher than that of the third step 130, preferably between 25° C.-300° C. higher than that of the third step 130, or more preferably between 100° C.-250° C. higher than that of the third step 130.


The third step 130 may comprise a first pulse of a first precursor, such as TMA, for a duration ranging from 30 seconds to 10 minutes. The third step 130 may also then comprise a purge for a duration ranging from 10 to 60 seconds. The third step 130 may then comprise a pulse of a second precursor, such as water, for a duration ranging from 10 to 60 seconds. The third step 130 may then comprise a second purge having a duration ranging from 10 seconds to 2 minutes. In addition, the third step 130 may be repeated as needed in order to obtain sufficient deposition of the metal.


In accordance with at least one embodiment of the invention, the third step 130 of film deposition may precede the second step 120 of annealing. In this case, the metal or dielectric film may first infiltrate the polymer, and then an annealing process may occur. As a result of the annealing process, polymer that did not react with the metal or dielectric film during the third step 130 may be burned away in the second step 120.


The method 100 may also include a fourth step 140 of purging the precursors. The fourth step 140 may involve introduction of a purge gas such as nitrogen, helium, argon, and other inert gases. The purge gas would remove excess precursor from the fourth step 140 from the processing chamber. The fourth step 140 may take place at a temperature similar to those of the third step 130.


In accordance with at least one embodiment of the invention, the third step 130 may be repeated as necessary in order to allow the precursors to infiltrate into the DSA polymer. The cycle may be repeated approximately 5 times to ensure sufficient amount of the metal or dielectric film in the DSA polymer. In each cycle, the time duration of the third step 130 may be on the order of a few minutes. With these time durations, a batch reactor may be used to achieve high productivity and low process costs by processing up to 100 wafers or more at a time.


In accordance with at least one embodiment of the invention, the method 100 may be operated such that the third step 130 may be repeated in a pulse-purge-pulse-purge manner. The conditions of these steps may be set at higher pressure and a longer time in order to allow the precursors to infiltrate the polymers. A single cycle in this manner may range between 1 and 20 minutes in duration. The cycle may be repeated several times, typically five times, in order to obtain sufficient deposition of the material inside the polymer. Because infiltration of the material inside the polymer may take a longer amount of time, a combined annealing and deposition process provides an opportunity to perform steps in a batch manner.


A potential application for use of a combined annealing and selective deposition process may be for extreme ultraviolet (EUV) photoresist. The annealing for a EUV application may not be for the self-assembly of the polymer, but may serve a curing or stabilizing purpose. For example, the combined annealing and selective deposition process in accordance with at least one embodiment of the invention may assist in the sequential infiltration synthesis (SIS) step as potentially preventing conversion of carboxyl groups, or by degassing moisture from the polymer film or by stabilizing or hardening the photoresist.


The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the aspects and implementations in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various FIGURES are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationship or physical connections may be present in the practical system, and/or may be absent in some embodiments.


It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Thus, the various acts illustrated may be performed in the sequence illustrated, in other sequences, or omitted in some cases.


The subject matter of the present disclosure includes all novel and nonobvious combinations and subcombinations of the various processes, systems, and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims
  • 1. A method of selectively forming a film comprising: providing a substrate for processing in a reaction chamber, the substrate having at least one polymer layer disposed on the substrate;performing an annealing step on the substrate; andperforming a film deposition at a temperature lower than a temperature of the annealing step by sequentially pulsing a first precursor and a second precursor onto the substrate, the film deposition being configured to enable infiltration of at least the first precursor into the at least one polymer layer, wherein an excess of the first precursor and the second precursor are purged from the reaction chamber;wherein a film forms on the at least one polymer from the first precursor; andwherein the film deposition comprises: pulsing the first precursor onto the substrate;purging the first precursor from the reaction chamber;pulsing the second precursor onto the substrate; andpurging the second precursor from the reaction chamber.
  • 2. The method of claim 1, wherein a film forms within the at least one polymer from the first precursor that infiltrates the at least one polymer.
  • 3. The method of claim 1, wherein the film comprises at least one of: aluminum oxide (Al2O3), silicon dioxide (SiO2), silicon nitride (SiN), silicon oxynitride (SiON), silicon carbonitride (SiCN), aluminum nitride (AlN), titanium nitride (TiN), tantalum nitride (TaN), tungsten (W), cobalt (Co), titanium dioxide (TiO2), tantalum oxide (Ta2O5), zirconium dioxide (ZrO2), or hafnium dioxide (HfO2).
  • 4. The method of claim 2, wherein the film comprises at least one of: aluminum oxide (Al2O3), silicon dioxide (SiO2), silicon nitride (SiN), silicon oxynitride (SiON), silicon carbonitride (SiCN), aluminum nitride (AlN), titanium nitride (TiN), tantalum nitride (TaN), tungsten (W), cobalt (Co), titanium dioxide (TiO2), tantalum oxide (Ta2O5), zirconium dioxide (ZrO2), or hafnium dioxide (HfO2).
  • 5. The method of claim 1, wherein during the annealing step, a temperature of the reaction chamber ranges between 100° C. and 400° C.
  • 6. The method of claim 1, wherein during the film deposition, the temperature of the reaction chamber ranges between 25° C. and 300° C.
  • 7. The method of claim 1, wherein the at least one polymer comprises at least one of: poly(methyl methacrylate) (PMMA), polystyrene, poly(styrene-block-methyl methacrylate) (PS-b-PMMA), or an extreme UV photoresist.
  • 8. The method of claim 1, wherein the substrate comprises a second polymer.
  • 9. The method of claim 8, wherein the second polymer comprises at least one of: poly(methyl methacrylate) (PMMA), polystyrene, poly(styrene-block-methyl methacrylate) (PS-b-PMMA), or an extreme UV photoresist.
  • 10. The method of claim 1, wherein purging the excess of the first precursor comprises purging the reaction chamber with at least one of: nitrogen (N2), argon (Ar), helium (He), or an inert gas.
  • 11. The method of claim 1, wherein the performing the film deposition step is repeated in order to form the film of a greater thickness with each repetition.
  • 12. The method of claim 1, wherein the annealing step and the film deposition take place within a single reaction chamber.
  • 13. A method of selectively forming a film comprising: providing a substrate for processing in a reaction chamber, the substrate having at least one polymer layer disposed on the substrate;performing an annealing step on the substrate; andperforming a film deposition by sequentially pulsing a first precursor and a second precursor onto the substrate, the film deposition being configured to enable infiltration of at least the first precursor into the at least one polymer layer, wherein an excess of the first precursor and the second precursor are purged from the reaction chamber; wherein a film forms on the at least one polymer from the first precursor, and wherein the annealing step and the film deposition take place within different reaction chambers located on the same cluster tool.
  • 14. The method of claim 1, wherein the reaction chamber is a batch system for processing substrates.
  • 15. The method of claim 1, wherein the reaction chamber is configured to process multiple substrates.
  • 16. The method of claim 1, wherein the annealing step is configured to induce self-assembly within the at least one polymer layer.
  • 17. The method of claim 1, wherein the temperature of the annealing step is at least 25° C. higher than the temperature of the film deposition.
  • 18. A method of selectively forming a film comprising: providing a substrate for processing in a reaction chamber, the substrate having at least one polymer layer disposed on the substrate;performing a film deposition by sequentially pulsing a first precursor and a second precursor onto the substrate, the film deposition being configured to enable infiltration of at least the first precursor into the at least one polymer layer, wherein an excess of the first precursor and the second precursor are purged from the reaction chamber; andafter performing the film deposition, performing an annealing step on the substrate at a temperature higher than a temperature of the film deposition;wherein a film forms on the at least one polymer from the first precursor; andwherein the film deposition comprises: pulsing the first precursor onto the substrate;purging the first precursor from the reaction chamber;pulsing the second precursor onto the substrate; andpurging the second precursor from the reaction chamber.
  • 19. The method of claim 18, wherein the annealing step and the film deposition take place within a single reaction chamber.
  • 20. A method of selectively forming a film comprising: providing a substrate for processing in a reaction chamber, the substrate having at least one polymer layer disposed on the substrate;performing a film deposition by sequentially pulsing a first precursor and a second precursor onto the substrate, the film deposition being configured to enable infiltration of at least the first precursor into the at least one polymer layer, wherein an excess of the first precursor and the second precursor are purged from the reaction chamber; andafter performing the film deposition, performing an annealing step on the substrate, wherein a film forms on the at least one polymer from the first precursor, wherein the annealing step and the film deposition take place within different reaction chambers located on the same cluster tool.
  • 21. The method of claim 18, wherein the reaction chamber is a batch system for processing substrates.
  • 22. The method of claim 18, wherein the reaction chamber is configured to process multiple substrates.
  • 23. The method of claim 18, wherein the annealing step is configured to induce self-assembly within the at least one polymer layer.
  • 24. The method of claim 18, wherein the temperature of the annealing step is at least 25° C. higher than the temperature of the film deposition.
US Referenced Citations (170)
Number Name Date Kind
4863879 Kwok Sep 1989 A
4948755 Mo Aug 1990 A
5288697 Schrepp et al. Feb 1994 A
5447887 Filipiak et al. Sep 1995 A
5633036 Seebauer et al. May 1997 A
5869135 Vaeth et al. Feb 1999 A
5925494 Horn Jul 1999 A
6046108 Liu et al. Apr 2000 A
6482740 Soininen et al. Nov 2002 B2
6586330 Ludviksson et al. Jul 2003 B1
6679951 Soininen et al. Jan 2004 B2
6759325 Raaijmakers et al. Jul 2004 B2
6811448 Paton et al. Nov 2004 B1
6844258 Fair et al. Jan 2005 B1
6878628 Sophie et al. Apr 2005 B2
6887795 Soininen et al. May 2005 B2
6921712 Soininen et al. Jul 2005 B2
6958174 Klaus et al. Oct 2005 B1
7067407 Kostamo et al. Jun 2006 B2
7084060 Furukawa et al. Aug 2006 B1
7118779 Verghese et al. Oct 2006 B2
7220669 Hujanen et al. May 2007 B2
7241677 Soininen et al. Jul 2007 B2
7323411 Blosse Jan 2008 B1
7405143 Leinikka et al. Jul 2008 B2
7425350 Todd Sep 2008 B2
7476618 Kilpela et al. Jan 2009 B2
7494927 Kostamo et al. Feb 2009 B2
7595271 White Sep 2009 B2
7754621 Putkonen Jul 2010 B2
7799135 Verghese et al. Sep 2010 B2
7910177 Li Mar 2011 B2
7914847 Verghese et al. Mar 2011 B2
7927942 Raaijmakers Apr 2011 B2
7955979 Kostamo et al. Jun 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
8293597 Raaijmakers Oct 2012 B2
8293658 Shero et al. Oct 2012 B2
8425739 Wieting Apr 2013 B1
8536058 Kostamo et al. Sep 2013 B2
8778815 Yamaguchi et al. Jul 2014 B2
8890264 Dewey et al. Nov 2014 B2
8956971 Haukka et al. Feb 2015 B2
8962482 Albertson et al. Feb 2015 B2
8980418 Darling et al. Mar 2015 B2
8993404 Korbrinsky et al. Mar 2015 B2
9067958 Romero Jun 2015 B2
9112003 Haukka et al. Aug 2015 B2
9129897 Pore et al. Sep 2015 B2
9136110 Rathsack Sep 2015 B2
9159558 Cheng et al. Oct 2015 B2
9236292 Romero et al. Jan 2016 B2
9257303 Haukka et al. Feb 2016 B2
9490145 Niskanen et al. Nov 2016 B2
9502289 Haukka et al. Nov 2016 B2
9803277 Longrie et al. Oct 2017 B1
9911595 Smith et al. Mar 2018 B1
20010019803 Mirkanimi Sep 2001 A1
20010025205 Chern et al. Sep 2001 A1
20020047144 Nguyen et al. Apr 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020090777 Forbes et al. Jul 2002 A1
20030027431 Sneh et al. Feb 2003 A1
20030066487 Suzuki Apr 2003 A1
20030143839 Raaijmakers et al. Jul 2003 A1
20030181035 Yoon et al. Sep 2003 A1
20030192090 Meilland Oct 2003 P1
20030193090 Otani Oct 2003 A1
20040219746 Vaartstra et al. Jul 2004 A1
20050136604 Al-Bayati et al. Jun 2005 A1
20050223989 Lee et al. Oct 2005 A1
20060019493 Li Jan 2006 A1
20060047132 Shenai-Khatkhate et al. Mar 2006 A1
20060141155 Gordon et al. Jun 2006 A1
20060156979 Thakur et al. Jul 2006 A1
20060199399 Muscat Sep 2006 A1
20060226409 Burr et al. Oct 2006 A1
20060292845 Chiang et al. Dec 2006 A1
20070063317 Kim et al. Mar 2007 A1
20070099422 Wijekoon et al. May 2007 A1
20070241390 Tanaka et al. Oct 2007 A1
20080066680 Sherman Mar 2008 A1
20080072819 Rahtu Mar 2008 A1
20080179741 Streck et al. Jul 2008 A1
20080241575 Lavoie et al. Oct 2008 A1
20080282970 Heys et al. Nov 2008 A1
20090035949 Niinisto et al. Feb 2009 A1
20090071505 Miya et al. Mar 2009 A1
20090081385 Heys et al. Mar 2009 A1
20090203222 Dussarrat et al. Aug 2009 A1
20090269507 Yu et al. Oct 2009 A1
20090274887 Millward Nov 2009 A1
20090311879 Blasco et al. Dec 2009 A1
20100015756 Weidman et al. Jan 2010 A1
20100147396 Yamagishi et al. Jun 2010 A1
20100178468 Jiang et al. Jul 2010 A1
20100248473 Ishizaka et al. Sep 2010 A1
20100270626 Raisanen Oct 2010 A1
20110053800 Jung Mar 2011 A1
20110124192 Ganguli et al. May 2011 A1
20110221061 Prakash Sep 2011 A1
20110311726 Liu et al. Dec 2011 A1
20120032311 Gates Feb 2012 A1
20120046421 Darling Feb 2012 A1
20120088369 Weidman et al. Apr 2012 A1
20120189868 Borovik et al. Jul 2012 A1
20120219824 Prolier et al. Aug 2012 A1
20120241411 Darling et al. Sep 2012 A1
20120264291 Ganguli et al. Oct 2012 A1
20120269970 Ido et al. Oct 2012 A1
20130005133 Lee et al. Jan 2013 A1
20130089983 Sugita et al. Apr 2013 A1
20130095664 Matero et al. Apr 2013 A1
20130115768 Pore et al. May 2013 A1
20130146881 Yamazaki et al. Jun 2013 A1
20130189837 Haukka et al. Jul 2013 A1
20130196502 Haukka et al. Aug 2013 A1
20130203267 Pomarede et al. Aug 2013 A1
20130280919 Yuasa et al. Oct 2013 A1
20130284094 Pavol et al. Oct 2013 A1
20130316080 Yamaguchi et al. Nov 2013 A1
20140001572 Bohr et al. Jan 2014 A1
20140024200 Kato et al. Jan 2014 A1
20140091308 Dasgupta et al. Apr 2014 A1
20140120738 Jung et al. May 2014 A1
20140152383 Nikonov et al. Jun 2014 A1
20140190409 Matsumoto et al. Jul 2014 A1
20140193598 Traser Jul 2014 A1
20140205766 Lyon Jul 2014 A1
20140209022 Inoue et al. Jul 2014 A1
20140227461 Darwish et al. Aug 2014 A1
20140273290 Somervell Sep 2014 A1
20140273514 Somervell Sep 2014 A1
20140273523 Rathsack Sep 2014 A1
20140273527 Niskanen et al. Sep 2014 A1
20150004806 Ndiege et al. Jan 2015 A1
20150011032 Kunimatsu Jan 2015 A1
20150037972 Danek et al. Feb 2015 A1
20150064931 Kumagi et al. Mar 2015 A1
20150087158 Sugita et al. Mar 2015 A1
20150093890 Blackwell et al. Apr 2015 A1
20150097292 He et al. Apr 2015 A1
20150118863 Rathod et al. Apr 2015 A1
20150162214 Thompson et al. Jun 2015 A1
20150170961 Romero et al. Jun 2015 A1
20150179798 Clendenning et al. Jun 2015 A1
20150217330 Haukka et al. Aug 2015 A1
20150240121 Sugita et al. Aug 2015 A1
20150299848 Haukka et al. Oct 2015 A1
20150371866 Chen et al. Dec 2015 A1
20150376211 Girard et al. Dec 2015 A1
20160075884 Chen Mar 2016 A1
20160186004 Hustad Jun 2016 A1
20160222504 Haukka et al. Aug 2016 A1
20160247695 Niskanen et al. Aug 2016 A1
20160276208 Haukka et al. Sep 2016 A1
20160293398 Danek et al. Oct 2016 A1
20160365280 Brink et al. Dec 2016 A1
20170037513 Haukka et al. Feb 2017 A1
20170040164 Wang et al. Feb 2017 A1
20170058401 Blackwell et al. Mar 2017 A1
20170069527 Haukka et al. Mar 2017 A1
20170100742 Pore et al. Apr 2017 A1
20170100743 Pore et al. Apr 2017 A1
20170154806 Wang et al. Jun 2017 A1
20170298503 Maes et al. Oct 2017 A1
20170323776 Färm et al. Nov 2017 A1
20170352533 Tois et al. Dec 2017 A1
20170352550 Tois et al. Dec 2017 A1
20180233350 Tois et al. Aug 2018 A1
Foreign Referenced Citations (19)
Number Date Country
0469456 Feb 1992 EP
0880168 Nov 1998 EP
1340269 Feb 2009 EP
2011-187583 Sep 2011 JP
2014-93331 May 2014 JP
102001001072 Feb 2001 KR
1020040056026 Jun 2004 KR
WO 2002045167 Jun 2002 WO
WO 2011156705 Dec 2011 WO
WO 2013161772 Oct 2013 WO
WO 2014156782 Oct 2014 WO
WO 2014209390 Dec 2014 WO
WO 15047345 Apr 2015 WO
WO 2015047345 Apr 2015 WO
WO 2015094305 Jun 2015 WO
WO 2015147843 Oct 2015 WO
WO 2015147858 Oct 2015 WO
WO 17184357 Oct 2017 WO
WO 2017184358 Oct 2017 WO
Non-Patent Literature Citations (64)
Entry
Prasittichai et al., “Area Selective Molecular Layer Deposition of Polyurea Film”, Applied Materials & Interfaces, 2013, vol. 5, pp. 13391-13396.
Coclite, et al.; 25th Anniversary Article: CVD Polymers: A New Paradigm for Surface Modification and Device Fabrication; Advanced Materials; Oct. 2013; 25; pp. 5392-5423.
Farr, Isaac Vincent; Synthesis and Characterization of Novel Polyimide Gas Separation Membrane Material Systems, Chapter 2; Virginia Tech Chemistry PhD Dissertation; URN # etd-080999-123034; Jul. 26, 1999.
George, Steven M.; Atomic Layer Deposition: An Overview; Chem. Rev. 2010, 110, pp. 111-131; Feb. 12, 2009.
Norrman, et al.; 6 Studies of Spin-Coated Polymer Films; Annu. Rep. Prog. Chem.; Sect. C; 2005; 101; pp. 174-201.
Putkonen, et al.; Atomic Layer Deposition of Polyimide Thin Films; Journal of Materials Chemistry; 2007, 17, pp. 664-669.
Ratta, Varun; Crystallization, Morphology, Thermal Stability and Adhesive Properties of Novel High Performance Semicrystalline Polyimides, Chapter 1; Virginia Tech Chemistry PhD Dissertation; URN # etd-051799-162256; Apr. 26, 1999.
Sapp, et al.; Thermo-Mechanical and Electrical Characterization of Through-Silicon Vias with a Vapor Deposited Polyimide Dielectric Liner; IEEE; 2012.
Sundberg, et al.; Organic and Inorganic-Organic Thin Film Structures by Molecular Layer Deposition: A Review; Beilstein J. Nanotechnol; 2014, 5, pp. 1104-1136.
Toirov, et al.; Thermal Cyclodehydration of Polyamic Acid Initiated by UV-Irradiation; Iranian Polymer Journal; vol. 5, No. 1; pp. 16-22; 1996; Iran.
Zhou, et al.; Fabrication of Organic Interfacial Layers by Molecular Layer Deposition: Present Status and Future Opportunities; Journal of Vacuum Science & Technology; A 31 (4), 040801-1 to 040801-18; 2013.
International Search Report and Written Opinion dated Jun. 16, 2017 in PCT/US2017/026518.
International Search Report and Written Opinion dated Jun. 20, 2017 in PCT/US2017/026515.
International Search Report and Written Opinion dated Jun. 22, 2017 in PCT/US2017/26519.
Au et al., “Selective Chemical Vapor Deposition of Manganese Self-Aligned Capping Layer for Cu Interconnections in Microelectronics”, Journal of the Electrochemical Society, vol. 157, No. 6, 2010, pp. D341-D345.
Bouteville et al., “Selective R.T.L.P.C.V.D. of Tungsten by Silane Reduction on Patterned PPQ/Si Wafers” Journal De Physique IV, Colloque C2, suppl. au Journal de Physique II, vol. 1, Sep. 1991, pp. C2-857-C2-864.
Burton, B.B. et al., “Atomic Layer Deposition of MgO Using Bis(ethylcyclopentadienyl)magnesium and H20”. J. Phys. Chem. C, 2009, 113, 1939-1946.
Burton, B.B., et al., “Si02 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy”. J. Phys. Chem. C, 2009, 113, 8249-8257.
Carlsson, J., “Precursor Design for Chemical Vapour Deposition”, Acta Chemica Scandinavica, vol. 45, 1991, pp. 864-869.
Chang et al, “Influences of damage and contamination from reactive ion etching on selective tungsten deposition in a low-pressure chemical-vapor-deposition reactor”, J. Appl. Phys., vol. 80, No. 5, Sep. 1, 1996, pp. 3056-3061.
Elam et al., “Kinetics of the WF6 and Si2H6 surface reactions during tungsten atomic layer deposition”, Surface Science, vol. 479, 2001, pp. 121-135.
Elam et al., “Nucleation and growth during tungsten atomic layer deposition on SiO2 surfaces”, Thin Solid Films, vol. 386, 2001 pp. 41-52.
Fabreguette et al., Quartz crystal microbalance study of tungsten atomic layer deposition using WF6 and Si2H6, Thin Solid Films, vol. 488, 2005, pp. 103-110.
Farm et al. Selective-Area Atomic Layer Deposition Using Poly( methyl methacrylate) Films as Mask Layers, J. Phys. Chem. C, 2008, 112, pp. 15791-15795. (Year: 2008).
Ghosal et al., Controlling Atomic Layer Deposition of Ti02 in Aerogels through Surface Functionalization, Chem. Matter, vol. 21, pp. 1989-1992, 2009.
Grubbs et al., “Nucleation and growth during the atomic layer deposition of W on Al2O3 and Al2O3 on W”, Thin Solid Films, vol. 467, 2004, pp. 16-27.
Hymes et al., “Surface cleaning of copper by thermal and plasma treatment in reducing and inert ambients”, J. Vac. Sci. Technol. B, vol. 16, No. 3, May/Jun. 1998, pp. 1107-1109.
International Search Report and Written Opinion dated Feb. 17, 2012 in Application No. PCT/US2011/039970, filed Jun. 10, 2011.
Klaus et al., “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction”, Thin Solid Films, vol. 360, 2000, pp. 145-153.
Klaus et al., “Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions”, Applied Surface Science 162-163, 2000, pp. 479-491.
King, Dielectric Barrier, Etch Stop, and Metal Capping Materials for State of the Art and beyond Metal Interconnects, ECS Journal of Solid State Science and Technology, vol. 4, Issue 1, pp. N3029-N3047, 2015.
Lee et al., Area-Selective Atomic Layor Deposition Using Self-Assembled Monolayer and Scanning Probe Lithography, Journal of the Electrochemical Society, vol. 156, Issue 9, pp. G125-G128, 2009.
Lei et al., “Real-time observation and opitimization of tungsten atomic layer deposition process cycle”, J. Vac. Sci. Technol. B, vol. 24, No. 2, Mar./Apr. 2006, pp. 780-789.
Lemonds, Andrew Michael, “Atomic Layer Deposition and Properties of Refractory Transition Metal-Based Copper-Diffusion Barriers for ULSI Interconnect”, The University of Texas at Austin, 2003, pp. 1-197.
Leusink et al., “Growth kinetics and inhibition of growth of chemical vapor deposited thin tungsten films on silicon from tungsten hexafluoride”, J. Appl. Phys., vol. 72, No. 2, Jul. 15, 1992, pp. 490-498.
Liang, Xuehai, et al., “Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a “Bait and Switch” Surface-Limited Reaction”. Journal of the American Chemical Society, 2011, 133, 8199-8024.
Lohokare et al., “Reactions of Disilane on Cu(111): Direct Observation of Competitive Dissociation, Disproportionation, and Thin Film Growth Processes”, Langmuir 1995, vol. 11, pp. 3902-3912.
Low et al., Selective deposition of CVD iron on silicon dioxide and tungsten, Microelectronic Engineering 83, pp. 2229-2233, 2006.
Mackus et al., Influence of Oxygen Exposure on the Nucleation of Platinum Atomic Layer Deposition: Consequences for Film Growth, Nanopatterning, and Nanoparticle Synthesis, Chem. Matter, vol. 25, pp. 1905-1911, 2013.
Mackus et al., Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition, Journal of Applied Physics, vol. 107, pp. 116102-1-116102-3, 2010.
Maluf et al., “Selective tungsten filling of sub-0.25μm trenches for the fabrication of scaled contacts and x-ray masks”, J. Vac. Sci. Technol. B, vol. 8, No. 3, May/Jun. 1990, pp. 568-569.
Notice of Allowance dated Apr. 5, 2017 in U.S. Appl. No. 15/177,195.
Office Action dated Aug. 29, 2014 in U.S. Appl. No. 13/702,992.
Office Action dated Nov. 7, 2014 in U.S. Appl. No. 13/708,863.
Office Action dated Jun. 8, 2017 in Korean Application No. 2013-7000596.
Overhage et al., Selective Atomic Layer Deposition (SALD) of Titanium Dioxide on Silicon and Copper Patterned Substrates, Journal of Undergraduate Research 4, 29, Mar. 2011 in 4 pages.
Parulekar et al., Atomic Layer Deposition of Zirconium Oxide on Copper Patterned Silicon Substrate, Journal of Undergraduate Research, vol. 7, pp. 15-17, 2014.
Parulekar et al., Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate, pp. 1-6, 2013.
Proslier et al., “Atomic Layer Deposition and Superconducting Properties of NbSi Films”, The Journal of Physical Chemistry C, 2011, vol. 115, No. 50, pp. 1-26.
Roberts et al., “Selective Mn deposition on Cu lines”, poster presentation, 12th International Conference on Atomic Layer Deposition, Jun. 19, 2012, Dresden, Germany.
Schmeißer, Decomposition of formic acid, Chemnitz University of Technology, pp. 1-13, Aug. 31, 2011.
Schmeißer, Reduction of Copper Oxide by Formic Acid an ab-initio study, Chemnitz University of Technology, pp. 1-42, Sep. 2011.
Selvaraj et al., Selective atomic layer deposition of zirconia on copper patterned silicon substrates using ethanol as oxygen source as well as copper reductant, Journal of Vacuum Science & Technology A, vol. 32, No. 1, pp. 010601-1 - 010601-4, Jan. 2014.
Senesky et al., “Aluminum nitride as a masking material for the plasma etching of silicon carbide structures,” 2010, IEEE, pp. 352-355.
“Tungsten and Tungsten Silicide Chemical Vapor Deposition”, TimeDomain CVD, Inc., retrieved from link: http://www.timedomaincvd.com/CVD_Fundamentals/films/W_WSi.html, Last modified Jul. 11, 2008.
Yu et al., “Gas/surface reactions in the chemical vapor deposition of tungsten using WF6/SiH4 mixtures”, J. Vac. Sci. Technol. A, vol. 7, No. 3, May/Jun. 1989, pp. 625-629.
Vallat et al., Selective deposition of Ta205 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps, Journal of Vacuum Science & Technology A, vol. 35, No. 1, pp. 01B104-1-01B104-7, Jan. 2017.
Vervuurt et al. Area-selective atomic layer deposition of platinum using photosensitive polyimide. Nanotechnology 27 (2016) 405302 (6pp).
Suntola, “Handbook of Crystal Growth. vol. 3., Thin Films and Epitaxy, Part B: Growth mechanisms and Dynamics”, Amsterdam: North Holland, Elsevier Science Publishers (1994), Chapter 14, pp. 601-663.
Chen et al. Highly Stable Monolayer Resists for Atomic Layer Deposition on Germanium and Silicon, Chem. Matter. 2006:18:3733-3741.
Mackus et al. The use of atomic layer deposition in advanced nanopatterning. Nanoscale, 2014, 6, pp. 10941-10960. (Year: 2014).
Schuisky et al. Atomic layer deposition of thin films using O2 as oxygen source. Langmuir, 2001, 17, pp. 5508-5512. (Year: 2001).
Bernal-Ramos, et al., “Atomic Layer Deposition of Cobalt Silicide Thin Films Studied by in Situ Infrared Spectroscopy”, Chem. Mater. 2015, 27, pp. 4943-4949.
Ellinger et al., “Selective Area Spatial Atomic Layer Deposition of ZnO, Al2O3, and Aluminum-Doped ZnO Using Poly(vinyl pyrrolidone)”, Chem Mater. 2014, 26:1514-1522.
Related Publications (1)
Number Date Country
20170301542 A1 Oct 2017 US