Fluid distribution members and/or assemblies

Information

  • Patent Grant
  • 9129778
  • Patent Number
    9,129,778
  • Date Filed
    Friday, March 18, 2011
    13 years ago
  • Date Issued
    Tuesday, September 8, 2015
    9 years ago
Abstract
A fluid distribution member assembly for use in a substrate processing system includes a fluid distribution member having a central portion and a perimeter portion. The fluid distribution member defines at least one slot formed there-through and the at least one slot extends along a non-radial path configured to allow the central portion to expand and rotate with respect to the perimeter portion.
Description
BACKGROUND

The present invention is generally directed to fluid distribution member assemblies for use in plasma processing apparatuses, and more particularly, to mitigating the effects of thermal stress on fluid distribution member assemblies during plasma processing.


Currently, Integrated Circuit (IC) development requires driving plasma ashing processes to utilize increasingly aggressive gas chemistry and thermal environments. It is important that the ashing process occur at a uniform rate across the surface of a wafer being processed. To this end, process conditions are precisely controlled and carefully engineered components are used in the processing chamber to insure uniform ashing. One such component is a so-called baffle plate, or fluid distribution plate and/or assemblies designed to uniformly direct and distribute energized plasma onto a wafer surface. One exemplary fluid distribution plate design is shown and described in U.S. Patent Application Publication No. 2005/0241767.


The plasma temperature within dry asher systems is generally in the range of 1000° C. Molecular species are excited within the microwave source of the system and carry energy downstream. Energy is transferred in the form of heat to plasma wetted parts. As a consequence of uneven heat transfer, thermal non-uniformities develop across these parts and give rise to internal stresses which in turn compromise their structural integrity. Surface recombination of species upon collision with various components within the processing system including, in particular, the fluid distribution member, which causes extremely high heat loads, requiring novel thermal management of the design of the plasma processing system including the fluid distribution member.


Glasses, Ceramics and Fused Silica are often employed for the design of parts used in the most critical areas of plasma processing systems, including the fluid distribution member due to their resistance to high temperatures as well as their chemical purity. Stress fracture often occurs in these materials due to uneven thermal loading or differential expansion between dissimilar materials. Uneven heating and cooling can in many cases generate stresses in excess of the material's yield or ultimate tensile stress limit, resulting in catastrophic failure. Although impervious to high temperatures in the general range of plasma processing, these materials are sensitive to substantial temperature gradients and thermal shock.


Fluid distribution members and/or assemblies used in plasma systems may be comprised of alumina ceramic (AL2O3), Fused silica (SiO2), or other glasses, ceramics, metals, or any suitable materials and/or combinations thereof. Downstream microwave plasma sources, akin to plasma torches, output radicalized gas and cause extreme temperature gradients on parts impinged upon. Further temperature differences between a center and edge of a first plate to be contacted by plasma ranges within hundreds of degrees Celsius. This gradient can generate significant thermal stress to cause failure of the plate. According to conventional processes, segmenting fluid distribution plates into concentrically nested structures has proven to be a relatively effective form of interrupting the gradients and therefore reduce the internal stresses, while maintaining process uniformity. This, however, increases the cost of parts significantly and may lead to particles due to sliding between the segmented plates. Further, with increasing power requirements there may be a necessity for further plate optimization for a best geometry configuration of nested plates.


Accordingly, there is a need in the art for an improved fluid distribution member and/or assembly that maintains plasma or gas uniformity and can withstand the various conditions utilized during high temperature plasma or gas processes (e.g., withstanding wide thermal gradients and related stresses, and/or are economically viable, and/or are compatible with a plurality of chemistries, and/or the like).


BRIEF SUMMARY

Illustrated and disclosed herein are fluid distribution member assemblies arranged to mitigate thermal stresses and reduce the possibility of catastrophic failure of said baffles.


According to an example embodiment of the present invention, a fluid distribution member assembly for use in a substrate processing system includes a fluid distribution member having a central portion and a perimeter portion. The fluid distribution member defines at least one slot formed there-through and the at least one slot extends along a non-radial path configured to allow the central portion to expand and rotate with respect to the perimeter portion.


According to an additional example embodiment of the present invention, a substrate processing apparatus includes a process chamber in which a work-piece can be treated with plasma, hot gas, or hot liquid and at least one fluid distribution member disposed within the process chamber and configured to distribute the plasma, gas, or liquid to the work-piece. The at least one fluid distribution member comprises a central portion and a perimeter portion, the at least one fluid distribution member defines at least one slot formed there-through, and the at least one slot extends along a non-radial path configured to allow the central portion to expand and rotate with respect to the perimeter portion.


According to yet another example embodiment of the present invention, a method for plasma processing a substrate includes generating reduced ion density plasma in a plasma generator, directing the reduced ion density plasma through a fluid distribution member, and exposing a work-piece to the reduced ion density plasma. The fluid distribution member comprises a central portion and a perimeter portion, the fluid distribution member defines at least one slot formed there-through, and the at least one slot extends along a non-radial path configured to allow the central portion to expand and rotate with respect to the perimeter portion.


The above described and other features are exemplified by the following figures and detailed description.





BRIEF DESCRIPTION OF THE DRAWINGS

The accompanying drawings are intended to depict example embodiments of the present invention and should not be interpreted to limit the scope thereof. The accompanying drawings are not to be considered as drawn to scale unless explicitly noted. Referring now to the figures, wherein like elements employ the same numbering:



FIG. 1 is a sectional view of an exemplary plasma processing apparatus;



FIG. 2 is a view of a conventional fluid distribution member;



FIG. 3 depicts zones on an example fluid distribution member;



FIG. 4 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 5 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 6 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 7 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 8 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 9 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 10 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 11A depicts an elevation view of a fluid distribution member in accordance with an example embodiment;



FIG. 11B depicts a cross-sectional view of a fluid distribution member in accordance with an example embodiment;



FIG. 11C depicts a cross-sectional view of a fluid distribution member in accordance with an example embodiment;



FIG. 11D depicts a cross-sectional view of a fluid distribution member in accordance with an example embodiment;



FIG. 11E depicts a cross-sectional view of a fluid distribution member in accordance with an example embodiment;



FIG. 12 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 13 depicts a temperature profile of the fluid distribution member assembly of FIG. 2;



FIG. 14 depicts a fluid distribution member assembly in accordance with an embodiment;



FIG. 15 depicts a temperature profile of the fluid distribution member assembly of FIG. 14;



FIG. 16 depicts a fluid distribution member assembly in accordance with an embodiment; and



FIG. 17 depicts a method for plasma-processing of a work-piece.





DETAILED DESCRIPTION

Example embodiments of the present invention are generally directed to a fluid distribution member and/or assemblies for use in plasma processing. A fluid distribution member assembly may generally include at least one fluid distribution member having one or more contiguous slots formed there-through. At least one slot travels along a non-radial path that allows a central portion of the fluid distribution member to expand and rotate with respect/relative to a perimeter portion. For example, an appropriate shape/layout of the slot may be a substantially spiral or a spiral-like pattern of at least one slot. According to some example embodiments, a first end of the at least one slot begins within a zone located within ⅔ of the radius from the center of the fluid distribution member and extends outward in a non-radial or spiral direction that may be curved, curvilinear or linear, and has a total length of at least ⅓ of the fluid distribution member radius. This slot may be in the form of a spiral, a set of concentric polygons or any other suitable geometric shape wherein the slot or slots serve to allow nearly free or relatively free expansion of the material comprising the fluid distribution member. During operation, the gas or energetic components of the plasma flows through these slots. The presence of the slot or slots allows a central region of the fluid distribution member to almost freely expand as the member heats, causing a slight rotation of the central region with respect to the outer, cooler region of the fluid distribution member.


Functional purposes of these slots are two fold. From a process perspective the slots redistribute gas or fluid flow to achieve nearly optimum dry ash profile uniformity. From a parts structural integrity perspective the slots allow for quasi-unconstrained thermal expansion so as to nearly minimize internal stresses within parts. Geometry of the structure defined by any two neighboring slots self-connected or not acts as a support of the material in the middle area of the fluid distribution member. Semi-tangential orientation of the supporting member(s) comprising this structure allows the material in the central region to expand and in essence allow for radial strain while relieving internal stresses via relative polar movement of the inner region.


It should be noted that while the term “spiral” could be interpreted as a very specific mathematical term described most easily using polar coordinates, wherein the radius is a continuous monotonic function of angle, or requiring a curve which emanates from a central point along a path having a dimension from the central point that that progressively increases, it will be understood that the term “spiral” as used herein is meant to be interpreted more generally as curved, linear, curvilinear or rectilinear pattern that winds around a point at an increasing or decreasing distance from the point. As such, the term “spiral” is meant to encompass any commonly found configuration ranging from a grooved-slot on a record to a rectangular spiral staircase, and any configuration similar to those depicted in the various embodiments disclosed herein.


Hereinafter, the present invention will be described more fully with reference to the accompanying drawings, in which example embodiments of the present invention are shown.



FIG. 1 illustrates an exemplary photoresist asher 10, generally comprising a gas box 12, a microwave plasma generator assembly 14, a process chamber 16 defining an interior cavity in which is processed a semiconductor substrate such as a wafer 18, and a radiant heater assembly 20 for heating the wafer 18 situated at the bottom of the process chamber. A temperature probe 24, such as a thermocouple, is often used to monitor the temperature of the wafer 18 during operation. A vacuum pump 26 is used to evacuate the process chamber 16 for processes requiring vacuum conditions.


An optional monochromator 28 is used to monitor the optical emission characteristics of gases within the chamber to aid in process endpoint determination. The wafer 18 is introduced into and removed from the process chamber 16 via an appropriate load lock mechanism (not shown) via entry/exit passageway 30. Alternately, the wafer 18 may be introduced directly into the process chamber 16 through the entry/exit passageway 30 if the tool is not equipped with a load lock. Although the present disclosure is shown and characterized as being implemented within a photoresist asher, it may also be used in other semiconductor manufacturing equipment, such as a plasma etcher or a plasma deposition system. For example, downstream axial flow plasma apparatuses particularly suitable for modification in the present disclosure are plasma ashers, such as for example, those microwave plasma ashers available under the trade name RadiantStrip320 and commercially available from Axcelis Technologies Corporation. Portions of the microwave plasma asher are described in U.S. Pat. Nos. 5,498,308 and 4,341,592, and PCT International Application No. WO/97/37055, herein incorporated by reference in their entireties. As will be discussed below, the disclosure is not intended to be limited to any particular plasma asher in this or in the following embodiments. For instance, the processing plasma can be formed using a parallel-plate, capacitively-coupled plasma source, an inductively coupled plasma source, and any combination thereof, with and without DC magnet systems. Alternately, the processing plasma can be formed using electron cyclotron resonance. In yet another embodiment, the processing plasma is formed from the launching of a Helicon wave. In yet another embodiment, the processing plasma is formed from a propagating surface wave. Additionally the disclosure encompasses any semiconductor process apparatus requiring a gas or plasma distribution where the elements of the gas or plasma distribution comprises a sufficient heat load on the glass, fused silica, ceramic and/or metal elements of the distribution system such that materials would suffer stress cracking or distortion.


Positioned within the plasma chamber 16 is a fluid distribution member or assembly, generally designated by reference numeral 50. Although shown as a single layered fluid distribution member assembly, it is contemplated that the fluid distribution member assembly may take the form of one or more fluid distribution members. Likewise, the single layered fluid distribution member assembly may further include an impingement disc thereon such as is generally disclosed in US Pat. Pub No. 2004/0238123, which is hereby incorporated herein by reference in its entirety. In any of the above described embodiments, the fluid distribution members and/or assemblies evenly distribute the reactive gas or plasma across the surface of the wafer 18 being processed. As will be discussed in greater detail herein, at least one of the fluid distribution members includes the spiral slot construction as discussed in greater detail below, which has been found to minimize heat stresses during operation.


Turning to FIG. 2, a conventional, single-piece fluid distribution member assembly 200 is illustrated. The fluid distribution member assembly 200 generally includes an array of holes through which the gas or plasma flows. The hole pattern, hole size, and hole density is chosen to distribute the gas or plasma over the work surface.


Turning to FIG. 3 a single piece fluid distribution member assembly 300 is illustrated. As shown, the fluid distribution member assembly 300 includes a slot 310 being cut/formed there-through, wherein the slot 310 begins at a region within ⅔ of the radius 340 as measured from the distribution member's center 320 and proceeds towards the outer edge. According to this example embodiment, the cut path length 330 is greater than or equal to ⅓ of the plate radius (340)


Now tuning to FIG. 4, a single piece fluid distribution member assembly 400 is illustrated. As shown, the fluid distribution member assembly 400 includes a spiral slot 410 being cut/formed there-through. The slot 410 begins in a region that is within ⅔ of the fluid distribution member radius from the fluid distribution member center, and extends to a region further outward from the fluid distribution member center wherein the slot path length is greater than or equal to ⅓ of the fluid distribution member radius. The spiral slot 410 may be considered of either constant or varying width formed or cut through the fluid distribution member 400. As shown, the spiral slot 410 includes a first end 430 and a second end 420. The second end stops further outward from the distribution member center than the first end and the slot includes a total path length of greater than or equal to ⅓ of the distribution member radius. The width of the slot 410 may be varied from the first end 430 to the second end 420 as necessary to control the gas or plasma flow as needed to obtain a relatively uniform flow over a work piece located downstream of the fluid distribution member 400.


As noted, the spiral slot 410 incorporates a pitch 440. This pitch may be constant from the first end 430 to the second end 420 of the spiral slot 410 as particularly illustrated, or may vary according to any desired implementation. As additionally noted, the spiral slot 410 extends from the center of the fluid distribution member assembly outward as measured from the radius of the spiral slot 410. However, the same may be described as progressing inward as well.


Although illustrated and described above in terms of a single spiral slot, it should be understood that one or more slots may be formed through a fluid distribution member assembly according to any desired implementation. For example, turning now to FIG. 5, a fluid distribution member assembly 500 including two spiral slots 410 and 510, having beginnings 430 and 530 and endings 420 and 520. As illustrated, the path length of at least one of the spirals is greater than or equal to ⅓ of the radius of the fluid distribution member 500.


Turning now to FIG. 6, a fluid distribution member assembly 600 including a plurality of slots 610 is illustrated. As shown, the plurality of slots 610 are cut or formed into the fluid distribution member 600, wherein at least one of the slots begins within ⅔ of the radius of the fluid distribution member from the center and extends a total path length of greater than or equal to ⅓ of the fluid distribution member radius.


As shown, the slots 610 travel along non-radial paths that allow the central portion of the distribution member 600 to expand and rotate with respect to the perimeter portion. Further, as portions 640 between the slots 610 expand (e.g., “legs”), the portions 640 push tangentially on the center portion causing it to rotate. In this manner, thermal and mechanical stress is relaxed.


It should be noted that although the slots 610 are illustrated as curved, the slots 610 may also be linear, extending generally from the center portion of the distribution member 600 non-radially, thereby being disposed to cause the mechanical rotation described above. For example, FIG. 7 depicts a fluid distribution member assembly with linear slots extending non-radially from a center portion of a fluid distribution member assembly. In contrast, conventional radially extending slots or grooves (as disclosed, for example, in U.S. Pat. No. 6,444,040), when exposed to heat, would push radially on the center portion of the distribution member causing compression. Likewise, the center portion would expand outwardly causing additional stress.


Turning now to FIG. 7, a fluid distribution member assembly 700 including a plurality of slots 710 is illustrated. As shown, the plurality of slots 710 are cut or formed into the fluid distribution member 700, wherein at least one of the slots begins within ⅔ of the radius of the fluid distribution member from the center and extends a total path length of greater than or equal to ⅓ of the fluid distribution member radius.


As shown, the slots 710 travel along non-radial paths that allow the central portion of the distribution member 700 to expand and rotate with respect to the perimeter portion. Further, as portions 740 between the slots 710 expand (e.g., “legs”), the portions 740 push tangentially on the center portion causing it to rotate. In this manner, thermal and mechanical stress is relaxed.


Thus, as described above, example embodiments of the present invention include fluid distribution member assemblies comprising one or more slots or slots formed there-through. These slots may be circular or spiral-like in shape and orientation, extending non-radially from a center portion of the fluid distribution member. However, as noted above and according to additional example embodiments, the one or more slots may be segmented or formed of differing segments as shown in FIGS. 8-9.


Turning to FIG. 8, the slot 810, having a beginning 830 located within ⅔ of the fluid distribution member 800 radius as measured from the center, and proceeds outward towards an end 820 through a series of connected straight-line segments, wherein the total path-length of the series of straight lines is greater than or equal to ⅓ of the distribution member radius. These straight-lines may be orthogonal as shown in FIG. 8, or may be a connected series of non-orthogonal line segments 910 as shown in FIG. 9. The segments 910 of fluid distribution member 900 begin at point 930 and extend outward to an endpoint 920, wherein the total path length is greater than or equal to ⅓ of the fluid distribution member radius.


Additionally, example embodiments may include any combination of the slotted and/or through-hole features described above. For example, as shown in FIG. 10, a fluid distribution member assembly 1000 may include at least one slot 410 formed there-through beginning within ⅔ of the plate radius from the plate center and extending outward, having a total path length of greater than or equal to ⅓ of the fluid distribution member radius, combined with one or more holes 210 and slots 1010.


It should be understood that although described and illustrated as general fluid distribution member assemblies which may be considered substantially planar, the same may be varied according to any desired implementation. For example, FIGS. 11A-11E depict elevation and cross-sectional views of fluid distribution members in accordance with example embodiments.


As illustrated in FIG. 11A, a fluid distribution member may include a compound first surface 1111 arranged in a generally convex or concave manner and proximate side walls 1110. It should be appreciated that any of the spiral arrangements noted above may be formed on the first surface 1101. The side walls 1110 may be generally flat and may surround the entire fluid distribution member 1101. Alternatively, the side walls 1110 may be compound and may include locking means or protrusions to facilitate arrangement of the fluid distribution member in a processing apparatus, for example, as illustrated in FIG. 1.


As illustrated in FIG. 11B, a fluid distribution member 1102 may include a substantially planar first surface 1122 proximate side walls 1120. The side walls 1120 may be generally flat and may surround the entire fluid distribution member 1101. The fluid distribution member 1102 may further include protrusions 1121 proximate the side walls 1120, configured to facilitate arrangement of the fluid distribution member in a processing apparatus, for example, as illustrated in FIG. 1.


As illustrated in FIG. 11C, a fluid distribution member 1103 may be substantially planar.


As illustrated in FIG. 11D, a fluid distribution member 1104 may be substantially planar and of varying thickness. The fluid distribution member 1104 may include a substantially planar first surface 1141 and an opposing, substantially concave second surface 1140.


As illustrated in FIG. 11E, a fluid distribution member 1105 may include two, opposing and substantially concave surfaces 1150 and 1151.


As illustrated in FIGS. 12 and 16, the exemplary multi-piece fluid distribution member assembly 50 may optionally include a hexagonally shaped opening 56 formed on a fluid distribution member 52 and a hexagonally shaped insert portion 54 configured to fit within the opening 56. It should be apparent that the shapes of the opening 56 and the insert portion 54 may be of any desired or appropriate shape. Moreover, the fluid distribution member 52 may include more than one insert portions. In the example embodiment shown, the hexagonal shape is configured to accommodate some or all of the thermal stresses associated with a downstream plasma asher, wherein the plasma initially impinges to a large extent a central region of the fluid distribution member. However, such an arrangement can be equally applicable to any other plasma process. As previously described, an annular ring as well as the number of pieces forming the fluid distribution member assembly 50 can define any opening shape. Again, although applicant refers to an annular ring, it is contemplated that the various pieces do not include an annular ring. Rather, the multiple pieces are configured and constructed so as to form a single layer of the fluid distribution member 52. Furthermore, a locking means may be included to maintain the fluid distribution member 52 in a generally planar configuration and is well within the skill of those in the art.


The impingement disk/insert portion 54 may be may be formed of a similar or dissimilar material comprising the annular ring/fluid distribution member 52. The impingement disk 54 may include apertures 68 generally arranged there-through, or slots cut there-through, or any combination of apertures or slots cut there-through, or may be apertureless or may include a central apertureless region with circumscribing apertures or slots arranged thereabout.


Although described and illustrated as apertures, it should be understood that limiting these apertures to a constant diameter, geometry, diminishing interior diameter, or the particular arrangement illustrated is inappropriate. For example, through manipulation of the arrangement, geometry, size, and other characteristics of the apertures 68, the ashing rate and uniformity provided by at the fluid distribution member assembly may be adjusted to a variety of scenarios. Alternately, the impingement disc may also include a spiral slot (not shown) such as is generally defined for the annular ring 52.



FIG. 13 depicts an exemplary temperature profile of a fluid distribution member in operation in a microwave downstream asher. The magnitude of the thermal gradient exceeds 400° C./cm. With the inclusion of the baffle-plate holes, which concentrate the thermal stress, such a temperature profile can cause thermal stresses well in excess of 300 MPa in an exemplary fluid distribution member shown in FIG. 2.



FIG. 14 and FIG. 15 depict the temperature profile along path 1420 for a single spiral slot 1410 for a fluid distribution member 1400 used in a microwave downstream plasma asher. As can be seen in FIG. 15, the temperature is nearly linear in pathway length, and the magnitude of the thermal gradient is several orders of magnitude lower than in the exemplary fluid distribution member shown in FIG. 2. The calculated maximum stress due to thermal expansion is reduced to less than about 3 MPa. As illustrated, the fluid distribution member temperature profile has been modeled based on a spiral cut fluid distribution member with a constant pitch.


The fluid distribution member in accordance with any of the embodiments disclosed herein is preferably formed from a ceramic material, Fused Silica, metal or Glass. Suitable ceramic materials include, but are not intended to be limited to, alumina (various aluminum oxides), zirconium dioxides, various carbides such as silicon carbide, boron carbide, various nitrides such as silicon nitride, aluminum nitride, boron nitride, quartz, silicon dioxides, various oxynitrides such as silicon oxynitride, and the like as well as stabilized ceramics with elements such as magnesium, yttrium, praseodymia, haffiium, and the like. Suitable metals include, but are not intended to be limited to, aluminum, aluminum alloys, stainless steel, titanium, titanium alloys, silicon, and the like. Optionally, the lower single piece fluid distribution member can be the same or of a different material, typically fused silica or anodized aluminum. Furthermore, the fluid distribution member and/or impingement disc may be coated with a second material, for example, Al2O3, CeO, SiO2, TiO2, Si, and/or Ta3O4.


The fluid distribution member may additionally not be planar, but may be curved or thinned, for example, thinned in the center to enhance mechanical stability. Furthermore, it should be understood that multiple fluid distribution members may be used in a single plasma processing chamber, for example, arranged as a stack of one or more spiraled fluid distribution members, wherein the spirals are on different positions to reduce line-of-sight. Additionally the slots may be cut at an angle, for example at 45 degrees from the surface normal.


As disclosed and described in detail above, example embodiments of the present invention may include advantages such as even cooling across ceramic baffles and/or fluid distribution member assemblies which reduces the risk of fracture, and simplified construction of fluid distribution member assemblies for plasma processing systems. These fluid distribution member assemblies may be used in any applicable method for plasma processing of a substrate.


For example, FIG. 17 depicts a method for plasma-processing of a work-piece, according to an example embodiment. The method 1700 may include generating reduced ion density plasma in a plasma generator at block 1701.


The method 1700 may further include directing the reduced ion density plasma through a fluid distribution member at block 1702. As described above, the fluid distribution member may include a central portion and a perimeter portion with at least one slot formed there-through. The at least one slot may extend along a non-radial path configured to allow the central portion to expand and rotate with respect to the perimeter portion during the method 1700.


The method 1700 further includes exposing the work-piece to the reduced ion density plasma.


It should be understood that although example embodiments are described in terms of downstream plasma processing devices and methods for reduced ion density exposure, example embodiments are not so limited. Example embodiments of fluid distribution member assemblies are applicable in any suitable plasma processing system, whether high-frequency electro-magnetic plasma processing or any other suitable system where use of a fluid distribution member is desirable.


Additionally, it should be understood that any of the above terms and any new terms used below should be construed as representing the most logically understood definition of said terms as would be understood by one of ordinary skill in the art of designing, testing, forming, manufacturing, or employing the example embodiments described herein.


The terminology as used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises”, “comprising,”, “includes” and/or “including”, when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, pitch factors, etchings, coatings, additional slot configurations, or any other suitable or desired features.


While the invention has been described with reference to exemplary embodiments, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted for elements thereof without departing from the scope of the invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the invention without departing from the essential scope thereof. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best or only mode contemplated for carrying out this invention, but that the invention will include all embodiments falling within the scope of the appended claims. Also, in the drawings and the description, there have been disclosed exemplary embodiments of the invention and, although specific terms may have been employed, they are unless otherwise stated used in a generic and descriptive sense only and not for purposes of limitation, the scope of the invention therefore not being so limited. Moreover, the use of the terms first, second, etc. do not denote any order or importance, but rather the terms first, second, etc. are used to distinguish one element from another. Furthermore, the use of the terms a, an, etc. do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item.

Claims
  • 1. A fluid distribution member assembly for use in a substrate processing system, comprising: a fluid distribution member having a central portion and a perimeter portion, wherein the fluid distribution member is configured to (i) be arranged between a plasma generator assembly and a process chamber, separate from the plasma generator assembly, and (ii) receive plasma from the plasma generator assembly for distribution through the fluid distribution member into the process chamber, wherein the fluid distribution member includes a first slot formed there-through between the central portion and the perimeter portion, and wherein the first slot extends along a curved path,wherein the curved path spirals relative to a fixed point on the fluid distribution member at a continuously increasing or continuously decreasing distance from the fixed point,wherein the first slot comprises i) a beginning point within ⅔ of a radius of the fluid distribution member, the radius corresponding to a measurement from a fixed center point of the fluid distribution member to an outer perimeter of the fluid distribution member, and ii) an end point, wherein the beginning point and the end point define the curved path, and wherein a length of the first slot from the beginning point to the end point is such thatthe first slot completely circumscribes the fixed point at least one time, andwherein the curved path of the first slot allows for thermal expansion of the fluid distribution member such that the central portion of the fluid distribution member is configured to expand and rotate with respect to the perimeter portion of the fluid distribution member when the fluid distribution member is heated and to contract and rotate in an opposite direction when the fluid distribution member cools.
  • 2. The fluid distribution member assembly of claim 1, wherein the fluid distribution member further comprises at least one through-hole.
  • 3. The fluid distribution member assembly of claim 1, wherein the central portion comprises a plurality of through-holes or slots.
  • 4. The fluid distribution member assembly of claim 1, wherein the central portion is an apertureless member.
  • 5. The fluid distribution member assembly of claim 1, wherein the curved path spirals at a variable pitch.
  • 6. The fluid distribution member assembly of claim 1, wherein the first slot has a variable width.
  • 7. The fluid distribution member assembly of claim 1, wherein the fluid distribution member is substantially planar and of substantially constant thickness.
  • 8. The fluid distribution member assembly of claim 1, wherein the fluid distribution member varies in thickness from the central portion to the perimeter portion.
  • 9. The fluid distribution member assembly of claim 1, wherein the perimeter portion comprises at least one side wall orthogonal to the central portion defining an opening configured to direct plasma through the central portion.
  • 10. The fluid distribution member assembly of claim 9, wherein the fluid distribution member is a dome or cup.
  • 11. The fluid distribution member assembly of claim 1, wherein the fixed point is located at a fixed center point of the fluid distribution member.
  • 12. The fluid distribution member assembly of claim 1, wherein the fluid distribution member includes a second slot formed there-through between the central portion and the perimeter portion, andthe second slot defines a curved path having a shape that is similar to the curved path of the first slot.
  • 13. The fluid distribution member assembly of claim 12, wherein the second slot is rotationally offset relative to the first slot.
  • 14. The fluid distribution member assembly of claim 13, wherein at least a portion of the first slot passes between first and second portions of the second slot.
  • 15. The fluid distribution member assembly of claim 1, wherein the fluid distribution member has a circular cross section and a fixed center point, and wherein the fixed point and the fixed center point are co-located.
  • 16. A fluid distribution member assembly for a substrate processing system, comprising: a fluid distribution member configured to (i) be arranged between a plasma generator assembly and a process chamber generator, separate from the plasma generator assembly, and (ii) receive plasma from the plasma generator assembly for distribution through the fluid distribution member into the process chamber; anda first slot formed through the fluid distribution member and including one end and an opposite end,wherein the first slot extends along a curved path that spirals relative to a fixed point on the fluid distribution member,wherein the one end of the first slot is located closer to the fixed point than the opposite end,wherein points along the first slot between the one end and the opposite end are located at an increasing radial distance from the fixed point,wherein a length of the first slot is such that the first slot completely circumscribes the fixed point at least one time, andwherein the curved path of the first slot allows for thermal expansion of the fluid distribution member such that the central portion of the fluid distribution member is configured to expand and rotate with respect to the perimeter portion of the fluid distribution member when the fluid distribution member is heated and to contract and rotate in an opposite direction when the fluid distribution member cools.
  • 17. The fluid distribution member assembly of claim 16, wherein the fixed point is located at a fixed center point of the fluid distribution member.
  • 18. The fluid distribution member assembly of claim 16, wherein: the fluid distribution member includes a second slot formed there-through, andthe second slot defines a curved path having a shape that is similar to the curved path of the first slot.
  • 19. The fluid distribution member assembly of claim 18, wherein the second slot is rotationally offset relative to the first slot.
  • 20. The fluid distribution member assembly of claim 19, wherein at least a portion of the first slot passes between first and second portions of the second slot.
  • 21. The fluid distribution member assembly of claim 16, wherein the fluid distribution member has a circular cross section and a fixed center point, and wherein the fixed point and the fixed center point are co-located.
  • 22. The fluid distribution member assembly of claim 16, wherein the curved path spirals at a variable pitch.
US Referenced Citations (170)
Number Name Date Kind
2835355 Armstrong May 1958 A
3141739 Lewis et al. Jul 1964 A
3584177 Bucksbaum Jun 1971 A
3593053 Mueller Jul 1971 A
3819900 Ironfield Jun 1974 A
3872349 Spero et al. Mar 1975 A
3882352 Osepchuk et al. May 1975 A
4137441 Bucksbaum Jan 1979 A
4137442 Tateda Jan 1979 A
4207452 Arai Jun 1980 A
4311899 Goessler Jan 1982 A
4341592 Shortes et al. Jul 1982 A
4511779 Bucksbaum et al. Apr 1985 A
RE32664 Osepchuk et al. May 1988 E
4773355 Reif et al. Sep 1988 A
4776923 Spencer et al. Oct 1988 A
4792378 Rose et al. Dec 1988 A
5024716 Sato Jun 1991 A
5024748 Fujimura Jun 1991 A
5063329 Okamoto Nov 1991 A
5082517 Moslehi Jan 1992 A
5179363 Schwartz et al. Jan 1993 A
5180467 Cook et al. Jan 1993 A
5262610 Huang et al. Nov 1993 A
5338363 Kawata et al. Aug 1994 A
5356673 Schmitt et al. Oct 1994 A
5366557 Yu Nov 1994 A
5423936 Tomita et al. Jun 1995 A
5447570 Schmitz et al. Sep 1995 A
5498308 Kamarehi et al. Mar 1996 A
5500256 Watabe Mar 1996 A
5552017 Jang et al. Sep 1996 A
5555788 Gakhar et al. Sep 1996 A
5589002 Su Dec 1996 A
5593540 Tomita et al. Jan 1997 A
5595606 Fujikawa et al. Jan 1997 A
5614026 Williams Mar 1997 A
5746875 Maydan et al. May 1998 A
5783492 Higuchi et al. Jul 1998 A
5844195 Fairbairn et al. Dec 1998 A
5919332 Koshiishi et al. Jul 1999 A
5928426 Aitchison Jul 1999 A
5961851 Kamarehi et al. Oct 1999 A
5965034 Vinogradov et al. Oct 1999 A
5968275 Lee et al. Oct 1999 A
5980240 Krautzig et al. Nov 1999 A
5985007 Carrea et al. Nov 1999 A
5985033 Yudovsky et al. Nov 1999 A
5986747 Moran Nov 1999 A
5994678 Zhao et al. Nov 1999 A
6007635 Mahawili Dec 1999 A
6010748 Van Buskirk et al. Jan 2000 A
6027604 Lim et al. Feb 2000 A
6036782 Tanaka et al. Mar 2000 A
6041733 Kim et al. Mar 2000 A
6045618 Raoux et al. Apr 2000 A
6050216 Szapucki et al. Apr 2000 A
6053982 Halpin et al. Apr 2000 A
6057645 Srivastava et al. May 2000 A
6082374 Huffman et al. Jul 2000 A
6090210 Ballance et al. Jul 2000 A
6101970 Koshimizu Aug 2000 A
6106625 Koai et al. Aug 2000 A
6123775 Hao et al. Sep 2000 A
6123791 Han et al. Sep 2000 A
6176969 Park et al. Jan 2001 B1
6182603 Shang et al. Feb 2001 B1
6190507 Whealton et al. Feb 2001 B1
6190732 Omstead et al. Feb 2001 B1
6193802 Pang et al. Feb 2001 B1
6194628 Pang et al. Feb 2001 B1
6203621 Tran et al. Mar 2001 B1
6255222 Xia et al. Jul 2001 B1
6264852 Herchen et al. Jul 2001 B1
6281135 Han et al. Aug 2001 B1
6325018 Hongoh Dec 2001 B1
6343565 Hongoh Feb 2002 B1
6352050 Kamarehi et al. Mar 2002 B2
6366346 Nowak et al. Apr 2002 B1
6367412 Ramaswamy et al. Apr 2002 B1
6368567 Comita et al. Apr 2002 B2
6382249 Kawasaki et al. May 2002 B1
6391146 Bhatnagar et al. May 2002 B1
6415736 Hao et al. Jul 2002 B1
6422002 Whealton et al. Jul 2002 B1
6444039 Nguyen Sep 2002 B1
6444040 Herchen et al. Sep 2002 B1
6471822 Yin et al. Oct 2002 B1
6492186 Han et al. Dec 2002 B1
6503330 Sneh et al. Jan 2003 B1
6532339 Edgar et al. Mar 2003 B1
6537419 Kinnard Mar 2003 B1
6538734 Powell Mar 2003 B2
6548416 Han et al. Apr 2003 B2
6565661 Nguyen May 2003 B1
6592817 Tsai et al. Jul 2003 B1
6599367 Nakatsuka Jul 2003 B1
6633391 Oluseyi et al. Oct 2003 B1
6635117 Kinnard et al. Oct 2003 B1
6651912 Gulati et al. Nov 2003 B2
6682630 Colpo et al. Jan 2004 B1
6692649 Collison et al. Feb 2004 B2
6727654 Ogawa et al. Apr 2004 B2
6761796 Srivastava et al. Jul 2004 B2
6782843 Kinnard et al. Aug 2004 B2
6783627 Mahawili Aug 2004 B1
6849559 Balasubramaniam et al. Feb 2005 B2
6905079 Kuwada et al. Jun 2005 B2
6942753 Choi et al. Sep 2005 B2
6946054 Brcka Sep 2005 B2
7037846 Srivastava et al. May 2006 B2
7228645 Pham Jun 2007 B2
7461614 Fink et al. Dec 2008 B2
7540923 Takagi et al. Jun 2009 B2
7552521 Fink Jun 2009 B2
7581511 Mardian et al. Sep 2009 B2
7601242 Fink Oct 2009 B2
7615251 Kakimoto et al. Nov 2009 B2
7779784 Chen et al. Aug 2010 B2
7799134 Tsuji et al. Sep 2010 B2
7811409 Egley et al. Oct 2010 B2
7819082 Ohmi et al. Oct 2010 B2
7886687 Lee et al. Feb 2011 B2
8268181 Srivastava et al. Sep 2012 B2
8580076 Becknell et al. Nov 2013 B2
20010006093 Tabuchi et al. Jul 2001 A1
20010016674 Pang et al. Aug 2001 A1
20020066535 Brown et al. Jun 2002 A1
20020088542 Nishikawa et al. Jul 2002 A1
20020144706 Davis et al. Oct 2002 A1
20020144785 Srivastava et al. Oct 2002 A1
20030010452 Park et al. Jan 2003 A1
20030012624 Kinnard et al. Jan 2003 A1
20030022511 Han et al. Jan 2003 A1
20030032300 Waldfried et al. Feb 2003 A1
20030094134 Minami May 2003 A1
20030143328 Chen et al. Jul 2003 A1
20030194876 Balasubramaniam et al. Oct 2003 A1
20030205328 Kinnard et al. Nov 2003 A1
20040056602 Yang et al. Mar 2004 A1
20040084412 Waldfried et al. May 2004 A1
20040129211 Blonigan et al. Jul 2004 A1
20040140053 Srivastava et al. Jul 2004 A1
20040144489 Satoh et al. Jul 2004 A1
20040149223 Collison et al. Aug 2004 A1
20040150012 Jin et al. Aug 2004 A1
20040152296 Matz et al. Aug 2004 A1
20040206305 Choi et al. Oct 2004 A1
20040229449 Biberger et al. Nov 2004 A1
20040235299 Srivastava et al. Nov 2004 A1
20040238123 Becknell et al. Dec 2004 A1
20050000943 Sugaya et al. Jan 2005 A1
20050014361 Nguyen et al. Jan 2005 A1
20050022839 Savas et al. Feb 2005 A1
20050079717 Savas et al. Apr 2005 A1
20050126487 Tabuchi et al. Jun 2005 A1
20050241767 Ferris et al. Nov 2005 A1
20050258280 Goto et al. Nov 2005 A1
20050263170 Tannous et al. Dec 2005 A1
20070131544 Brcka et al. Jun 2007 A1
20080078744 Wang et al. Apr 2008 A1
20080130089 Miles Jun 2008 A1
20080185104 Brcka Aug 2008 A1
20090095222 Tam et al. Apr 2009 A1
20090209113 Murata et al. Aug 2009 A1
20090286405 Okesaku et al. Nov 2009 A1
20100055807 Srivastava et al. Mar 2010 A1
20100230051 Iizuka Sep 2010 A1
20110136346 Geissbuhler et al. Jun 2011 A1
20110253310 Benjamin Oct 2011 A1
Foreign Referenced Citations (10)
Number Date Country
0131433 Jan 1985 EP
0196214 Oct 1986 EP
0702392 Mar 1996 EP
1150331 Oct 2001 EP
2001-226775 Aug 2001 JP
2001226775 Aug 2001 JP
WO-9737055 Oct 1997 WO
WO-0020655 Apr 2000 WO
WO-03007326 Jan 2003 WO
WO2006107114 Oct 2006 WO
Non-Patent Literature Citations (3)
Entry
W. D. Kingery, “Factors Affecting Thermal Stress Resistance of Ceramic Materials,” J. Am. Ceram. Soc., vol. 38, No. 1, pp. 3-15 (1955), The American Ceramic Society.
E. J. Hearn, Mechanics of Materials, vol. 2—An Introduction to the Mechanics of Elastic and Plastic Deformation of Solids and Structural Materials (3rd Edition), Elsevier (1997), pp. 117-140. Online version available at: http://www.knovel.com/web/portal/browse/display?—EXT—KNOVEL—DISPLAY—bookid=434&VerticalID=0.
D. J. Buchanan, “Stress-Relief Simulation” in D. U. Furrer & S. L. Semiatin, Editors, ASM Handbook, vol. 22B—Metals Process Simulation, ASM International (2010), pp. 652-630. Online version available at: http://www.knovel.com/web/portal/browse/display?—L EXT—KNOVEL—DISPLAY—bookid=3670&VerticalID=0.
Related Publications (1)
Number Date Country
20120237696 A1 Sep 2012 US