Formation of a tantalum-nitride layer

Information

  • Patent Grant
  • 7781326
  • Patent Number
    7,781,326
  • Date Filed
    Friday, September 30, 2005
    18 years ago
  • Date Issued
    Tuesday, August 24, 2010
    13 years ago
Abstract
A method of forming a material on a substrate is disclosed. In one embodiment, the method includes forming a tantalum nitride layer on a substrate disposed in a plasma process chamber by sequentially exposing the substrate to a tantalum precursor and a nitrogen precursor, followed by reducing a nitrogen concentration of the tantalum nitride layer by exposing the substrate to a plasma annealing process. A metal-containing layer is subsequently deposited on the tantalum nitride layer.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The present invention relates to formation of one or more barrier layers and, more particularly, to one or more barrier layers formed using chemisorption techniques.


2. Description of the Related Art


In manufacturing integrated circuits, one or more barrier layers are often used to inhibit diffusion of one or more materials in metal layers, as well as other impurities from intermediate dielectric layers, into elements underlying such barrier layers, such as transistor gates, capacitor dielectrics, transistor wells, transistor channels, electrical barrier regions, interconnects, among other known elements of integrated circuits.


Though a barrier layer may limit to prevent migration of unwanted materials into such elements, its introduction creates an interface at least in part between itself and one or more metal layers. For sub half-micron (0.5 μm) semiconductor devices, microscopic reaction at an interface between metal and barrier layers can cause degradation of integrated circuits, including but not limited to increased electrical resistance of such metal layers. Accordingly, though barrier layers have become a component for improving reliability of interconnect metallization schemes, it is desirable to mitigate “side effects” caused by introduction of such barrier layers.


Compounds of refractory metals such as, for example, nitrides, borides, and carbides are targets as diffusion barriers because of their chemical inertness and low resistivities (e.g., sheet resistivities typically less than about 200 μΩ-cm). In particular, borides such as, including but not limited to titanium diboride (TiB2), have been used as a barrier material owing to their low sheet resistivities (e.g., resistivities less than about 150 μΩ-cm).


Boride barrier layers are conventionally formed using chemical vapor deposition (CVD) techniques. For example, titanium tetrachloride (TiCl4) may be reacted with diborane (B2H6) to form titanium diboride (TiB2) using CVD. However, when Cl-based chemistries are used to form boride barrier layers, reliability problems can occur. In particular, boride layers formed using CVD chlorine-based chemistries typically have a relatively high chlorine (Cl) content, namely, chlorine content greater than about 3 percent. A high chlorine content is undesirable because migrating chlorine from a boride barrier layer into adjacent interconnection layer may increase contact resistance of such interconnection layer and potentially change one or more characteristics of integrated circuits made therewith.


Therefore, a need exists for barrier layers for integrated circuit fabrication with little to no side effects owing to their introduction. Particularly desirable would be a barrier layer useful for interconnect structures.


SUMMARY OF THE INVENTION

An aspect of the present invention is film deposition for integrated circuit fabrication. More particularly, at least one element from a first precursor and at least one element from a second precursor is chemisorbed on a surface. The at least one element from the first precursor and the at least one element from the second precursor are chemisorbed to provide a tantalum-nitride film. This sequence may be repeated to increase tantalum-nitride layer thickness. This type of deposition process is sometimes called atomic layer deposition (ALD). Such a tantalum-nitride layer may be used as a barrier layer.


Another aspect is forming the tantalum-nitride layer using in part annealing of at least one tantalum-nitride sublayer. This annealing may be done with a plasma.


Another aspect is using a plasma source gas as a nitrogen precursor. The plasma source gas may be used to provide a plasma, which may be sequentially reacted or co-reacted with a tantalum containing precursor.


In another aspect, a method of film deposition for integrated circuit fabrication includes forming a tantalum nitride layer by sequentially chemisorbing a tantalum precursor and a nitrogen precursor on a substrate disposed in a process chamber. A nitrogen concentration of the tantalum nitride layer is reduced by exposing the substrate to a plasma annealing process. A metal-containing layer is subsequently deposited on the tantalum nitride layer.


In another aspect, a method of film deposition for integrated circuit fabrication includes forming a tantalum nitride layer with a first nitrogen concentration on a substrate by an atomic layer deposition process. An upper portion of the tantalum nitride layer is exposed to a plasma annealing process to form a tantalum-containing layer with a second nitrogen concentration. A metal-containing layer is then deposited on the tantalum-containing layer.


In another aspect, a method of film deposition for integrated circuit fabrication includes forming a tantalum-containing layer with a sheet resistance of about 1,200 μΩ-cm or less by a plasma annealing process on a tantalum nitride layer deposited by an atomic layer deposition process on a substrate.


In yet another aspect, a method of forming a material on a substrate is disclosed. In one embodiment, the method includes forming a tantalum nitride layer on a substrate disposed in a plasma process chamber by sequentially exposing the substrate to a tantalum precursor and a nitrogen precursor, followed by reducing a nitrogen concentration of the tantalum nitride layer by exposing the substrate to a plasma annealing process. A metal-containing layer is then deposited on the tantalum nitride layer by a deposition process.


These and other aspects of the present invention will be more apparent from the following description.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIGS. 1 and 4 depict schematic illustrations of exemplary portions of process systems in accordance with one or more integrated circuit fabrication aspects of the present invention;



FIGS. 2
a-2c depict cross-sectional views of a substrate structure at different stages of integrated circuit fabrication;



FIGS. 3
a-3c depict cross-sectional views of a substrate at different stages of chemisorption to form a barrier layer; and



FIG. 5 depicts a cross-sectional view of a substrate structure at different stages of integrated circuit fabrication incorporating one or more tantalum-nitride barrier sublayers post plasma anneal.





DETAILED DESCRIPTION


FIG. 1 depicts a schematic illustration of a wafer processing system 10 that can be used to form one or more tantalum-nitride barrier layers in accordance with aspects of the present invention described herein. System 10 comprises process chamber 100, gas panel 130, control unit 110, along with other hardware components such as power supply 106 and vacuum pump 102. For purposes of clarity, salient features of process chamber 100 are briefly described below.


Process Chamber


Process chamber 100 generally houses a support pedestal 150, which is used to support a substrate such as a semiconductor wafer 190 within process chamber 100. Depending on process requirements, semiconductor wafer 190 can be heated to some desired temperature or within some desired temperature range prior to layer formation using heater 170.


In chamber 100, wafer support pedestal 150 is heated by an embedded heating element 170. For example, pedestal 150 may be resistively heated by applying an electric current from an AC power supply 106 to heating element 170. Wafer 190 is, in turn, heated by pedestal 150, and may be maintained within a desired process temperature range of, for example, about 20 degrees Celsius to about 500 degrees Celsius.


Temperature sensor 172, such as a thermocouple, may be embedded in wafer support pedestal 150 to monitor the pedestal temperature of 150 in a conventional manner. For example, measured temperature may be used in a feedback loop to control electric current applied to heating element 170 from power supply 106, such that wafer temperature can be maintained or controlled at a desired temperature or within a desired temperature range suitable for a process application. Pedestal 150 may optionally be heated using radiant heat (not shown).


Vacuum pump 102 is used to evacuate process gases from process chamber 100 and to help maintain a desired pressure or desired pressure within a pressure range inside chamber 100. Orifice 120 through a wall of chamber 100 is used to introduce process gases into process chamber 100. Sizing of orifice 120 conventionally depends on the size of process chamber 100.


Orifice 120 is coupled to gas panel 130 in part by valve 125. Gas panel 130 is configured to receive and then provide a resultant process gas from two or more gas sources 135, 136 to process chamber 100 through orifice 120 and valve 125. Gas sources 135, 136 may store precursors in a liquid phase at room temperature, which are later heated when in gas panel 130 to convert them to a vapor-gas phase for introduction into chamber 100. Gas panel 130 is further configured to receive and then provide a purge gas from purge gas source 138 to process chamber 100 through orifice 120 and valve 125.


Control unit 110, such as a programmed personal computer, work station computer, and the like, is configured to control flow of various process gases through gas panel 130 as well as valve 125 during different stages of a wafer process sequence. Illustratively, control unit 110 comprises central processing unit (CPU) 112, support circuitry 114, and memory 116 containing associated control software 113. In addition to control of process gases through gas panel 130, control unit 110 may be configured to be responsible for automated control of other activities used in wafer processing—such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.


Control unit 110 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. CPU 112 may use any suitable memory 116, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to CPU 112 for supporting system 10. Software routines 113 as required may be stored in memory 116 or executed by a second computer processor that is remotely located (not shown). Bi-directional communications between control unit 110 and various other components of wafer processing system 10 are handled through numerous signal cables collectively referred to as signal buses 118, some of which are illustrated in FIG. 1.


Barrier Layer Formation



FIGS. 2
a-2c illustrate exemplary embodiment portions of tantalum-nitride layer formation for integrated circuit fabrication of an interconnect structure in accordance with one or more aspects of the present invention. For purposes of clarity, substrate 200 refers to any workpiece upon which film processing is performed, and substrate structure 250 is used to denote substrate 200 as well as other material layers formed on substrate 200. Depending on processing stage, substrate 200 may be a silicon semiconductor wafer, or other material layer, which has been formed on wafer 190 (shown in FIG. 1).



FIG. 2
a, for example, shows a cross-sectional view of a substrate structure 250, having a dielectric layer 202 thereon. Dielectric layer 202 may be an oxide, a silicon oxide, carbon-silicon-oxide, a fluoro-silicon, a porous dielectric, or other suitable dielectric formed and patterned to provide contact hole or via 202H extending to an exposed surface portion 202T of substrate 200. More particularly, it will be understood by those with skill in the art that the present invention may be used in a dual damascene process flow.



FIG. 2
b illustratively shows tantalum-nitride layer 204 formed on substrate structure 250. Tantalum-nitride layer 204 is formed by chemisorbing monolayers of a tantalum containing compound and a nitrogen containing compound on substrate structure 250.


Referring to FIG. 2c, after the formation of tantalum-nitride layer 204, a portion of layer 204 may be removed by etching in a well-known manner to expose a portion 202C of substrate 200. Portion 202C may be part of a transistor gate stack, a capacitor plate, a node, a conductor, or like conductive element. Next, contact layer 206 may be formed thereon, for example, to form an interconnect structure. Contact layer 206 may be selected from a group of aluminum (Al), copper (Cu), tungsten (W), and combinations thereof.


Contact layer 206 may be formed, for example, using chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or a combination thereof. For example, an aluminum (Al) layer may be deposited from a reaction of a gas mixture containing dimethyl aluminum hydride (DMAH) and hydrogen (H2) or argon (Ar) or other DMAH containing mixtures, a CVD copper layer may be deposited from a gas mixture containing Cu(hfac)2 (copper (II) hexafluoro acetylacetonate), Cu(fod)2 (copper (II) heptafluoro dimethyl octanediene), Cu(hfac) TMVS (copper (I) hexafluoro acetylacetonate trimethylvinylsilane) or combinations thereof, and a CVD tungsten layer may be deposited from a gas mixture containing tungsten hexafluoride (WF6). A PVD layer is deposited from a copper target, an aluminum target, or a tungsten target.


Moreover, layer 206 may be a refractory metal compound including but not limited to titanium (Ti), tungsten (W), tantalum (Ta), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), and chromium (Cr), among others. Conventionally, a refractory metal is combined with reactive species, such as for example chlorine (Cl) or fluorine (F), and is provided with another gas to form a refractory metal compound. For example, titanium tetrachloride (TiCl4), tungsten hexafluoride (WF6), tantalum pentachloride (TaCl5), zirconium tetrachloride (ZrCl4), hafnium tetrachloride (HfCl4), molybdenum pentachloride (MOCl5), niobium pentachloride (NbCl5), vanadium pentachloride (VCl5), or chromium tetrachloride (CrCl4) may be used as a refractory metal-containing compound gas.


Though layer 206 is shown as formed on layer 204, it should be understood that layer 204 may be used in combination with one or more other barrier layers formed by CVD or PVD. Accordingly, layer 204 need not be in direct contact with layer 206, but an intervening layer may exist between layer 206 and layer 204.


Monolayers are chemisorbed by sequentially providing a tantalum containing compound and a nitrogen containing compound to a process chamber. Monolayers of a tantalum containing compound and a nitrogen containing compound are alternately chemisorbed on a substrate 300 as illustratively shown in FIGS. 3a-3c.



FIG. 3
a depicts a cross-sectional view of an exemplary portion of substrate 300 in a stage of integrated circuit fabrication, and more particularly at a stage of barrier layer formation. Tantalum layer 305 is formed by chemisorbing a tantalum-containing compound on surface portion 300T of substrate 300 by introducing a pulse of a tantalum containing gas 135 (shown in FIG. 1) into process chamber 100 (shown in FIG. 1). Tantalum containing gas 135 (shown in FIG. 1) may be a tantalum based organometallic precursor or a derivative thereof. Examples of such precursors include but are not limited to pentakis(ethylmethylamino) tantalum (PEMAT; Ta(N(Et)Me)5), pentakis(diethylamino) tantalum (PDEAT; Ta(NEt2)5), pentakis(dimethylamino) tantalum (PDMAT; Ta(NMe2)5) or a derivative thereof. Other tantalum containing precursors include TBTDET (tBuNTa(NEt2)3 or C16H39N4Ta), tantalum halides (e.g., TaX5, where X is F, B or C) or a derivative thereof.


Wafer 190 is maintained approximately below a thermal decomposition temperature of a selected tantalum precursor or a derivative thereof to be used and maintained at a pressure of approximately less than 100 Torr. Additionally, wafer 190 may be heated by heating element 170. An exemplary temperature range for precursors identified herein is approximately 20 to 400 degrees Celsius. For example, approximately 150 to 300 degrees Celsius may be used for PEMAT.


Though temperatures below a thermal decomposition temperature may be used, it should be understood that other temperatures, namely those above a thermal decomposition temperature, may be used. An example temperature ranges above a thermal decomposition temperature is approximately 400 to 600 degrees Celsius. Accordingly, some thermal decomposition may occur; however, the main, more than 50 percent, deposition activity is by chemisorption. More generally, wafer surface temperature needs to be high enough to induce significant chemisorption of precursors instead of physisorption, but low enough to prevent significant decomposition of precursors. If the amount of decomposition during each precursor deposition is significantly less than a layer, then the primary growth mode will be ALD. Accordingly, such a film will tend to have ALD properties. However, it is possible if a precursor significantly decomposes, but an intermediate reactant is obtained preventing further precursor decomposition after a layer of intermediate reactant is deposited, then an ALD growth mode may still be obtained.


While not wishing to be bound by theory, it is believed that this tantalum-containing precursor combines tantalum atoms with one or more reactive species. During tantalum layer 305 formation, these reactive species form byproducts that are transported from process chamber 100 by vacuum system 102 while leaving tantalum deposited on surface portion 300T. However, composition and structure of precursors on a surface during atomic-layer deposition (ALD) is not precisely known. A precursor may be in an intermediate state when on a surface of wafer 190. For example, each layer may contain more than simply elements of tantalum (Ta) or nitrogen (N); rather, the existence of more complex molecules having carbon (C), hydrogen (H), and/or oxygen (O) is probable. Additionally, a surface may saturate after exposure to a precursor forming a layer having more or less than a monolayer of either tantalum (Ta) or nitrogen (N). This composition or structure will depend on available free energy on a surface of wafer 190, as well as atoms or molecules involved. Once all available sites are occupied by tantalum atoms, further chemisorption of tantalum is blocked, and thus the reaction is self-limiting.


After layer 305 of a tantalum containing compound is chemisorbed onto substrate 300, excess tantalum containing compound is removed from process chamber 10 by vacuum system 102 (shown in FIG. 1). Additionally, a pulse of purge gas 138 (shown in FIG. 1) may be supplied to process chamber 10 to facilitate removal of excess tantalum containing compound. Examples of suitable purge gases include but are not limited to helium (He), nitrogen (N2), argon (Ar), and hydrogen (H2), among others, and combinations thereof that may be used.


With continuing reference to FIGS. 3a-c and renewed reference to FIG. 1, after process chamber 100 has been purged, a pulse of ammonia gas (NH3) 136 is introduced into process chamber 100. Process chamber 100 and wafer 190 may be maintained at approximately the same temperature and pressure range as used for formation of layer 305.


In FIG. 3b, a layer 307 of nitrogen is illustratively shown as chemisorbed on tantalum layer 305 at least in part in response to introduction of ammonia gas 136. While not wishing to be bound by theory, it is believed that nitrogen layer 307 is formed in a similar self-limiting manner as was tantalum layer 305. Each tantalum layer 305 and nitrogen layer 307 in any combination and in direct contact with one another form a sublayer 309, whether or not either or both or neither is a monolayer. Though ammonia gas is used, other N containing precursors gases may be used including but not limited to NxHy for x and y integers (e.g., N2H4), N2 plasma source, NH2N(CH3)2, among others.


After an ammonia gas compound is chemisorbed onto tantalum layer 305 on substrate 300 to form nitrogen monolayer 307, excess ammonia gas compound is removed from process chamber 10 by vacuum system 102, and additionally, a pulse of purge gas 138 may be supplied to process chamber 10 to facilitate this removal.


Thereafter, as shown in FIG. 3c, tantalum and nitrogen layer deposition in an alternating sequence may be repeated with interspersed purges until a desired layer 204 thickness is achieved. Tantalum-nitride layer 204 may, for example, have a thickness in a range of approximately 0.0002 microns (2 Angstrom) to about 0.05 microns (500 Angstrom), though a thickness of approximately 0.001 microns (10 Angstrom) to about 0.005 microns (50 Angstrom) may be a sufficient barrier. Moreover, a tantalum-nitride layer 204 may be used as a thin film insulator or dielectric, or may be used as a protective layer for example to prevent corrosion owing to layer 204 being relatively inert or non-reactive. Advantageously, layer 204 may be used to coat any of a variety of geometries.


In FIGS. 3a-3c, tantalum-nitride layer 204 formation is depicted as starting with chemisorption of a tantalum containing compound on substrate 300 followed by chemisorption of a nitrogen containing compound. Alternatively, chemisorption may begin with a layer of a nitrogen containing compound on substrate 300 followed by a layer of a tantalum containing compound.


Pulse time for each pulse of a tantalum containing compound, a nitrogen containing compound, and a purge gas is variable and depends on volume capacity of a deposition chamber 100 employed as well as vacuum system 102 coupled thereto. Similarly, time between each pulse is also variable and depends on volume capacity of process chamber 100 as well as vacuum system 102 coupled thereto. However, in general, wafer 190 surface must be saturated by the end of a pulse time, where pulse time is defined as time a surface is exposed to a precursor. There is some variability here, for example (1) a lower chamber pressure of a precursor will require a longer pulse time; (2) a lower precursor gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volume chamber will take longer to fill, longer for chamber pressure to stabilize thus requiring a longer pulse time. In general, precursor gases should not mix at or near the wafer surface to prevent co-reaction (a co-reactive embodiment is disclosed elsewhere herein), and thus at least one gas purge or pump evacuation between precursor pulses should be used to prevent mixing.


Generally, a pulse time of less than about 1 second for a tantalum containing compound and a pulse time of less than about 1 second for a nitrogen containing compound is typically sufficient to chemisorb alternating monolayers that comprise tantalum-nitride layer 204 on substrate 300. A pulse time of less than about 1 second for purge gas 138 is typically sufficient to remove reaction byproducts as well as any residual materials remaining in process chamber 100.


Sequential deposition as described advantageously provides good step coverage and conformality, due to using a chemisorption mechanism for forming tantalum-nitride layer 204. With complete or near complete saturation after each exposure of wafer 190 to a precursor, each of uniformity and step coverage is approximately 100 percent. Because atomic layer deposition is used, precision controlled thickness of tantalum-nitride layer 204 may be achieved down to a single layer of atoms. Furthermore, in ALD processes, since it is believed that only about one atomic layer may be absorbed on a topographic surface per “cycle,” deposition area is largely independent of the amount of precursor gas remaining in a reaction chamber once a layer has been formed. By “cycle,” it is meant a sequence of pulse gases, including precursor and purge gases, and optionally one or more pump evacuations. Also, by using ALD, gas-phase reactions between precursors are minimized to reduce generation of unwanted particles.


Co-Reaction


Though it has been described to alternate tantalum and nitrogen containing precursors and purging in between as applied in a sequential manner, another embodiment is to supply tantalum and nitrogen containing precursors simultaneously. Thus, pulses of gases 135 and 136, namely, tantalum and nitrogen containing compounds, are both applied to chamber 100 at the same time. An example is PEMAT and NH3, though other tantalum-organic and nitrogen precursors may be used. Step coverage and conformality is good at approximately 95 to 100 percent for each. Moreover, deposition rate is approximately 0.001 to 0.1 microns per second. Because a co-reaction is used, purging between sequential pulses of alternating precursors is avoided, as is done in ALD.


Wafer surface temperature is maintained high enough to sustain reaction between two precursors. This temperature may be below chemisorption temperature of one or both precursors. Accordingly, temperature should be high enough for sufficient diffusion of molecules or atoms.


Wafer surface temperature is maintained low enough to avoid significant decomposition of precursors. However, more decomposition of precursors may be acceptable for co-reaction than for sequentially reacting precursors in an ALD process. In general, wafer 190 surface diffusion rate of molecules or atoms should be greater than precursors' reaction rate which should be greater precursors' decomposition rate.


For all other details, the above-mentioned description for sequentially applied precursors applies to co-reaction processing.


Plasma Anneal


After forming one or more combinations of layers 305 and 307, substrate structure 250 may be plasma annealed. Referring to FIG. 4, there is illustratively shown a schematic diagram of an exemplary portion of a process system 10P in accordance with an aspect of the present invention. Process system 10P is similar to process system 10, except for additions of one or more RF power supplies 410 and 412, showerhead 400, gas source 405, and matching network(s) 411. Notably, a separate plasma process system may be used; however, by using a CVD/PVD process system 10P, less handling of substrate structure 250 is involved, as layer 204 may be formed and annealed in a same chamber 100.


Showerhead 400 and wafer support pedestal 150 provide in part spaced apart electrodes. An electric field may be generated between these electrodes to ignite a process gas introduced into chamber 100 to provide a plasma 415. In this embodiment, argon is introduced into chamber 100 from gas source 405 to provide an argon plasma. However, if argon is used as a purge gas, gas source 405 may be omitted for gas source 138.


Conventionally, pedestal 150 is coupled to a source of radio frequency (RF) power source 412 through a matching network 411, which in turn may be coupled to control unit 110. Alternatively, RF power source 410 may be coupled to showerhead 400 and matching network 411, which in turn may be coupled to control unit 110. Moreover, matching network 411 may comprise different circuits for RF power sources 410 and 412, and both RF power sources 410 and 412 may be coupled to showerhead 400 and pedestal 150, respectively.


With continuing reference to FIG. 4 and renewed reference to FIG. 3c, substrate structure 250 having one or more iterations or tantalum-nitride sublayers 309 is located in process chamber 401. Argon (Ar) gas from gas source 405 is introduced into chamber 401 to plasma anneal substrate structure 250. While not wishing to be bound by theory, it is believed that plasma annealing reduces nitrogen content of one or more sublayers 309 by sputtering off nitrogen, which in turn reduces resistivity. In other words, plasma annealing is believed to make tantalum-nitride layer 204 more tantalum-rich as compared to a non-plasma annealed tantalum-nitride layer 204. For example, a 1:1 Ta:N film may be annealed to a 2:1 Ta:N film. Tantalum-nitride films having a sheet resistance of approximately equal to or less than 1200 microohms-cm for 0.004 micron (40 Angstrom) films may be achieved.


It will be appreciated that other non-chemically reactive gases with respect to layer 204 may be used for physically displacing nitrogen from layer 204, including but not limited to neon (Ne), xenon (Xe), helium (He), and hydrogen (H2). Generally, for a plasma-gas that does not chemically react with a tantalum-nitride film, it is desirable to have a plasma-gas atom or molecule with an atomic-mass closer to N than to Ta in order to have preferential sputtering of the N. However, a chemically reactive process may be used where a gas is selected which preferentially reacts for removal of N while leaving Ta.


Referring to FIG. 5, there is illustratively shown a cross sectional view of layer 204 after plasma annealing in accordance with a portion of an exemplary embodiment of the present invention. Plasma annealing may be done after formation of each nitrogen layer 307, or may be done after formation of a plurality of layers 307. With respect to the latter, plasma annealing may take place after approximately every 0.003 to 0.005 microns (30 to 50 Angstroms) of layer 204 or after formation of approximately every 7 to 10 sublayers 309. However, plasma annealing may be done after formation of a sublayer 309, which is approximately 0.0001 to 0.0004 microns (1 to 4 Angstroms).


Plasma annealing with argon may be done with a wafer temperature in a range of approximately 20 to 450 degrees Celsius and a chamber pressure of approximately 0.1 to 50 Torr with a flow rate of argon in a range of approximately 10 to 2,000 standard cubic centimeters per minute (sccm) with a plasma treatment time approximately equal to or greater than one second. Generally, a tantalum-nitride film should be annealed at a temperature, which does not melt, sublime, or decompose such a tantalum-nitride film.


The specific process conditions disclosed in the above description are meant for illustrative purposes only. Other combinations of process parameters such as precursor and inert gases, flow ranges, pressure ranges and temperature ranges may be used in forming a tantalum-nitride layer in accordance with one or more aspects of the present invention.


Although several preferred embodiments, which incorporate the teachings of the present invention, have been shown and described in detail, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings. By way of example and not limitation, it will be apparent to those skilled in the art that the above-described formation is directed at atomic layer CVD (ALCVD); however, low temperature CVD may be used as described with respect to co-reacting precursors. Accordingly, layers 305 and 307 need not be monolayers. Moreover, it will be appreciated that the above described embodiments of the present invention will be particularly useful in forming one or more barrier layers for interconnects on semiconductor devices having a wide range of applications.


While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method for forming a material on a substrate, comprising: positioning a substrate within a plasma-enhanced process chamber;forming a tantalum nitride layer having a first nitrogen concentration by sequentially exposing the substrate to a tantalum precursor and a nitrogen precursor during an atomic layer deposition process;reducing the first nitrogen concentration of the tantalum nitride layer to a second nitrogen concentration by exposing the substrate to a plasma annealing process comprising a plasma substantially formed from a gas non-chemically reactive with respect to the tantalum nitride layer; anddepositing a metal-containing layer on the tantalum nitride layer.
  • 2. The method of claim 1, wherein the nitrogen precursor is a plasma nitrogen precursor.
  • 3. The method of claim 2, wherein a plasma is ignited by an electric field during the atomic layer deposition process.
  • 4. The method of claim 2, wherein a plasma is ignited by a radio frequency field during the atomic layer deposition process.
  • 5. The method of claim 1, wherein the nitrogen precursor contains a compound selected from the group consisting of nitrogen, ammonia, hydrazine, plasmas thereof, derivatives thereof and combinations thereof.
  • 6. The method of claim 5, wherein the metal-containing layer comprises a metal selected from the group consisting of aluminum, copper, tungsten, tantalum and alloys thereof.
  • 7. The method of claim 6, wherein the metal is copper deposited by an electroplating process.
  • 8. The method of claim 7, wherein the tantalum nitride layer has a thickness within a range from about 10 Å to about 50 Å.
  • 9. A method for forming a material on a substrate, comprising: positioning a substrate within a plasma-enhanced process chamber;forming a tantalum nitride layer having a first nitrogen concentration on the substrate by sequentially exposing the substrate to a tantalum precursor and a nitrogen precursor during an atomic layer deposition process;plasma annealing the tantalum nitride layer by a plasma substantially formed from a gas non-chemically reactive with respect to the tantalum nitride layer to reduce the first nitrogen concentration of the tantalum nitride layer to a second nitrogen concentration;forming a metal-containing layer on the tantalum nitride layer; andforming a copper-containing layer or a tungsten-containing layer on the metal-containing layer.
  • 10. The method of claim 9, wherein the nitrogen precursor is a plasma nitrogen precursor.
  • 11. The method of claim 10, wherein a plasma is ignited by an electric field during the atomic layer deposition process.
  • 12. The method of claim 10, wherein a plasma is ignited by a radio frequency field during the atomic layer deposition process.
  • 13. The method of claim 9, wherein the nitrogen precursor contains a compound selected from the group consisting of nitrogen, ammonia, hydrazine, plasmas thereof, derivatives thereof and combinations thereof.
  • 14. The method of claim 13, wherein the metal-containing layer comprises copper or tungsten.
  • 15. The method of claim 14, wherein the metal-containing layer comprises copper deposited by an electroplating process.
  • 16. The method of claim 15, wherein the tantalum nitride layer has a thickness within a range from about 10 Å to about 50 Å.
  • 17. The method of claim 13, wherein the copper-containing layer is formed during a physical vapor deposition process or an electroplating process.
  • 18. The method of claim 13, wherein the tungsten-containing layer is formed during a physical vapor deposition process or a chemical vapor deposition process.
  • 19. A method for forming a material on a substrate, comprising: positioning a substrate within a process chamber;forming a tantalum-containing layer on the substrate;forming a tantalum nitride layer on the tantalum-containing layer having a first nitrogen concentration by sequentially exposing the substrate to a tantalum precursor and a plasma nitrogen precursor during an atomic layer deposition process;exposing the substrate to a plasma annealing process comprising a plasma substantially formed from a gas non-chemically reactive with respect to the tantalum nitride layer to reduce the first nitrogen concentration of the tantalum nitride layer to a second nitrogen concentration; andforming a metal-containing layer on the tantalum nitride layer.
  • 20. The method of claim 19, wherein the plasma nitrogen precursor is ignited by an electric field during the atomic layer deposition process.
  • 21. The method of claim 19, wherein the plasma nitrogen precursor is ignited by a radio frequency field during the atomic layer deposition process.
  • 22. The method of claim 19, wherein the plasma nitrogen precursor contains a compound selected from a group consisting of nitrogen, ammonia, hydrazine, derivatives thereof and combinations thereof.
  • 23. The method of claim 22, wherein the metal-containing layer comprises a metal selected from the group consisting of aluminum, copper, tungsten, tantalum and alloys thereof.
  • 24. The method of claim 23, wherein the metal is copper deposited by an electroplating process.
  • 25. The method of claim 24, wherein the tantalum nitride layer has a thickness within a range from about 10 Å to about 50 Å.
  • 26. A method for forming a material on a substrate, comprising: positioning a substrate on an pedestal within a process chamber;forming a tantalum-containing layer on the substrate;generating an electric field above the substrate during a plasma-enhanced atomic layer deposition process to form a tantalum nitride layer having a first nitrogen concentration on the tantalum-containing layer;exposing the substrate tantalum-containing layer to a plasma annealing process comprising a plasma substantially formed from a gas non-chemically reactive with respect to the tantalum-containing layer to reduce the first nitrogen concentration of the tantalum nitride layer to a second nitrogen concentration; andforming a metal-containing layer on the tantalum nitride layer.
  • 27. The method of claim 26, wherein the plasma-enhanced atomic layer deposition process contains sequentially exposing the substrate to a tantalum precursor and a nitrogen plasma precursor.
  • 28. The method of claim 27, wherein the nitrogen plasma precursor is formed from a nitrogen precursor selected from the group consisting of nitrogen, ammonia, hydrazine, derivatives thereof and combinations thereof.
  • 29. The method of claim 28, wherein the metal-containing layer comprises a metal selected from the group consisting of aluminum, copper, tungsten, tantalum and alloys thereof.
  • 30. The method of claim 29, wherein the metal is copper deposited by an electroplating process.
  • 31. The method of claim 30, wherein the tantalum nitride layer has a thickness within a range from about 10 Å to about 50 Å.
  • 32. The method of claim 1, wherein the non-chemically reactive gas is selected from at least one of Ar, Ne, Xe, He, or H2.
  • 33. The method of claim 1, wherein reducing the first nitrogen concentration of the tantalum nitride layer to the second nitrogen concentration by exposing the substrate to the plasma annealing process further comprises sputtering off nitrogen.
  • 34. The method of claim 9, wherein reducing the first nitrogen concentration of the tantalum nitride layer to the second nitrogen concentration by exposing the substrate to the plasma annealing process further comprises sputtering off nitrogen.
  • 35. The method of claim 19, wherein reducing the first nitrogen concentration of the tantalum nitride layer to the second nitrogen concentration by exposing the substrate to the plasma annealing process further comprises sputtering off nitrogen.
  • 36. The method of claim 26, wherein reducing the first nitrogen concentration of the tantalum nitride layer to the second nitrogen concentration by exposing the substrate to the plasma annealing process further comprises sputtering off nitrogen.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. Ser. No. 11/088,072, filed Mar. 23, 2005 now U.S. Pat. No. 7,094,680, which is a continuation of U.S. Ser. No. 09/776,329, filed Feb. 2, 2001 now U.S. Pat. No. 6,951,804, both of which are hereby incorporated by reference in their entireties.

US Referenced Citations (311)
Number Name Date Kind
4747367 Posa May 1988 A
4761269 Conger et al. Aug 1988 A
4825809 Mieno May 1989 A
4838993 Aoki et al. Jun 1989 A
4840921 Matsumoto Jun 1989 A
4845049 Sunakawa Jul 1989 A
4859625 Matsumoto Aug 1989 A
4859627 Sunakawa et al. Aug 1989 A
4861417 Mochizuki et al. Aug 1989 A
4876218 Pessa et al. Oct 1989 A
4917556 Stark et al. Apr 1990 A
4927670 Erbil May 1990 A
4931132 Aspnes et al. Jun 1990 A
4951601 Maydan et al. Aug 1990 A
4960720 Shimbo et al. Oct 1990 A
4975252 Nishizawa et al. Dec 1990 A
4993357 Scholz Feb 1991 A
5000113 Wang et al. Mar 1991 A
5013683 Petroff et al. May 1991 A
5028565 Chang et al. Jul 1991 A
5082798 Arimoto et al. Jan 1992 A
5085885 Foley et al. Feb 1992 A
5091320 Aspnes et al. Feb 1992 A
5130269 Kitahara et al. Jul 1992 A
5166092 Mochizuki et al. Nov 1992 A
5173474 Connell et al. Dec 1992 A
5186718 Tepman et al. Feb 1993 A
5205077 Wittstock et al. Apr 1993 A
5221449 Colgan et al. Jun 1993 A
5225366 Yoder Jul 1993 A
5234561 Randhawa et al. Aug 1993 A
5246536 Nishizawa et al. Sep 1993 A
5250148 Nishizawa et al. Oct 1993 A
5254207 Nishizawa et al. Oct 1993 A
5259881 Edwards et al. Nov 1993 A
5270247 Sakuma et al. Dec 1993 A
5278435 Van Hove et al. Jan 1994 A
5281274 Yoder Jan 1994 A
5286296 Sato et al. Feb 1994 A
5290748 Knuuttila et al. Mar 1994 A
5294286 Nishizawa et al. Mar 1994 A
5296403 Nishizawa et al. Mar 1994 A
5300186 Kitahara et al. Apr 1994 A
5311055 Goodman et al. May 1994 A
5316615 Copel et al. May 1994 A
5316793 Wallace et al. May 1994 A
5330610 Eres et al. Jul 1994 A
5336324 Stall et al. Aug 1994 A
5338389 Nishizawa et al. Aug 1994 A
5348911 Jurgensen et al. Sep 1994 A
5374570 Nasu et al. Dec 1994 A
5378660 Ngan et al. Jan 1995 A
5395791 Cheng et al. Mar 1995 A
5438952 Otsuka et al. Aug 1995 A
5439876 Graf et al. Aug 1995 A
5441703 Jurgensen Aug 1995 A
5443033 Nishizawa et al. Aug 1995 A
5443647 Aucoin et al. Aug 1995 A
5455072 Bension et al. Oct 1995 A
5458084 Thorne et al. Oct 1995 A
5469806 Mochizuki et al. Nov 1995 A
5480818 Matsumoto et al. Jan 1996 A
5483919 Yokoyama et al. Jan 1996 A
5484664 Kitahara et al. Jan 1996 A
5503875 Imai et al. Apr 1996 A
5521126 Okamura et al. May 1996 A
5527733 Nishizawa et al. Jun 1996 A
5532511 Nishizawa et al. Jul 1996 A
5540783 Eres et al. Jul 1996 A
5580380 Liu et al. Dec 1996 A
5601651 Watabe et al. Feb 1997 A
5609689 Kato et al. Mar 1997 A
5616181 Yamamoto et al. Apr 1997 A
5637530 Gaines et al. Jun 1997 A
5641984 Aftergut et al. Jun 1997 A
5644128 Wollnik et al. Jul 1997 A
5667592 Boitnott et al. Sep 1997 A
5674786 Turner et al. Oct 1997 A
5693139 Nishizawa et al. Dec 1997 A
5695564 Imahashi et al. Dec 1997 A
5705224 Murota et al. Jan 1998 A
5707880 Aftergut et al. Jan 1998 A
5711811 Suntola et al. Jan 1998 A
5730801 Tepman et al. Mar 1998 A
5730802 Ishizumi et al. Mar 1998 A
5747113 Tsai May 1998 A
5749974 Habuka et al. May 1998 A
5788447 Yonemitsu et al. Aug 1998 A
5788799 Steger et al. Aug 1998 A
5796116 Nakata et al. Aug 1998 A
5801634 Young et al. Sep 1998 A
5807792 Ilg et al. Sep 1998 A
5830270 McKee et al. Nov 1998 A
5835677 Li et al. Nov 1998 A
5851849 Comizzoli et al. Dec 1998 A
5855675 Doering et al. Jan 1999 A
5855680 Soininen et al. Jan 1999 A
5856219 Naito et al. Jan 1999 A
5858102 Tsai Jan 1999 A
5866213 Foster et al. Feb 1999 A
5866795 Wang et al. Feb 1999 A
5879459 Gadgil et al. Mar 1999 A
5882165 Maydan et al. Mar 1999 A
5882413 Beaulieu et al. Mar 1999 A
5904565 Nguyen et al. May 1999 A
5916365 Sherman Jun 1999 A
5923056 Lee et al. Jul 1999 A
5923985 Aoki et al. Jul 1999 A
5925574 Aoki et al. Jul 1999 A
5928389 Jevtic Jul 1999 A
5935490 Archbold et al. Aug 1999 A
5942040 Kim et al. Aug 1999 A
5947710 Cooper et al. Sep 1999 A
5951771 Raney et al. Sep 1999 A
5972430 DiMeo, Jr. et al. Oct 1999 A
5989999 Levine et al. Nov 1999 A
6001415 Nogami et al. Dec 1999 A
6001669 Gaines et al. Dec 1999 A
6013575 Itoh Jan 2000 A
6015590 Suntola et al. Jan 2000 A
6025627 Forbes et al. Feb 2000 A
6036773 Wang et al. Mar 2000 A
6042652 Hyun et al. Mar 2000 A
6043177 Falconer et al. Mar 2000 A
6051286 Zhao et al. Apr 2000 A
6062798 Muka May 2000 A
6067222 Hausmann May 2000 A
6071808 Merchant et al. Jun 2000 A
6084302 Sandhu Jul 2000 A
6086677 Umotoy et al. Jul 2000 A
6110556 Bang et al. Aug 2000 A
6113977 Soininen et al. Sep 2000 A
6117244 Bang et al. Sep 2000 A
6124158 Dautartas et al. Sep 2000 A
6124203 Joo et al. Sep 2000 A
6130147 Major et al. Oct 2000 A
6139700 Kang et al. Oct 2000 A
6140237 Chan et al. Oct 2000 A
6140238 Kitch Oct 2000 A
6143077 Ikeda et al. Nov 2000 A
6143659 Leem et al. Nov 2000 A
6144060 Park et al. Nov 2000 A
6155198 Danek et al. Dec 2000 A
6158446 Mohindra et al. Dec 2000 A
6174377 Doering et al. Jan 2001 B1
6174809 Kang et al. Jan 2001 B1
6200893 Sneh Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6204204 Paranjpe et al. Mar 2001 B1
6206967 Mak et al. Mar 2001 B1
6207302 Sugiura et al. Mar 2001 B1
6218302 Braeckelmann et al. Apr 2001 B1
6248605 Harkonen et al. Jun 2001 B1
6251758 Chern et al. Jun 2001 B1
6270572 Kim et al. Aug 2001 B1
6271148 Kao et al. Aug 2001 B1
6280563 Baldwin et al. Aug 2001 B1
6287965 Kang et al. Sep 2001 B1
6291876 Stumborg et al. Sep 2001 B1
6305314 Sneh et al. Oct 2001 B1
6306216 Kim et al. Oct 2001 B1
6316098 Yitzchaik et al. Nov 2001 B1
6391785 Satta et al. May 2002 B1
6416822 Chiang et al. Jul 2002 B1
6428847 Grant et al. Aug 2002 B1
6428859 Chiang et al. Aug 2002 B1
6436819 Zhang et al. Aug 2002 B1
6455421 Itoh et al. Sep 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6511539 Raaijmakers Jan 2003 B1
6551929 Kori et al. Apr 2003 B1
6562140 Bondestam et al. May 2003 B1
6573150 Urdahl et al. Jun 2003 B1
6579374 Bondestam et al. Jun 2003 B2
6620670 Song et al. Sep 2003 B2
6620723 Byun et al. Sep 2003 B1
6630030 Suntola et al. Oct 2003 B1
6652924 Sherman Nov 2003 B2
6664192 Satta et al. Dec 2003 B2
6686271 Raaijmakers et al. Feb 2004 B2
6689220 Nguyen Feb 2004 B1
6699783 Raaijmakers et al. Mar 2004 B2
6718126 Lei Apr 2004 B2
6720027 Yang et al. Apr 2004 B2
6727169 Raaijmakers et al. Apr 2004 B1
6729824 Lei et al. May 2004 B2
6734020 Lu et al. May 2004 B2
6743473 Parkhe et al. Jun 2004 B1
6759325 Raaijmakers et al. Jul 2004 B2
6764546 Raaijmakers Jul 2004 B2
6765178 Shang et al. Jul 2004 B2
6767582 Elers Jul 2004 B1
6772072 Ganguli et al. Aug 2004 B2
6773507 Jallepally et al. Aug 2004 B2
6780704 Raaijmakers et al. Aug 2004 B1
6797108 Wendling Sep 2004 B2
6818250 George et al. Nov 2004 B2
6821563 Yudovsky Nov 2004 B2
6825447 Kim et al. Nov 2004 B2
6827978 Yoon et al. Dec 2004 B2
6831004 Byun et al. Dec 2004 B2
6831315 Raaijmakers et al. Dec 2004 B2
6833161 Wang et al. Dec 2004 B2
6838125 Chung et al. Jan 2005 B2
6846516 Yang et al. Jan 2005 B2
6852635 Satta et al. Feb 2005 B2
6855368 Kori et al. Feb 2005 B1
6861334 Raaijmakers et al. Mar 2005 B2
6866746 Lei et al. Mar 2005 B2
6868859 Yudovsky Mar 2005 B2
6875271 Glenn et al. Apr 2005 B2
6878206 Tzu et al. Apr 2005 B2
6902763 Elers et al. Jun 2005 B1
6905737 Verplancken et al. Jun 2005 B2
6911391 Yang et al. Jun 2005 B2
6915592 Guenther Jul 2005 B2
6916398 Chen et al. Jul 2005 B2
6936538 Byun Aug 2005 B2
6936906 Chung et al. Aug 2005 B2
6939579 Bondestam et al. Sep 2005 B2
6951804 Seutter et al. Oct 2005 B2
6955211 Ku et al. Oct 2005 B2
6958296 Chen et al. Oct 2005 B2
6972267 Cao et al. Dec 2005 B2
6986914 Elers et al. Jan 2006 B2
6994319 Yudovsky Feb 2006 B2
6998014 Chen et al. Feb 2006 B2
6998579 Inagawa et al. Feb 2006 B2
7022948 Shang et al. Apr 2006 B2
7033922 Kori et al. Apr 2006 B2
7041335 Chung May 2006 B2
7049226 Chung et al. May 2006 B2
7066194 Ku et al. Jun 2006 B2
7085616 Chin et al. Aug 2006 B2
7094680 Seutter et al. Aug 2006 B2
7094685 Yang et al. Aug 2006 B2
7101795 Xi et al. Sep 2006 B1
7102235 Raaijmakers et al. Sep 2006 B2
7115494 Sinha et al. Oct 2006 B2
7115499 Wang et al. Oct 2006 B2
7141499 Raaijmakers Nov 2006 B2
7175713 Thakur et al. Feb 2007 B2
7201803 Lu et al. Apr 2007 B2
7204886 Chen et al. Apr 2007 B2
7208413 Byun et al. Apr 2007 B2
7211144 Lu et al. May 2007 B2
7211508 Chung et a May 2007 B2
7228873 Ku et al. Jun 2007 B2
7235486 Kori et al. Jun 2007 B2
7238552 Byun Jul 2007 B2
7244683 Chung et al. Jul 2007 B2
7402210 Chen et al. Jul 2008 B2
7408225 Shinriki et al. Aug 2008 B2
20010000866 Sneh et al. May 2001 A1
20010001075 Ngo et al. May 2001 A1
20010008171 Fukuda et al. Jul 2001 A1
20010009140 Bondestam et al. Jul 2001 A1
20010011526 Doering et al. Aug 2001 A1
20010024387 Raaijmakers et al. Sep 2001 A1
20010031562 Raaijmakers et al. Oct 2001 A1
20010034123 Jeon et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20010042799 Kim et al. Nov 2001 A1
20010054769 Raaijmakers et al. Dec 2001 A1
20020001976 Danek et al. Jan 2002 A1
20020005582 Nogami et al. Jan 2002 A1
20020094632 Agarwal et al. Jul 2002 A1
20020127336 Chen et al. Sep 2002 A1
20030054631 Raaijmakers et al. Mar 2003 A1
20030082296 Elers et al. May 2003 A1
20030091739 Sakamoto et al. May 2003 A1
20030121608 Chen et al. Jul 2003 A1
20030124262 Chen et al. Jul 2003 A1
20030134508 Raaijmakers et al. Jul 2003 A1
20030143839 Raaijmakers et al. Jul 2003 A1
20040130029 Raaijmakers et al. Jul 2004 A1
20040175586 Raaijmakers et al. Sep 2004 A1
20040241951 Amadon et al. Dec 2004 A1
20050042373 Kraus et al. Feb 2005 A1
20050106877 Elers et al. May 2005 A1
20050139160 Lei et al. Jun 2005 A1
20050173068 Chen et al. Aug 2005 A1
20050252449 Nguyen et al. Nov 2005 A1
20050271812 Myo et al. Dec 2005 A1
20050271813 Kher et al. Dec 2005 A1
20060078679 Elers et al. Apr 2006 A1
20060079090 Elers et al. Apr 2006 A1
20060216928 Chung et al. Sep 2006 A1
20060216932 Kumar et al. Sep 2006 A1
20070003698 Chen et al. Jan 2007 A1
20070026147 Chen et al. Feb 2007 A1
20070059948 Metzner et al. Mar 2007 A1
20070095285 Thakur et al. May 2007 A1
20070096321 Raaijmakers et al. May 2007 A1
20070119370 Ma et al. May 2007 A1
20070119371 Ma et al. May 2007 A1
20070128862 Ma et al. Jun 2007 A1
20070128863 Ma et al. Jun 2007 A1
20070128864 Ma et al. Jun 2007 A1
20070148350 Rahtu et al. Jun 2007 A1
20070169888 Lai Jul 2007 A1
20080038463 Chen et al. Feb 2008 A1
20080041307 Nguyen et al. Feb 2008 A1
20080041313 Chen et al. Feb 2008 A1
20080044569 Myo et al. Feb 2008 A1
20080102203 Wu et al. May 2008 A1
20080102208 Wu et al. May 2008 A1
20080107809 Wu et al. May 2008 A1
20080146760 Ford et al. Jun 2008 A1
20080268171 Ma et al. Oct 2008 A1
20080274299 Chen et al. Nov 2008 A1
Foreign Referenced Citations (194)
Number Date Country
1244037 Feb 2000 CN
19820147 Jul 1999 DE
0344352 Dec 1989 EP
0429270 May 1991 EP
0442490 Aug 1991 EP
0799641 Oct 1997 EP
1077484 Feb 2001 EP
2626110 Jul 1989 FR
2692597 Dec 1993 FR
58100419 Jun 1983 JP
60065712 Apr 1985 JP
61035847 Feb 1986 JP
61210623 Sep 1986 JP
62069508 Mar 1987 JP
62091495 Apr 1987 JP
62141717 Jun 1987 JP
62167297 Jul 1987 JP
62171999 Jul 1987 JP
62232919 Oct 1987 JP
63062313 Mar 1988 JP
63085098 Apr 1988 JP
63090833 Apr 1988 JP
63222420 Sep 1988 JP
63222421 Sep 1988 JP
63227007 Sep 1988 JP
63252420 Oct 1988 JP
63266814 Nov 1988 JP
64009895 Jan 1989 JP
64009896 Jan 1989 JP
64009897 Jan 1989 JP
64037832 Mar 1989 JP
01103982 Apr 1989 JP
01103996 Apr 1989 JP
01117017 May 1989 JP
1143221 Jun 1989 JP
01143233 Jun 1989 JP
01154511 Jun 1989 JP
1236657 Sep 1989 JP
1245512 Sep 1989 JP
1264218 Oct 1989 JP
1270593 Oct 1989 JP
1272108 Oct 1989 JP
1290221 Nov 1989 JP
1290222 Nov 1989 JP
1296673 Nov 1989 JP
1303770 Dec 1989 JP
1305894 Dec 1989 JP
1313927 Dec 1989 JP
2012814 Jan 1990 JP
2017634 Jan 1990 JP
2063115 Mar 1990 JP
2074029 Mar 1990 JP
2074587 Mar 1990 JP
2106822 Apr 1990 JP
2129913 May 1990 JP
2162717 Jun 1990 JP
2172895 Jul 1990 JP
2196092 Aug 1990 JP
2203517 Aug 1990 JP
02-230960 Sep 1990 JP
2230722 Sep 1990 JP
02-246161 Oct 1990 JP
2264491 Oct 1990 JP
2283084 Nov 1990 JP
02304916 Dec 1990 JP
3019211 Jan 1991 JP
3022569 Jan 1991 JP
3023294 Jan 1991 JP
3023299 Jan 1991 JP
3044967 Feb 1991 JP
3048421 Mar 1991 JP
3070124 Mar 1991 JP
3185716 Aug 1991 JP
3208885 Sep 1991 JP
3234025 Oct 1991 JP
3286522 Dec 1991 JP
3286531 Dec 1991 JP
4031391 Feb 1992 JP
4031396 Feb 1992 JP
04087323 Mar 1992 JP
4100292 Apr 1992 JP
4111418 Apr 1992 JP
4132214 May 1992 JP
4132681 May 1992 JP
4151822 May 1992 JP
4162418 Jun 1992 JP
4175299 Jun 1992 JP
4186824 Jul 1992 JP
4212411 Aug 1992 JP
4260696 Sep 1992 JP
4273120 Sep 1992 JP
4285167 Oct 1992 JP
4291916 Oct 1992 JP
4325500 Nov 1992 JP
4328874 Nov 1992 JP
5029228 Feb 1993 JP
5047665 Feb 1993 JP
5047668 Feb 1993 JP
5074717 Mar 1993 JP
5074724 Mar 1993 JP
5102189 Apr 1993 JP
5047666 Jun 1993 JP
5160152 Jun 1993 JP
5175143 Jul 1993 JP
5175145 Jul 1993 JP
5182906 Jul 1993 JP
5186295 Jul 1993 JP
5206036 Aug 1993 JP
5234899 Sep 1993 JP
5235047 Sep 1993 JP
5251339 Sep 1993 JP
5270997 Oct 1993 JP
5291152 Nov 1993 JP
5304334 Nov 1993 JP
5343327 Dec 1993 JP
5343685 Dec 1993 JP
6045606 Feb 1994 JP
6132236 May 1994 JP
6177381 Jun 1994 JP
6196809 Jul 1994 JP
6222388 Aug 1994 JP
6224138 Aug 1994 JP
6230421 Aug 1994 JP
06291048 Oct 1994 JP
7070752 Mar 1995 JP
7086269 Mar 1995 JP
8181076 Jul 1996 JP
8245291 Sep 1996 JP
08-283336 Oct 1996 JP
08264530 Oct 1996 JP
09260786 Oct 1997 JP
09293681 Nov 1997 JP
10188840 Jul 1998 JP
10190128 Jul 1998 JP
10-308283 Nov 1998 JP
11054458 Feb 1999 JP
11054459 Feb 1999 JP
11260824 Sep 1999 JP
11269652 Oct 1999 JP
2000-031387 Jan 2000 JP
2000-058777 Feb 2000 JP
2000068072 Mar 2000 JP
2000087029 Mar 2000 JP
2000138094 May 2000 JP
2000218445 Aug 2000 JP
2000319772 Nov 2000 JP
2000340883 Dec 2000 JP
2000353666 Dec 2000 JP
2001020075 Jan 2001 JP
2001020075 Jan 2001 JP
2001144089 May 2001 JP
2001-172767 Jun 2001 JP
2001152339 Jun 2001 JP
2001189312 Jul 2001 JP
2001-220294 Aug 2001 JP
2001217206 Aug 2001 JP
2001220287 Aug 2001 JP
2001220667 Aug 2001 JP
2001-254181 Sep 2001 JP
2001240972 Sep 2001 JP
2001284042 Oct 2001 JP
2001303251 Oct 2001 JP
2001328900 Nov 2001 JP
WO-9002216 Mar 1990 WO
WO-9010510 Sep 1990 WO
WO-9302111 Feb 1993 WO
WO 9617107 Jun 1996 WO
WO-9617107 Jun 1996 WO
WO-9618756 Jun 1996 WO
WO-9806889 Feb 1998 WO
WO-9851838 Nov 1998 WO
WO-9901595 Jan 1999 WO
WO-9913504 Mar 1999 WO
WO-9929924 Jun 1999 WO
WO-9941423 Aug 1999 WO
WO-9963593 Dec 1999 WO
WO-0011721 Mar 2000 WO
WO-0015865 Mar 2000 WO
WO-0015881 Mar 2000 WO
WO-0016377 Mar 2000 WO
WO-0054320 Sep 2000 WO
WO-0063957 Oct 2000 WO
WO-0079019 Dec 2000 WO
WO-0079576 Dec 2000 WO
WO-0115220 Mar 2001 WO
WO 0129891 Apr 2001 WO
WO-0127346 Apr 2001 WO
WO-0127347 Apr 2001 WO
WO-0129280 Apr 2001 WO
WO-0129891 Apr 2001 WO
WO-0129893 Apr 2001 WO
WO-0136702 May 2001 WO
WO-0140541 Jun 2001 WO
WO-0166832 Sep 2001 WO
Related Publications (1)
Number Date Country
20060030148 A1 Feb 2006 US
Continuations (2)
Number Date Country
Parent 11088072 Mar 2005 US
Child 11240189 US
Parent 09776329 Feb 2001 US
Child 11088072 US