Gap fill using carbon-based films

Information

  • Patent Grant
  • 11049716
  • Patent Number
    11,049,716
  • Date Filed
    Friday, November 16, 2018
    5 years ago
  • Date Issued
    Tuesday, June 29, 2021
    3 years ago
Abstract
Provided herein are methods of filling gaps using high density plasma chemical vapor deposition (HDP CVD). According to various implementations, carbon-containing films such as amorphous carbon and amorphous carbide films are deposited by HDP CVD into gaps on substrates to fill the gaps. The methods may involve using high hydrogen-content process gasses during HDP CVD deposition to provide bottom-up fill. Also provided are related apparatus.
Description
BACKGROUND

Semiconductor integration operations may involve filling high aspect ratio gaps with insulating material. This is the case for shallow trench isolation, inter-metal dielectric layers, passivation layers, and the like. As device geometries shrink and thermal budgets are reduced, void-free filling of high aspect ratio (AR) gaps becomes increasingly difficult due to limitations of existing deposition processes.


Most deposition methods deposit more material on the upper region than on the lower region of a gap sidewall and may form “top-hats” at the entry of the gap. As a result, the top part of a high aspect ratio structure sometimes closes prematurely leaving voids within the gap's lower portions. This problem is exacerbated in small gaps. Furthermore, as aspect ratios increase, the shape of the gap itself can contribute to the problem. High aspect ratio gaps often exhibit reentrant features, which make gap filling even more difficult. A reentrant feature is a feature that narrows from the bottom of the gap. One such problematic reentrant feature is a narrowing at the top of the gap, with the gap sidewalls sloping inward near the top of the gap. For a given aspect ratio feature, this increases the ratio of gap volume to gap access area seen by the reactor species during deposition. Voids and seams formation is more likely under these conditions. If the top of the gap prematurely closes off, the gap is re-opened before more film can be deposited in the gap.


SUMMARY

Provided herein are methods and apparatuses for gap fill with carbon-based films such as amorphous carbon and silicon carbides. In some embodiments, the methods involve introducing a process gas to a high density plasma chemical vapor deposition (HDP CVD) chamber that houses a substrate having a gap, where the process gas includes a hydrocarbon reactant and has a H:C ratio of at least 4:1, and filling the gap with a carbon-based film by an HDP CVD reaction of the process gas.


In some embodiments, the gap is filled in a single deposition operation without intervening etch operations. In some embodiments, the gap is filled using two or more deposition operations and one or more intervening etch operations. An intervening etch operation may be performed in the HDP CVD chamber or in a separate etch chamber. In some embodiments, an intervening etch operation is a hydrogen-based etch.


In some embodiments, the carbon-based film is an amorphous carbon film. In such cases, the process gas may include the hydrocarbon reactant and an optional carrier gas. The process gas may consist essentially of the hydrocarbon reactant and an optional carrier gas. The process gas may consist essentially of the hydrocarbon reactant, molecular hydrogen or other hydrogen source, and an optional carrier gas. One or more dopants may also be present in the process gas.


In some embodiments, the carbon-based film is an amorphous carbide film. Examples include oxygen doped SiC, also known as silicon oxycarbide (SiOC), nitrogen doped SiC, also known as silicon nitricarbide (SiNC), oxygen and nitrogen doped SiC, also known as silicon oxynitricarbide (SiONC), boron doped carbide (SiBC), and undoped silicon carbide (SiC). In some embodiments, the process gas includes a silicon-containing reactant. The silicon-containing reactant may have an H:Si ration of at least 4. In some cases, the process gas may include the hydrocarbon reactant, a silicon-containing reactant and an optional carrier gas. The process gas may consist essentially of the hydrocarbon reactant, the silicon-containing reactant and an optional carrier gas. The process gas may consist essentially of the hydrocarbon reactant, the silicon-containing reactant, molecular hydrogen or other hydrogen source, and an optional carrier gas. One or more dopants may also be present in the process gas.


In some embodiments, the hydrocarbon reactant has an H:C ratio of at least 3:1 or at least 4:1. Examples include methane (CH4). In some embodiments, the process gas includes molecular hydrogen (H2). The method may include generating hydrogen radicals. In some embodiments, filling the gap includes a hydrogen radical etch during the HDP CVD reaction. Hydrogen radicals may etch deposited carbon-based material at the top of the gap preferentially.


In some embodiments, an apparatus include a plasma generator, a chamber having a pedestal, one or more inlets to the chamber, and a controller including machine-readable instructions for inletting a process gas including a process gas comprising a hydrocarbon reactant, wherein the process gas has a H:C ratio of at least 4:1 and generating a high density plasma in the chamber to thereby fill a gap on a substrate in the chamber.


These and other aspects are described further below with reference to the drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 provides a process flow diagram illustrating operations in an example of a method of filling a gap according to various embodiments.



FIG. 2 depicts schematic cross-sectional diagrams of feature filled with SiC in a single deposition stage using acetylene as a carbon source (process gas He/SiH4/C2H2) as compared to single stage feature fill using methane as a carbon source (process gas He/SiH4/CH4).



FIG. 3 shows SEM images of 3:1 AR, 25 nm wide trenches filled with SiC using acetylene and methane, respectively, as a carbon source in HDP CVD processes similar to those depicted schematically in FIG. 2.



FIG. 4 shows a cross-sectional schematic diagram and counterpart SEM images of 3:1 AR, 25 nm features filled with amorphous carbon using a He/CH4 process gas in a HDP CVD process at various stages during the deposition.



FIG. 5 provides a process flow diagram illustrating operations in an example of a method of filling a gap according to various embodiments.



FIG. 6 provides a simple block diagram depicting various reactor components arranged as may be arranged in a reactor.



FIG. 7 is a block diagram of a system suitable for conducting a deposition process in accordance with disclosed embodiments.



FIG. 8 provides an example of operations including carbon-based gap fill in a self-aligned contact integration process.





DETAILED DESCRIPTION

Semiconductor integration operations may involve filling high aspect ratio gaps with various materials. This is the case for shallow trench isolation, inter-metal dielectric layers, passivation layers, and the like. As device geometries shrink and thermal budgets are reduced, void-free filling of high aspect ratio (AR) gaps becomes increasingly difficult due to limitations of existing deposition processes.


Provided herein are methods of filling gaps using high density plasma chemical vapor deposition (HDP CVD). According to various implementations, carbon-containing films such as amorphous carbon and amorphous carbide films are deposited by HDP CVD into gaps on substrates to fill the gaps. The methods may involve using high hydrogen-content process gasses during HDP CVD deposition to provide bottom-up fill. Also provided are related apparatus.


Most deposition methods deposit more material on the upper region than on the lower region of a gap sidewall and may form “top-hats” at the entry of the gap. As a result, the top part of a high aspect ratio structure sometimes closes prematurely leaving voids within the gap's lower portions. This problem is exacerbated in small gaps. Furthermore, as aspect ratios increase, the shape of the gap itself can contribute to the problem. High aspect ratio gaps often exhibit reentrant features, which make gap filling even more difficult. A reentrant feature is a feature that narrows from the bottom of the gap. One such problematic reentrant feature is a narrowing at the top of the gap, with the gap sidewalls sloping inward near the top of the gap. For a given aspect ratio feature, this increases the ratio of gap volume to gap access area seen by the precursor species during deposition. Voids and seams formation is more likely under these conditions. If the top of the gap prematurely closes off, the gap is re-opened before more film can be deposited in the gap.


HDP CVD is a directional CVD process that involves directing charged dielectric precursor species toward a substrate. Although HDP CVD is not purely an isotropic, diffusion-based process, some overhang or top-hat formation may still result at the entry region of the gap to be filled. This may result from the non-directional deposition reactions of neutral species in the plasma reactor and from sputtering and redeposition processes. The directional aspect of the deposition process produces some high momentum charged species that sputter away bottom fill. The sputtered material tends to redeposit on the sidewalls. Limitations due to overhang formation become ever more severe as the width of the gap to be filled decreases and the aspect ratio increases. According to various embodiments, the methods provided herein provide gap fill by suppressing re-entrant feature formation using a hydrogen-rich process gas.


In embodiments of the methods provided herein, a gap is filled with an amorphous carbon-containing material such as amorphous carbon (a-C) and amorphous carbides including amorphous silicon carbides (a-SiC). Classes of SiC include oxygen doped SiC, also known as silicon oxycarbide (SiOC), nitrogen doped SiC, also known as silicon nitricarbide (SiNC), oxygen and nitrogen doped SiC, also known as silicon oxynitricarbide (SiONC), boron doped carbide (SiBC), and undoped silicon carbide (SiC). For example, a trench on a topological substrate may be filled with an a-C film that acts as a sacrificial hardmask in a pattern transfer scheme. a-SiC and other amorphous carbide layers may be used, for example, as barrier layers in VLSI backend processes. In some integration schemes, void-free gap fill of SiC or other carbide films is useful. FIG. 8 provides an example of operations including carbon-based gap fill in a self-aligned contact integration process. A metal gate 801 and spacers 802 are depicted in FIG. 8. The metal gate 801 is recessed, forming a gap 804 between the spacers. A gate cap 803, which may be for example a SiC film, is deposited in the gap 804 prior to definition of the contact holes. The presence of the gate cap 803 relaxes the alignment precision for contact hole definition; in FIG. 8, contact hole 805 is within the increased tolerance provided by the gate cap 803.



FIG. 1 provides a process flow diagram illustrating operations in an example of a method of filling a gap according to various embodiments. The process 100 involves providing a substrate including a gap to a HDP CVD chamber. Block 101. HDP CVD chambers are discussed further below with respect to FIG. 6. The substrate may be a wafer suitable for semiconductor processing, such as a 200 mm, 300 mm or 450 mm silicon wafer. Wafers of different compositions and/or sizes may be used. Further, the methods are not limited to semiconductor substrates, but may be implemented with any appropriate substrate that includes a gap to be filled, including glass and plastic panels and the like.


A hydrogen (H)-rich process gas is introduced to the HDP CVD chamber. Block 103. According to various embodiments, block 103 can involve one or both of using H-rich reactants and introducing hydrogen (H2) to the chamber in addition to reactants. The term process gas is used to denote multiple component gases or a mixture thereof that is introduced to the chamber. In some embodiments, a process gas can include a liquid reactant entrained in a carrier gas or otherwise provided to the chamber. The process gas includes one or more carbon reactants to supply carbon to the carbon-based gap fill material, and as appropriate one or more co-reactants such as silicon-containing compounds, nitrogen-containing compounds, boron-containing compounds, and the like.


According to various embodiments, an H-rich process gas may be characterized by one or more of the following: an H:C ratio of at least 3:1, an H:C ratio of more than 3:1, or at H:C ratio of at least 4:1. In some embodiments, a carbon precursor having an H:C ratio of at least 3:1, an H:C ratio of more than 3:1, or at H:C ratio of at least 4:1 is used. Examples include ethane (C2H6) and methane (CH4). Carbon precursors that have lower H:C ratios may be used with the addition of H2 or hydrogen from another source. For example, a process gas may include acetylene (C2H2) and H2. A process gas may include an inert carrier gas, with examples including helium (He), argon (Ar), and the like.


As noted above, a process gas may include one or more additional reactants depending on the type of film being deposited. For silicon carbides including SiC, SiCN, SiBC, etc., a silicon-containing reactant such as one or more silanes may be used as a silicon source. Often, the silicon-containing reactant does not include carbon. Non-limiting examples of silanes include silane, disilane, trisilane, and higher silanes.


Other silicon-containing reactants may be used as appropriate, including siloxanes, alkyl silanes, alkoxy silanes, and amino silanes, among others. Non-limiting examples of alkyl silanes include dimethylsilane, trimethylsilane, tetramethylsilane, triethylsilane, and pentamethyldisilamethane. Silicon-carbon-containing films also including oxygen atoms (e.g., silicon-carbon-oxides and silicon-carbon-oxynitrides) may be formed using an organosilicon reactant that includes oxygen, such as siloxanes and alkoxy silanes. Non-limiting examples of siloxanes include cyclotetrasiloxanes (e.g., 2,4,6,8-tetramethylcyclotetrasiloxane; octamethylcyclotetrasiloxane; and heptamethylcyclotetrasiloxane); other cyclic siloxanes; siloxanes having three-dimensional or caged structures (i.e., where silicon atoms are bridged with one another via oxygen atoms, forming a three-dimensional structure or a polyhedron) such as silsequioxane; and linear siloxanes, such as disiloxanes (e.g., pentamethyldisiloxane, tetramethyldisiloxane, and hexamethyl trisiloxane). Non-limiting examples of alkoxy silanes include methoxysilane, dimethoxysilane, trimethoxysilane, methyldimethoxysilane, diethoxymethylsilane, dimethylethoxysilane, and dimethylmethoxysilane. Silicon-carbon-containing films also including nitrogen atoms (e.g., silicon-carbon-nitrides and silicon-carbon-oxynitrides) may be formed using an organosilicon reactant that includes nitrogen, such as amino silanes and silazanes. Non-limiting examples of amino silanes include 2,2-bis(dimethylamino)-4,4-dimethyl-2,4-disilapentane, 2,2,4-trimethyl-4-dimethylamino-3,4-disilapentane, dimethylaminodimethylsilane, bis(dimethylamino)methylsilane, and tris(dimethylamino)silane. 1,1,3,3-tetramethyldisilazane is a non-limiting example of a silazane.


According to various embodiments, a silicon-containing reactant and a hydrocarbon may be provided to the chamber in an approximately 1:1 ratio to fill the gap. This includes ratios between 1:1.5 and 1.5:1. In some cases, the ratio is between 1:1.25 and 1.25:1, or between 1:1.1 and 1.1:1. In some embodiments, the silicon-containing reactant is hydrogen rich, having a H:Si ratio of at least 3:1 or at least 4:1.


Non-limiting examples of hydrogen-rich process gases for depositing a-C films include He/CH4, He/C2H2/H2, He/CH4/H2, and He/C2H6/H2. Non-limiting examples of hydrogen-rich process gases for depositing a-SiC films include He/SiH4/CH4, He/SiH4/C2H2/H2, He/SiH4/CH4/H2, and He/SiH4/C2H6/H2. Any appropriate carrier gas may be used in addition to or instead of He in these examples. Similarly, any appropriate silicon-containing reactant may be used instead or in addition to SiH4 in these examples.


A carbon-based film is then deposited to fill the gap. Block 105. According to various embodiments, filling the gap may be performed in a single deposition or in multiple depositions separated by intervening an etch operation. An example of the latter technique is described below with respect to FIG. 5.


By using a hydrogen-rich carbon precursor in a HDP CVD deposition, bottom-up gap fill may be provided. This is schematically represented in FIG. 2, which depicts cross-sectional diagrams of a feature filled with SiC in a single deposition stage using acetylene as a carbon source (process gas He/SiH4/C2H2) as compared to single stage feature fill using methane as a carbon source (He/SiH4/CH4). Process 210 depicts trench 201 during SiC deposition from He/SiH4/C2H2. As the deposition proceeds, a cusp 204 forms. This leads to the closing off of the top 205 of the trench 201, which in turn results in a void 203. In comparison, process 220 using a He/SiH4/CH4 process gas results in bottom-up fill without void formation. As the deposition progresses, a cusp is suppressed at 206, allowing the trench to remain open and providing void-free fill. FIG. 3 shows SEM images of 3:1 AR, 25 nm wide trenches filled with SiC using acetylene (image 310) and methane (image 320), respectively, as carbon sources in HDP CVD processes similar to those depicted schematically in FIG. 2. Voids 303 can be seen in image 310. By contrast, the trenches filled using methane are void-free.


A similar effect is observed in depositing a-C films in trenches. FIG. 4 shows a cross-sectional schematic diagram and counterpart SEM images of 3:1 AR, 25 nm trenches 401 filled using a He/CH4 process gas in a HDP CVD process at various stages during the deposition. The deposition may be characterized as largely bottom-up, resulting in trenches filled with void-free a-C. By contrast, a He/C2H2 process gas results in void formation due to sidewall cusp development and premature gap closure (not shown).


Without being bound by a particular theory, it is believed that cusp suppression is due to etch by H species such as hydrogen radicals (i.e., atomic H) at the top of the gap during deposition. Neutral and low mass species in the plasma preferentially etch at the top of the gap, allowing fill in the bottom part of the feature and etch at the top. This effect can counteract the above-described factors that lead to cusp deposition.


Gap fill of carbon-based films using non-hydrogen enriched process gases, other hydrocarbon precursors, or other techniques such as plasma-enhanced chemical vapor deposition (PECVD) does not exhibit the same cusp suppression that is exhibited by the hydrogen-enriched HDP CVD processes. As such, they cannot be used for high quality, void free, single stage gap fill.


In some embodiments, the methods may include one or more deposition-etch-deposition cycles. Such a process may be used, for example, to fill particularly challenging structures. FIG. 5 provides a process flow diagram illustrating operations in an example of a method of filling a gap according to various embodiments.


As shown, a deposition process 500 begins at block 101 in which a substrate containing a gap is provided to a HDP CVD reaction chamber. This operation may be performed as described above with respect to FIG. 1. A H-rich process gas is then introduced to the HDP CVD chamber. Block 103. This may also be performed as described above with respect to FIG. 1.


A carbon-based film is then deposited to partially fill the gap. Block 505. Deposition is stopped prior to closure of the gap. In some embodiments, the deposited film may exhibit nascent cusp formation, a re-entrant profile, or otherwise present a challenging-to-fill structure. While any cusp formation will be less than in the absence of a hydrogen-rich process gas, it may be useful to halt the deposition and employ a dedicated etch operation to appropriately tailor the profile of the film partially filling the gap.


At 507, reactant flow is turned off and the carbon-based film is etched. Because the reactant flow is turned off, the deposition is halted. In some embodiments, hydrogen radicals or other hydrogen species are the primary etchant. In some such embodiments, transitioning from block 505 to block 507 may involve turning off one or more reactant flows while allowing H2 to continue to flow. While block 507 may occur in the HDP CVD reactor in some embodiments, the substrate may also be transferred to an etch chamber to be etched. Any appropriate etchant may be used, including a fluorine-based etch, etc. One or more additional etchant gases may be added to hydrogen in hydrogen-based etches. Alternatively, hydrogen species may be the sole etchants, with no halogen etchants.


Block 507 may involve preferentially etching material at the top of the gap to appropriately shape the profile of the material in the gap. This may be referred to as a non-conformal etch or low-step coverage etch. Step coverage of the carbon-based film may be proportional to (etchant concentration)/etch rate. For example, for hydrogen radical etching, at higher temperatures, hydrogen radicals readily react and etch at the feature entrance, resulting in a more non-conformal etch; at lower temperature, the hydrogen radicals may be able to diffuse and etch further into the feature, resulting in a more conformal etch. Higher etchant flow rate will result in more etchant species generated, causing more species to diffuse and etch further into the feature, resulting in a more conformal etch. Lower etchant flow rate will result in fewer etchant species generated, which will tend to react and etch at the feature entrance, resulting in a more non-conformal etch.


At block 509, carbon-based film is deposited in the gap, this time on the etched carbon-based film that partially fills the gap. Block 509 typically involves HDP CVD deposition using a hydrogen-rich process gas as described above. In some embodiments, the gap may be filled after one dep-etch-dep sequence. Alternatively, blocks 507 and 509 can be repeated one or more times to fill the gap. Block 511.


In alternative embodiments, carbon-based gap fill may be performed by using a nitrogen-rich process gas. For example, a hydrogen-rich or carbon-rich hydrocarbon precursor as described above may be mixed with nitrogen (N2).


Apparatus


The present invention may be implemented in a HDP CVD reactor. Such a reactor may take many different forms. Generally, the apparatus will include one or more chambers or “reactors” (sometimes including multiple stations) that house one or more wafers and are suitable for wafer processing. Each chamber may house one or more wafers for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g. rotation, vibration, or other agitation). While in process, each wafer is held in place by a pedestal, wafer chuck and/or other wafer holding apparatus. For certain operations in which the wafer is to be heated, the apparatus may include a heater such as a heating plate. An examples of a suitable reactor is the Speed™ reactor, available from Lam Research of Fremont, Calif.



FIG. 6 provides a simple block diagram depicting various reactor components arranged as may be arranged in a reactor. As shown, a reactor 601 includes a process chamber 603 which encloses other components of the reactor and serves to contain the plasma. In one example, the process chamber walls are made from aluminum, aluminum oxide, and/or other suitable material. The embodiment shown in FIG. 6 has two plasma sources: top RF coil 605 and side RF coil 607. Top RF coil 605 is a medium frequency (MFRF) coil and side RF coil 607 is a low frequency (LFRF) coil. In the embodiment shown in FIG. 6, MFRF frequency may be from 430-470 kHz and LFRF frequency from 340-370 kHz. However, the methods and apparatus are not limited to operation in reaction chambers with dual sources, these frequencies, or RF plasma sources. Any suitable plasma source or sources may be used.


Within the reactor, a wafer pedestal 609 supports a substrate 611. The pedestal typically includes a chuck (sometimes referred to as a clamp) to hold the substrate in place during the deposition reaction. The chuck may be an electrostatic chuck, a mechanical chuck or various other types of chuck as are available for use. A heat transfer subsystem including a line 613 for supplying heat transfer fluid controls the temperature of substrate 611. The wafer chuck and heat transfer fluid system can facilitate maintaining the appropriate wafer temperatures.


A high frequency RF of HFRF source 615 serves to electrically bias substrate 611 and draw charged reactant species onto the substrate for the deposition reaction. Electrical energy from source 615 is coupled to substrate 611 via an electrode or capacitive coupling, for example. Note that the bias applied to the substrate need not be an RF bias. Other frequencies and DC bias may be used as well.


The hydrogen-rich process gas is introduced via one or more inlets 617. The component gases of the process gas may be premixed or not. In some embodiments, the process gas is introduced through a gas supply inlet mechanism including orifices. In some embodiments, at least some of the orifices orient the process gas along an axis of injection intersecting an exposed surface of the substrate at an acute angle. Further, the gas or gas mixtures may be introduced from a primary gas ring 621, which may or may not direct the gases toward the pedestal. In some embodiments, gases may be introduced from one or more gas rings (not shown) in addition to the primary gas ring 621. Injectors may be connected to the primary gas ring 621 to direct at least some of the gases or gas mixtures into the chamber and toward the pedestal. Note that injectors, gas rings or other mechanisms for directing process gas toward the wafer may not be used in some embodiments; any appropriate process gas delivery system may be employed. The sonic front caused by a process gas entering the chamber will itself cause the gas to rapidly disperse in all directions—including toward the substrate. Process gases exit chamber 603 via an outlet 622. A vacuum pump (e.g., a turbomolecular pump) typically draws process gases out and maintains a suitably low pressure within the reactor. The reactor 601 may be controlled using a controller 690. The controller 690 may include machine-readable instructions for performing various operations disclosed herein. Further description regarding the controller 690 is provided below.


In some embodiments, an HDP CVD reactor, such as the reactor 601 shown in FIG. 6 is part of a tool for processing one or more wafers. An example of a tool including one or more reactors is provided in FIG. 7. FIG. 7 is a block diagram of a system suitable for conducting a deposition process in accordance with disclosed embodiments. The system 700 includes a transfer module 703, such as the wafer transfer system (WTS) used on the SPEED™ platform available from Lam Research Corporation of Fremont, Calif. The transfer module 703 provides a clean, pressurized environment to minimize the risk of contamination of workpieces, such as wafers, being processed as they are moved between the various processing stages. Mounted on the transfer module 703 are one or more HDP CVD modules or process chambers 705, such as Lam SPEED™ reactors, available from Lam Research Corporation of Fremont, Calif. Also mounted on the transfer module 703 are one or more etch chambers 707. Examples of etch chambers include a Lam atomic layer removal (ALR) reactor or Kiyo™ reactor. These etch chambers may be mounted on the same or separate platforms as the one or more deposition reactors.


The system 700 also includes one or more (in this case two) wafer source modules 701 where wafers are stored before and after processing. A device (generally a robot arm unit) in the transfer module 703 moves the wafers among the modules mounted on the transfer module 703.


Wafers are transferred by the robot arm between the HDP CVD reactor 705 and/or the etch chamber 707 for deposition and etch back processing, respectively. In one embodiment, a single etch reactor can support two SPEED deposition modules 705 with a high throughput of about 15-16 wafers per hour (wph). In other embodiments, two etch reactors 707 may support one or more SPEED deposition modules 705.


Disclosed embodiments may also be practiced without a plasma etch chamber. For example, a single chamber may be configured for both HDP CVD deposition and etch. For example, the Lam SPEED HDP-CVD reactors are capable of deposition and etch with a throughput similar to that of using separate reactors. Given the details and parameters provided herein, a single chamber may be configured, for example, a plasma reactor, with equipment, for example the various plasma sources described herein, for deposition (HDP CVD) and a reactive plasma etch (e.g., in-situ or downstream plasma source).



FIG. 7 also depicts an embodiment of a system controller 750 employed to control process conditions and hardware states of process tool 700. The system controller 750 may provide program instructions for implementing the above-described processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to perform deposition operations according to various embodiments described herein.


In some implementations, a controller 750 is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller 750, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the controller 750 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


In some embodiments, the system controller 750 controls all of the activities of process tool 700. The system controller 750 may include one or more memory devices 756, one or more mass storage devices 754, and one or more processors 752. A processor 752 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. The system controller 750 executes system control software 758 stored in a mass storage device 754, loaded into memory device 756, and executed on processor 752. Alternatively, the control logic may be hard coded in the controller 750. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 758 may include instructions for controlling the transfer of wafers into and out of a process chamber, timing of gases, mixture of gases, amount of gas flow, chamber and/or station pressure, chamber and/or reactor temperature, wafer temperature, bias power, target power levels, RF power levels, pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 700. The system control software 758 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software 758 may be coded in any suitable computer readable programming language.


The controller 750, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller 750 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller 750 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller 750 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


In some embodiments, system control software 758 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 754 and/or memory device 756 associated with system controller 750 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a wafer positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.


A wafer positioning program may include program code for process tool components that are used to load a wafer onto pedestal 718. A process gas control program may include code for controlling gas composition (e.g., process gases, helium gas or carrier gases, etc., as described herein) and flow rates and optionally for flowing gas into one or more process chambers or stations prior to deposition in order to stabilize the pressure therein. A pressure control program may include code for controlling the pressure in the process chamber by regulating, for example, a throttle valve in the exhaust system of the process chamber, a gas flow into the process chamber, etc.


A heater control program may include code for controlling the current to a heating unit that is used to heat the wafer or other workpiece. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the wafer. A plasma control program may include code for setting RF power levels applied to the process electrodes and the bias in one or more process chambers or stations in accordance with the embodiments herein. A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.


In some embodiments, there may be a user interface associated with system controller 750. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


In some embodiments, parameters adjusted by system controller 750 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, temperature, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 750 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 700. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


Process Parameters


As noted above, gap fill is performed by HDP CVD. HDP CVD as used herein is distinct from plasma-enhanced chemical vapor deposition techniques, also known as PECVD. HDP CVD reactors typically employ inductively-coupled plasmas, while PECVD reactors typically employ capacitively-coupled plasmas. HDP CVD process conditions and resulting films are different PECVD processes. For example, various HDP reactors as described herein operate at a pressure less than about 100 mTorr with a plasma density greater than 1017 ions/m3, e.g., 1017 ions/m3 to 1019 ions/m3. By contrast, PECVD processes operate at much higher pressures with much lower plasma densities, e.g., 1014 ions/m3 to 1016 ions/m3.


HDP reactors may ignite plasma at a plasma frequency of 400 kHz for coils and a frequency of 13.56 MHz for the pedestal where the wafer is placed. By contrast, an a capacitively-coupled plasma reactor, a plasma frequency of 13.56 MHz is used to generate plasma as applied to either a showerhead or the pedestal, and 400 kHz is applied to either the showerhead or the pedestal. Ion energies in HDP reactors may be greater than in PECVD reactors. As a result, film composition and characteristics of films deposited in HDP CVD reactors are different than those deposited in PECVD reactors. For carbon-based gap fill, the lower plasma densities in PECVD typically cannot generate hydrogen radicals in an amount effective to suppress cusp formation even using hydrogen-rich process gases.


The plasma source power is high enough to sustain a plasma and low enough so that the effect of the H+ ions does not overwhelm that of the hydrogen radicals. Note that the RF power will depend on the substrate size (e.g., 200 mm, 300 mm, or 450 mm diameter wafer) and the requirements of the specific process being used. An example range is between about 3000 W and 6000 W for a 300 mm wafer, with plasma power scaling with substrate surface area.


Substrate temperature and chamber pressure during may be generally with the ranges commonly used during HDP CVD processes. Temperature values may range from about 200° C. and 1000° C., with typical ranges between about 300° C. and 550° C., e.g., 400° C. Pressure is typically maintained at a value below 500 mTorr, and can be significantly lower, e.g., below 100 mTorr or 10 mTorr. In one example, pressure is 6 mTorr.


While the methods may be practiced on any substrate in which it is desirable to fill a gap with carbon-based material, they are particularly applicable to filling gaps having one or more of high aspect ratios and narrow widths. Example aspect ratios may range from 3:1 to 30:1, or 3:1 to 10:1. Example trench widths may range from 10 nm to 100 nm, for example 50 nm or less, or 25 nm or less.


A HFRF power source or other source may be used to bias the substrate. Substrates are typically biased during deposition operations to direct charged species downward, to the bottom of the gap. As discussed above, it is believed that the hydrogen-rich process gas improves gap fill by a chemical etch at the top of the gap. During a dedicated etch process such as block 507, the substrate may or may not be biased. Example HF bias powers during HDP CVD are between 0 to 9500 W about for a 300 mm system, with bias power scaling with substrate surface area.


Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A method comprising: introducing a process gas to a high density plasma chemical vapor deposition (HDP CVD) chamber that houses a substrate having a gap, wherein the process gas includes a hydrocarbon reactant and has a H:C ratio of at least 4:1; andfilling the gap with an amorphous carbon (a-C) film by an HDP CVD reaction of the process gas.
  • 2. The method of claim 1, wherein the gap is filled in a single deposition operation with no intervening etch operations.
  • 3. The method of claim 1, wherein the hydrocarbon reactant has a H:C ratio of at least 3:1.
  • 4. The method of claim 1, wherein the hydrocarbon reactant has a H:C ratio of at least 4:1.
  • 5. The method of claim 1, wherein the process gas includes molecular hydrogen (H2).
  • 6. The method of claim 1, further comprising generating a plasma including hydrogen radicals.
  • 7. The method of claim 1, wherein the filling the gap comprises a hydrogen radical etch at a top of the gap during the HDP CVD reaction.
  • 8. The method of claim 1, wherein filling the gap with an amorphous carbon film comprises two or more deposition stages and one or more intervening etch operations.
  • 9. The method of claim 8, wherein the one or more intervening etch operations are hydrogen-based etches.
  • 10. The method of claim 9, wherein transitioning from a deposition stage to an intervening etch operation comprises stopping flow of the hydrocarbon reactant to the HDP CVD chamber while maintaining flow of hydrogen (H2) to the HDP CVD chamber.
  • 11. The method of claim 1, wherein the hydrocarbon reactant is selected from ethane and methane.
  • 12. The method of claim 1, wherein the hydrocarbon reactant is acetylene.
  • 13. The method of claim 1, wherein neutral species preferentially etch material deposited at a top of the gap with respect to material deposited at a bottom of the gap during gap fill.
CROSS-REFERENCE TO RELATED APPLICATION

This application is a continuation of and claims priority to U.S. application Ser. No. 14/692,627, titled “GAP FILL USING CARBON-BASED FILMS,” filed Apr. 21, 2015, all of which is incorporated herein by this reference and for all purposes.

US Referenced Citations (312)
Number Name Date Kind
4177474 Ovshinsky Dec 1979 A
4532150 Endo et al. Jul 1985 A
4656110 Yamazaki Apr 1987 A
4783368 Yamamoto et al. Nov 1988 A
4895789 Motte et al. Jan 1990 A
4952658 Kalchauer et al. Aug 1990 A
5034355 Tani et al. Jul 1991 A
5108965 Tani et al. Apr 1992 A
5122431 Kodama et al. Jun 1992 A
5324690 Gelatos et al. Jun 1994 A
5447816 Kodama et al. Sep 1995 A
5464699 Baldi Nov 1995 A
5518572 Kinoshita et al. May 1996 A
5567243 Foster et al. Oct 1996 A
5654208 Harris et al. Aug 1997 A
5739579 Chiang et al. Apr 1998 A
5807615 Sindzingre et al. Sep 1998 A
5958324 Bujalski et al. Sep 1999 A
5981398 Tsai et al. Nov 1999 A
6030881 Papasouliotis et al. Feb 2000 A
6045877 Gleason et al. Apr 2000 A
6100587 Merchant et al. Aug 2000 A
6150719 Saia et al. Nov 2000 A
6159871 Loboda et al. Dec 2000 A
6197688 Simpson Mar 2001 B1
6215087 Akahori et al. Apr 2001 B1
6242686 Kishimoto et al. Jun 2001 B1
6262445 Swanson et al. Jul 2001 B1
6316167 Angelopoulos et al. Nov 2001 B1
6352945 Matsuki Mar 2002 B1
6365527 Yang et al. Apr 2002 B1
6383299 Yuda et al. May 2002 B1
6383898 Kishimoto May 2002 B1
6383955 Matsuki et al. May 2002 B1
6395150 Van Cleemput et al. May 2002 B1
6399484 Yamasaki et al. Jun 2002 B1
6410419 Merchant et al. Jun 2002 B1
6417092 Jain et al. Jul 2002 B1
6424044 Han et al. Jul 2002 B1
6432846 Matsuki Aug 2002 B1
6448186 Olson Sep 2002 B1
6448666 Kudo et al. Sep 2002 B1
6455409 Subramanian et al. Sep 2002 B1
6465366 Nemani et al. Oct 2002 B1
6465372 Xia et al. Oct 2002 B1
6506692 Andideh Jan 2003 B2
6576345 Van Cleemput et al. Jun 2003 B1
6624064 Sahin Sep 2003 B1
6670715 Yang et al. Dec 2003 B2
6713390 M'Saad Mar 2004 B2
6764958 Nemani et al. Jul 2004 B1
6767836 San et al. Jul 2004 B2
6774489 Russell et al. Aug 2004 B2
6790767 Lee Sep 2004 B2
6790788 Li et al. Sep 2004 B2
6846391 Papasouliotis et al. Jan 2005 B1
6849561 Goundar Feb 2005 B1
6851384 Yuda et al. Feb 2005 B2
6855645 Tang et al. Feb 2005 B2
6875687 Weidman et al. Apr 2005 B1
6881683 Matsuki et al. Apr 2005 B2
6890850 Lee et al. May 2005 B2
6919270 Satoh et al. Jul 2005 B2
6930013 Choi et al. Aug 2005 B2
6935553 Suga et al. Aug 2005 B2
6949450 Chiang et al. Sep 2005 B2
6967405 Yu et al. Nov 2005 B1
6991959 Goundar et al. Jan 2006 B2
7064088 Hyodo et al. Jun 2006 B2
7091137 Lee et al. Aug 2006 B2
7115534 Nguyen et al. Oct 2006 B2
7163889 Yu et al. Jan 2007 B2
7163896 Zhu et al. Jan 2007 B1
7200460 Campana et al. Apr 2007 B2
7239017 Yu et al. Jul 2007 B1
7253125 Bandyopadhyay et al. Aug 2007 B1
7256139 Moghadam Aug 2007 B2
7282438 Yu et al. Oct 2007 B1
7381662 Niu et al. Jun 2008 B1
7420275 Yu et al. Sep 2008 B1
7468290 Lukas et al. Dec 2008 B2
7524735 Gauri et al. Apr 2009 B1
7573061 Yu et al. Aug 2009 B1
7622400 Fox et al. Nov 2009 B1
7662355 Kamisako et al. Feb 2010 B2
7709063 Yuda et al. May 2010 B2
7736728 Loboda et al. Jun 2010 B2
7842604 Yu et al. Nov 2010 B1
7915166 Yu et al. Mar 2011 B1
7923385 Wu et al. Apr 2011 B2
7968436 Yu et al. Jun 2011 B1
8021992 Liou et al. Sep 2011 B2
8053372 Greer et al. Nov 2011 B1
8084339 Antonelli et al. Dec 2011 B2
8110493 Subramonium et al. Feb 2012 B1
8124522 Wu et al. Feb 2012 B1
8168268 Ovshinsky May 2012 B2
8173537 Chattopadhyay et al. May 2012 B1
8178168 O'Neill et al. May 2012 B2
8178443 Rangarajan et al. May 2012 B2
8217513 Antonelli et al. Jul 2012 B2
8227352 Yu et al. Jul 2012 B2
8247332 Rangarajan et al. Aug 2012 B2
8669181 Yu et al. Mar 2014 B1
8846525 Rangarajan et al. Sep 2014 B2
8864935 Fair et al. Oct 2014 B2
8916022 Caron Dec 2014 B1
8927442 Angyal et al. Jan 2015 B1
9023731 Ji et al. May 2015 B2
9234276 Varadarajan Jan 2016 B2
9337068 Antonelli et al. May 2016 B2
9362133 Shamma et al. Jun 2016 B2
9371579 Varadarajan et al. Jun 2016 B2
9391086 Soda et al. Jul 2016 B1
9591738 Qiu et al. Mar 2017 B2
9837270 Varadarajan et al. Dec 2017 B1
10002787 Yu et al. Jun 2018 B2
10192759 Shamma et al. Jan 2019 B2
10211310 Varadarajan Feb 2019 B2
10297442 Varadarajan et al. May 2019 B2
10325773 Varadarajan et al. Jun 2019 B2
10472714 Varadarajan Nov 2019 B2
10580690 Yu et al. Mar 2020 B2
20010021491 Chen et al. Sep 2001 A1
20020000202 Yuda et al. Jan 2002 A1
20020012876 Angelopoulos et al. Jan 2002 A1
20020016085 Huang et al. Feb 2002 A1
20020019148 Hawryluk et al. Feb 2002 A1
20020024117 Russell et al. Feb 2002 A1
20020039625 Powell et al. Apr 2002 A1
20020106891 Kim et al. Aug 2002 A1
20020132101 Fonash Sep 2002 A1
20030001275 Sambucetti et al. Jan 2003 A1
20030008528 Xia et al. Jan 2003 A1
20030036215 Reid Feb 2003 A1
20030049460 O'Neill et al. Mar 2003 A1
20030057553 DelaRosa et al. Mar 2003 A1
20030064154 Laxman et al. Apr 2003 A1
20030068881 Xia et al. Apr 2003 A1
20030082296 Elers et al. May 2003 A1
20030089992 Rathi et al. May 2003 A1
20030139035 Yim et al. Jul 2003 A1
20030154141 Capazario et al. Aug 2003 A1
20030162033 Johnson et al. Aug 2003 A1
20030176030 Tsuji et al. Sep 2003 A1
20030194496 Xu et al. Oct 2003 A1
20030232150 Arnold et al. Dec 2003 A1
20030232504 Eppler Dec 2003 A1
20030232514 Kim et al. Dec 2003 A1
20040025791 Chen et al. Feb 2004 A1
20040067308 Zheng et al. Apr 2004 A1
20040084680 Ruelke et al. May 2004 A1
20040084774 Li et al. May 2004 A1
20040089924 Yuasa et al. May 2004 A1
20040113279 Chen et al. Jun 2004 A1
20040115876 Goundar et al. Jun 2004 A1
20040115954 Todd Jun 2004 A1
20040121085 Wang et al. Jun 2004 A1
20040124531 Venkatraman et al. Jul 2004 A1
20040161535 Goundar et al. Aug 2004 A1
20040178169 Desphande et al. Sep 2004 A1
20040194706 Wang et al. Oct 2004 A1
20040207084 Hedrick et al. Oct 2004 A1
20040232552 Wang et al. Nov 2004 A1
20040253777 Miyoshi et al. Dec 2004 A1
20050014361 Nguyen et al. Jan 2005 A1
20050042889 Lee et al. Feb 2005 A1
20050100682 Fukiage et al. May 2005 A1
20050202685 Huang et al. Sep 2005 A1
20050230831 Clevenger et al. Oct 2005 A1
20050233555 Rajagopalan et al. Oct 2005 A1
20050236711 Wang et al. Oct 2005 A1
20050245071 Wu et al. Nov 2005 A1
20050255714 Iyer et al. Nov 2005 A1
20050277302 Nguyen et al. Dec 2005 A1
20050287790 Owada et al. Dec 2005 A1
20050287811 Inukai Dec 2005 A1
20060014384 Lee et al. Jan 2006 A1
20060014399 Joe Jan 2006 A1
20060019486 Yu et al. Jan 2006 A1
20060040507 Mak et al. Feb 2006 A1
20060046520 Padhi et al. Mar 2006 A1
20060091559 Nguyen et al. May 2006 A1
20060110931 Fukazawa et al. May 2006 A1
20060160376 Padhi et al. Jul 2006 A1
20060216916 Tsai et al. Sep 2006 A1
20060252273 Lakshmanan et al. Nov 2006 A1
20060273319 Dairiki et al. Dec 2006 A1
20070004204 Fukazawa et al. Jan 2007 A1
20070032054 Ramaswamy et al. Feb 2007 A1
20070032095 Ramaswamy et al. Feb 2007 A1
20070141812 Zagwijn et al. Jun 2007 A1
20070166546 Ichikawa et al. Jul 2007 A1
20070173071 Afzali-Ardakani et al. Jul 2007 A1
20070190266 Fu Aug 2007 A1
20070225823 Hawkins et al. Sep 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070264839 Van Gompel et al. Nov 2007 A1
20070281496 Ingle et al. Dec 2007 A1
20070281497 Liu et al. Dec 2007 A1
20080050933 Ozaki et al. Feb 2008 A1
20080057666 Kim Mar 2008 A1
20080063809 Lee et al. Mar 2008 A1
20080064173 Hung Mar 2008 A1
20080070396 Budrevich et al. Mar 2008 A1
20080099754 Enicks May 2008 A1
20080122103 Bonilla et al. May 2008 A1
20080128907 Yang et al. Jun 2008 A1
20080156264 Fair et al. Jul 2008 A1
20080173984 Lin et al. Jul 2008 A1
20080178805 Paterson et al. Jul 2008 A1
20080217746 Morita et al. Sep 2008 A1
20080233366 Edelstein et al. Sep 2008 A1
20080254641 Kobayashi et al. Oct 2008 A1
20080277765 Lane et al. Nov 2008 A1
20090017227 Fu et al. Jan 2009 A1
20090017640 Huh et al. Jan 2009 A1
20090042402 Morioka Feb 2009 A1
20090082240 Nukui et al. Mar 2009 A1
20090183984 Sakuma et al. Jul 2009 A1
20090215282 Moore et al. Aug 2009 A1
20090218699 Torres et al. Sep 2009 A1
20090258487 Lin et al. Oct 2009 A1
20090264277 Raj et al. Oct 2009 A1
20090294925 Lin et al. Dec 2009 A1
20090325364 Sasagawa et al. Dec 2009 A1
20100075077 Bicker et al. Mar 2010 A1
20100081293 Mallick et al. Apr 2010 A1
20100144150 Sills et al. Jun 2010 A1
20100181654 Fujiwara et al. Jul 2010 A1
20100207274 Hayashi et al. Aug 2010 A1
20100255667 Seino et al. Oct 2010 A1
20100308463 Yu et al. Dec 2010 A1
20100311251 Okada et al. Dec 2010 A1
20100317198 Antonelli et al. Dec 2010 A1
20110027957 Berry Feb 2011 A1
20110045676 Park et al. Feb 2011 A1
20110074044 Lin et al. Mar 2011 A1
20110109148 Monyak et al. May 2011 A1
20110111533 Varadarajan et al. May 2011 A1
20110111590 Edelstein et al. May 2011 A1
20110114994 Mandlik et al. May 2011 A1
20110117678 Varadarajan et al. May 2011 A1
20110135557 Rangarajan et al. Jun 2011 A1
20110146568 Haukka et al. Jun 2011 A1
20110151678 Ashtiani et al. Jun 2011 A1
20110180866 Matsuda et al. Jul 2011 A1
20110193230 Nogami et al. Aug 2011 A1
20110204492 Xie et al. Aug 2011 A1
20110236594 Haverkamp et al. Sep 2011 A1
20110244142 Cheng et al. Oct 2011 A1
20120009802 LaVoie et al. Jan 2012 A1
20120077349 Li et al. Mar 2012 A1
20120122302 Weidman et al. May 2012 A1
20120142172 Fox et al. Jun 2012 A1
20120202301 Yaegashi Aug 2012 A1
20120241089 Dielmann et al. Sep 2012 A1
20120329268 Soda et al. Dec 2012 A1
20120329287 Gates et al. Dec 2012 A1
20130008378 Antonelli et al. Jan 2013 A1
20130043514 Grill et al. Feb 2013 A1
20130056818 Iino et al. Mar 2013 A1
20130075455 Shimizu Mar 2013 A1
20130084711 Liang et al. Apr 2013 A1
20130119406 Notsu May 2013 A1
20130122686 Chang et al. May 2013 A1
20130129940 Xiao et al. May 2013 A1
20130157466 Fox et al. Jun 2013 A1
20130242493 Shenoy et al. Sep 2013 A1
20130298942 Ren et al. Nov 2013 A1
20130319290 Xiao et al. Dec 2013 A1
20130330932 Rangarajan et al. Dec 2013 A1
20130330935 Varadarajan Dec 2013 A1
20140054534 Pellizzer et al. Feb 2014 A1
20140094035 Ji et al. Apr 2014 A1
20140132466 Inoue et al. May 2014 A1
20140170853 Shamma et al. Jun 2014 A1
20140175617 Antonelli et al. Jun 2014 A1
20140191365 Barabash et al. Jul 2014 A1
20140197470 Lee et al. Jul 2014 A1
20140264780 Yim et al. Sep 2014 A1
20140264925 Chen Sep 2014 A1
20140302690 Underwood et al. Oct 2014 A1
20140356549 Varadarajan Dec 2014 A1
20150016085 Natsumeda et al. Jan 2015 A1
20150118394 Varadarajan et al. Apr 2015 A1
20150179466 Takayama et al. Jun 2015 A1
20150214015 Kikuchi et al. Jul 2015 A1
20150303056 Varadarajan et al. Oct 2015 A1
20160020089 Thadani et al. Jan 2016 A1
20160090649 Varadarajan Mar 2016 A1
20160254171 Shamma et al. Sep 2016 A1
20160268286 Tamura Sep 2016 A1
20160276140 Varadarajan et al. Sep 2016 A1
20160284615 Kitajima et al. Sep 2016 A1
20160314964 Tang et al. Oct 2016 A1
20160358804 Kulshreshtha et al. Dec 2016 A1
20170140924 Suzuki et al. May 2017 A1
20170365462 Varadarajan et al. Dec 2017 A1
20180033614 Chandra et al. Feb 2018 A1
20180096842 Varadarajan Apr 2018 A1
20180144977 Yu et al. May 2018 A1
20180202042 Fafard et al. Jul 2018 A1
20180240664 Varadarajan et al. Aug 2018 A9
20180330939 Pore Nov 2018 A1
20180330945 Varadarajan et al. Nov 2018 A1
20180330985 Yu et al. Nov 2018 A1
20180347035 Weimer et al. Dec 2018 A1
20190181004 Tang et al. Jun 2019 A1
20190259604 Varadarajan et al. Aug 2019 A1
20190382885 Varadarajan et al. Dec 2019 A1
20200027725 Weimer et al. Jan 2020 A1
Foreign Referenced Citations (51)
Number Date Country
868641 Apr 1971 CA
1714168 Dec 2005 CN
1735945 Feb 2006 CN
101017834 Aug 2007 CN
101017834 Aug 2007 CN
101065834 Oct 2007 CN
101111930 Jan 2008 CN
101536191 Sep 2009 CN
102171796 Aug 2011 CN
102187450 Sep 2011 CN
102468434 May 2012 CN
102652353 Aug 2012 CN
102892922 Jan 2013 CN
1 172 845 Jan 2002 EP
1 186 685 Mar 2002 EP
10-092742 Apr 1998 JP
2001-160547 Jun 2001 JP
2002-176100 Jun 2002 JP
2002-217189 Aug 2002 JP
2004-247725 Sep 2004 JP
2004-320005 Nov 2004 JP
2005-051096 Feb 2005 JP
2007-158000 Jun 2007 JP
2008-529296 Jul 2008 JP
2006-0029762 Apr 2006 KR
10-2006-0134964 Dec 2006 KR
2007-0102960 Oct 2007 KR
10-2009-0121361 Nov 2009 KR
2010-0028050 Mar 2010 KR
2011-0063386 Jun 2011 KR
2011-0074904 Jul 2011 KR
2011-0099286 Sep 2011 KR
10-1334640 Nov 2013 KR
261689 Nov 1995 TW
476807 Feb 2002 TW
535253 Jun 2003 TW
200405437 Apr 2004 TW
200600984 Jan 2006 TW
200803988 Jan 2008 TW
200839884 Oct 2008 TW
200903635 Jan 2009 TW
200908138 Feb 2009 TW
201123294 Jul 2011 TW
201124553 Jul 2011 TW
201214512 Apr 2012 TW
201214563 Apr 2012 TW
201240012 Oct 2012 TW
201405659 Feb 2014 TW
WO 2007116492 Oct 2007 WO
WO 2010020578 Feb 2010 WO
WO 2011109148 Sep 2011 WO
Non-Patent Literature Citations (174)
Entry
U.S. Office Action dated Dec. 19, 2014 issued in U.S. Appl. No. 13/907,699.
U.S. Final Office Action dated May 14, 2015 issued in U.S. Appl. No. 13/907,699.
U.S. Advisory Action and Examiner Initiated Interview Summary dated Aug. 12, 2015 issued in U.S. Appl. No. 13/907,699.
U.S. Notice of Allowance dated Sep. 10, 2015 issued in U.S. Appl. No. 13/907,699.
U.S. Office Action dated Feb. 5, 2018 issued in U.S. Appl. No. 14/961,637.
U.S. Final Office Action dated Aug. 28, 2018 issued in U.S. Appl. No. 14/961,637.
U.S. Office Action dated Jan. 29, 2019 issued in U.S. Appl. No. 14/961,637.
U.S. Office Action dated Sep. 15, 2015 issued in U.S. Appl. No. 14/062,648.
U.S. Notice of Allowance dated Feb. 19, 2016 issued in U.S. Appl. No. 14/062,648.
U.S. Office Action dated Nov. 16, 2018 issued in U.S. Appl. No. 15/169,530.
U.S. Office Action dated Nov. 2, 2015 issued in U.S. Appl. No. 14/616,435.
U.S. Final Office Action dated Mar. 10, 2016 issued in U.S. Appl. No. 14/616,435.
U.S. Office Action dated Jul. 8, 2016 issued in U.S. Appl. No. 14/616,435.
U.S. Final Office Action dated Feb. 22, 2017 issued in U.S. Appl. No. 14/616,435.
U.S. Examiner's Answer to the Appeal brief [filed May 19, 2017] before the Patent Trial and Appeal Board dated Sep. 22, 2017 issued in U.S. Appl. No. 14/616,435.
U.S. Notice of Allowance dated Feb. 4, 2019 issued in U.S. Appl. No. 14/616,435.
U.S. Office Action dated Sep. 12, 2016 issued in U.S. Appl. No. 14/692,627.
U.S. Final Office Action, dated May 19, 2017, issued in U.S. Appl. No. 14/692,627.
U.S. Office Action dated Nov. 8, 2017 issued in U.S. Appl. No. 14/692,627.
U.S. Final Office Action dated May 16, 2018 issued in U.S. Appl. No. 14/692,627.
U.S. Office Action dated Dec. 29, 2017 issued in U.S. Appl. No. 15/283,159.
U.S. Office Action dated Aug. 29, 2018 issued in U.S. Appl. No. 15/283,159.
U.S. Notice of Allowance dated Jan. 7, 2019 issued in U.S. Appl. No. 15/283,159.
U.S. Notice of Allowance dated Feb. 7, 2018 issued in U.S. Appl. No. 15/408,291.
U.S. Office Action dated Jul. 28, 2017 issued in U.S. Appl. No. 15/408,291.
U.S. Office Action dated Jul. 7, 2017 issued in U.S. Appl. No. 15/382,137.
U.S. Notice of Allowance dated Sep. 22, 2017 issued in U.S. Appl. No. 15/382,137.
U.S. Office Action dated Feb. 24, 2005 issued in U.S. Appl. No. 10/670,660.
U.S. Notice of Allowance dated Sep. 11, 2006 issued in U.S. Appl. No. 11/234,808.
U.S. Office Action dated May 3, 2006 issued in U.S. Appl. No. 10/915,117.
U.S. Final Office Action dated Sep. 7, 2006 issued in U.S. Appl. No. 10/915,117.
U.S. Office Action dated Dec. 11, 2006 issued in U.S. Appl. No. 10/915,117.
U.S. Notice of Allowance dated Feb. 26, 2007 issued in U.S. Appl. No. 10/915,117.
U.S. Office Action dated May 1, 2008 issued in U.S. Appl. No. 11/373,847.
U.S. Notice of Allowance and Allowed Claims dated Jul. 1, 2008 issued in U.S. Appl. No. 11/373,847.
U.S. Office Action dated Apr. 10, 2009 issued in U.S. Appl. No. 11/805,356.
U.S. Final Office Action dated Oct. 13, 2009 issued in U.S. Appl. No. 11/805,356.
U.S. Office Action dated Apr. 8, 2010 issued in U.S. Appl. No. 11/805,356.
U.S. Notice of Allowance and Allowed Claims dated Jul. 26, 2010 issued in U.S. Appl. No. 11/805,356.
U.S. Office Action dated Sep. 6, 2006 issued in U.S. Appl. No. 10/869,474.
U.S. Office Action dated Feb. 9, 2007 issued in U.S. Appl. No. 10/869,474.
U.S. Final Office Action dated Jun. 28, 2007 issued in U.S. Appl. No. 10/869,474.
U.S. Notice of Allowance dated Jul. 26, 2007 issued in U.S. Appl. No. 10/869,474.
U.S. Office Action dated Mar. 17, 2008 issued in U.S. Appl. No. 11/893,490.
U.S. Office Action dated Aug. 8, 2008 issued in U.S. Appl. No. 11/893,490.
U.S. Office Action (Ex Parte Quayle) dated Jan. 27, 2009 issued in U.S. Appl. No. 11/893,490.
U.S. Notice of Allowance dated May 4, 2009 issued in U.S. Appl. No. 11/893,490.
U.S. Office Action dated Oct. 15, 2010 issued in U.S. Appl. No. 12/497,322.
U.S. Notice of Allowance and Allowed Claims dated Mar. 11, 2011 issued in U.S. Appl. No. 12/497,322.
U.S. Office Action dated Nov. 20, 2009 issued in U.S. Appl. No. 11/710,652.
U.S. Final Office Action dated Apr. 15, 2010 issued in U.S. Appl. No. 11/710,652.
U.S. Office Action dated Aug. 5, 2010 issued in U.S. Appl. No. 11/710,652.
U.S. Notice of Allowance and Allowed Claims dated Nov. 24, 2010 issued in U.S. Appl. No. 11/710,652.
U.S. Office Action dated Jul. 9, 2013 issued in U.S. Appl. No. 11/710,652.
U.S. Notice of Allowance dated Oct. 25, 2013 issued in U.S. Appl. No. 11/710,652.
U.S. Office Action dated Dec. 31, 2008 issued in U.S. Appl. No. 11/693,617.
U.S. Office Action dated Oct. 7, 2009 issued in U.S. Appl. No. 11/693,617.
U.S. Office Action dated Jun. 10, 2010 issued in U.S. Appl. No. 11/693,617.
U.S. Final Office Action dated Jan. 20, 2011 issued in U.S. Appl. No. 11/693,617.
U.S. Office Action dated Sep. 16, 2011 issued in U.S. Appl. No. 11/693,617.
U.S. Notice of Allowance dated Jan. 9, 2012 issued in U.S. Appl. No. 11/693,617.
U.S. Office Action dated Jan. 12, 2011 issued in U.S. Appl. No. 12/082,496.
U.S. Final Office Action dated Aug. 4, 2011 issued in U.S. Appl. No. 12/082,496.
U.S. Notice of Allowance dated Oct. 26, 2011 issued in U.S. Appl. No. 12/082,496.
U.S. Notice of Allowance dated Apr. 10, 2012 issued in U.S. Appl. No. 12/631,691.
U.S. Office Action dated Feb. 7, 2014 issued in U.S. Appl. No. 13/967,832.
U.S. Notice of Allowance dated May 30, 2014 issued in U.S. Appl. No. 13/967,832.
U.S. Notice of Allowance dated Sep. 5, 2014 issued in U.S. Appl. No. 13/967,832.
U.S. Office Action dated Sep. 29, 2015 issued in U.S. Appl. No. 14/105,026.
U.S. Notice of Allowance dated Jan. 29, 2016 issued in U.S. Appl. No. 14/105,026.
U.S. Office Action dated Feb. 7, 2014 issued in U.S. Appl. No. 13/494,836.
U.S. Final Office Action dated Aug. 21, 2014 issued in U.S. Appl. No. 13/494,836.
U.S. Office Action dated May 5, 2015 issued in U.S. Appl. No. 13/494,836.
U.S. Final Office Action dated Nov. 16, 2015 issued in U.S. Appl. No. 13/494,836.
U.S. Office Action dated Mar. 10, 2016 issued in U.S. Appl. No. 13/494,836.
U.S. Final Office Action dated Jul. 11, 2016 issued in U.S. Appl. No. 13/494,836.
U.S. Office Action dated Jan. 9, 2017 issued in U.S. Appl. No. 13/494,836.
U.S. Examiner's Answer to the Appeal brief [filed Apr. 28, 2017] before the Patent Trial and Appeal Board dated Sep. 25, 2017 issued in U.S. Appl. No. 13/494,836.
U.S. Notice of Allowance dated Oct. 2, 2018 issued in U.S. Appl. No. 13/494,836.
U.S. Office Action dated Jan. 28, 2019 issued in U.S. Appl. No. 15/969,045.
Taiwan Office Action dated Nov. 6, 2017 issued in Application No. TW 103119100.
Taiwan Notice of Allowance dated Jan. 8, 2019 issued in Application No. TW 107126975.
Singapore Notice of Allowance & Supplemental Exam Report dated Nov. 30, 2017 issued in Application No. SG 10201402381U.
Chinese First Office Action dated Sep. 5, 2016 issued in Application No. CN 201410576747.1.
Chinese First Office Action dated Dec. 20, 2018 issued in Application No. CN 201710307116.3.
Taiwan Notice of Allowance and Search Report dated Jun. 14, 2018 issued in Application No. TW 103136697.
Taiwan First Office Action dated Dec. 24, 2018 issued in Application No. TW 107123391.
Chinese First Office Action dated Jan. 3, 2018 issued in Application No. CN 201610084166.5.
Chinese Second Office Action dated Oct. 12, 2018 issued in Application No. CN 201610084166.5.
Chinese First Office Action dated Jun. 25, 2018 issued in Application No. CN 201610251266.2.
Chinese Second Office Action [Decision of Final Rejection] dated Jan. 25, 2019 issued in Application No. CN 201610251266.2.
Chinese First Office Action dated Jan. 24, 2014 issued in Application No. CN 201010569747.0.
Chinese Second Office Action dated Aug. 14, 2014 issued in Application No. CN 201010569747.0.
Chinese Third Office Action dated Jan. 13, 2015 issued in Application No. CN 201010569747.0.
Chinese First Office Action dated Jul. 3, 2017 issued in Application No. CN 201510566292.X.
Japanese Office Action dated Jul. 29, 2014 issued in Application No. JP 2010-256165.
Korean Office Action dated Feb. 20, 2017 issued in Application No. KR 10-2010-0123145.
Korean First Office Action dated Feb. 1, 2018 issued in Application No. KR 10-2017-0147917.
Taiwan Notice of Allowance and Search Report dated Jul. 8, 2015 issued in Application No. TW 099140866.
Taiwan Office Action dated Jan. 14, 2016 issued in Application No. TW 104126278.
Taiwan Examination Report dated Mar. 14, 2017 issued in Application No. TW 102146997.
Taiwan Examination Report dated Aug. 8, 2017 issued in Application No. TW 102146997.
Taiwan Office Action dated Dec. 22, 2015 issued in Application No. TW 102120742.
Benilan et al., (Oct. 4, 2011) “Optimization of microwave Hydrogen plasma discharges to mimic Lyman α(121.6 nm) solar irradiations,” EPSC-DPS2011-131 7, EPSC-DPJ Joint Meeting 2011, EPSC Abstracts, 6:2pp.
Blaszczyk-Lezak et al., (2005) “Silicon Carbonitride Films Produced by Remote Hydrogen Microwave Plasma CVD Using a (Dimethylamino)dimethylsilane Precursor,” Chemical Vapor Deposition, 11(1):44-52.
“Development of Current Atomic Theory,” Emission Spectrum of Hydrogen, [downloaded at http://chemed.chem.purdue.edu/genchem/topicreview/bp/ch6/bohr.html on Sep. 23, 2015], 7pp.
“Dummies' Guide to Hydrogen,” Molecular Hydrogen Foundation, MHF, [downloaded at http://www.molecularhydrogenfoundation.org/core-information/dummies-guide-to-hydrogen on Sep. 23, 2015], 2 pp.
Fozza et al., (Jan./Feb. 1998) “Vacuum ultraviolet to visible emission of some pure gases and their mixtures used for plasma processing,” J. Vac. Sci. Technol. A, American Vacuum Society, 16(1):72-77.
Grubbs et al. (May/Jun. 2006) “Attenuation of hydrogen radicals traveling under flowing gas conditions through tubes of different materials,” J. Vac. Sci. Technol. A, 24(3):486-496.
Hatanaka et al., (2000) “Experiments and analyses of SiC thin film deposition from organo-silicon by a remote plasma method,” Thin Solid Films, 368:287-291.
Huran, J., et al., (2004) “RBS study of amorphous silicon carbide films deposited by PECVD,” Czechoslovak Journal of Physics, 54(Suppl. C):C1006-C1010.
Jones et al., (Nov. 30, 2001) “Silicon Containing Polymers: The Science and Technology of Their Synthesis and Applications,” Springer, p. 705.
Lemaire, P.J., Walker, K.L., Kranz, K.S., Huff, R.G. and DiMarcello, F.V., (1990) “Diffusion of Hydrogen Through Hermetic Carbon Films on Silica Fibers,” AT&T Bell Laboratories, 600 Mountain Avenue, Murray Hill, NJ 07974, Mat. Res. Soc. Symp. Proc. vol. 172, 12 pages, © 1990 Materials Research Society.
Maeda et al. (Sep. 1989), “A Low-Permittivity Interconnection Using an SiBN Interlayer,” IEEE Transactions on Electron Devices 36(9).
“Radical (chemistry)” From Wikipedia, the free encyclopedia, [downloaded at http://en.wikipedia.org/wiki/Radical_(chemistry) on Sep. 23, 2015), 11 pages.
Sugino, Takashi et al. (2001), “Synthesis of boron nitride film with low dielectric constant for its application to silicon ultralarge scale integrated semiconductors,” Diamond and Related Materials, pp. 1275-1379, Elsevier Science B.V.
Wrobel et al. (2001) “Oligomerization and Polymerization Steps in Remote Plasma Chemical Vapor Deposition of Silicon-Carbon and Silica Films from Organosilicon Sources,” Chem. Mater, 13(5): 1884-1895.
Wróbel et al., (2010) “Reactivity of Organosilicon Precursors in Remote Hydrogen Microwave Plasma Chemical Vapor Deposition of Silicon Carbide and Silicon Carbonitride Thin-Film Coatings,” Appl. Organometal. Chem., 24:201-207.
Wu et al. (Oct. 17-19, 2006), “Ultra Low k Dielectrics Prepared by PECVD Using a Single-Precursor and Treated by UV Assisted Thermal Processing,” Advanced Metallization Conference (AMC) 2006, pp. 1-6, San Diego, CA.
Xu, Ying-Yu, et al., (1999) “Preparation of SiC Thin Film Using Organosilicon by Remote Plasma Cvd Method,” Mat. Res. Soc. Symp. Proc., 544:185-189.
U.S. Notice of Allowance, dated Jan. 9, 2015, issued in U.S. Appl. No. 13/896,729.
U.S. Notice of Allowance (Corrected Notice of Allowability), dated Apr. 24, 2015, issued in U.S. Appl. No. 13/896,729.
U.S. Office Action, dated Aug. 4, 2015, issued in U.S. Appl. No. 14/101,901.
U.S. Final Office Action, dated Nov. 25, 2015, issued in U.S. Appl. No. 14/101,901.
U.S. Notice of Allowance, dated Feb. 10, 2016, issued in U.S. Appl. No. 14/101,901.
U.S. Office Action, dated Aug. 10, 2018, issued in U.S. Appl. No. 15/150,239.
U.S. Notice of Allowance, dated Nov. 26, 2018, issued in U.S. Appl. No. 15/150,239.
Taiwan Notice of Allowance and Search Report dated Jul. 13, 2017 issued in Application No. TW 102117769.
Taiwan Examination Report dated Feb. 9, 2017 issued in Application No. TW 102146185.
U.S. Appl. No. 11/693,661, filed Mar. 29, 2007, Wu et al.
U.S. Appl. No. 16/041,153, filed Jul. 20, 2018, Weimer et al.
U.S. Appl. No. 16/240,133, filed Jan. 4, 2019, Varadarajan.
U.S. Final Office Action dated Nov. 5, 2010 issued in U.S. Appl. No. 11/693,661.
U.S. Office Action dated Aug. 28, 2009 issued in U.S. Appl. No. 11/693,661.
U.S. Office Action dated Feb. 24, 2010 issued in U.S. Appl. No. 11/693,661.
U.S. Notice of Allowance dated Jun. 18, 2019 issued in U.S. Appl. No. 14/961,637.
U.S. Final Office Action dated Mar. 5, 2019 issued in U.S. Appl. No. 15/169,530.
U.S. Office Action dated Dec. 2, 2019 issued in U.S. Appl. No. 15/169,530.
U.S. Office Action dated Apr. 18, 2019 issued in U.S. Appl. No. 15/972,554.
U.S. Notice of Allowance dated Oct. 22, 2019 issued in U.S. Appl. No. 15/972,554.
U.S. Final Office Action dated Aug. 6, 2019 issued in U.S. Appl. No. 15/969,045.
Chinese Second Office Action dated Jun. 3, 2019 issued in Application No. CN 201710307116.3.
Chinese Third Office Action dated Dec. 9, 2019 issued in Application No. CN 201710307116.3.
Chinese Third Office Action dated Apr. 22, 2019 issued in Application No. CN 201610084166.5.
PCT International Search Report and Written Opinion (ISA/KR) dated Dec. 26, 2017 issued in PCT/US2017/051794.
PCT International Preliminary Report on Patentability dated Apr. 11, 2019 (ISA/KR) dated Apr. 11, 2019 issued in PCT/US2017/051794.
PCT International Search Report and Written Opinion (ISA/KR) dated Mar. 15, 2018 issued in PCT/US2017/062882.
PCT International Preliminary Report on Patentability (ISA/KR) dated Jun. 6, 2019 issued in PCT/US2017/062882.
PCT International Search Report and Written Opinion (ISA/KR) dated Mar. 19, 2018 issued in PCT/US2017/064024.
PCT International Preliminary Report on Patentability (ISA/KR) dated Jun. 27, 2019 issued in PCT/US2017/064024.
Korean First Office Action dated Aug. 9, 2019 issued in Application No. KR 10-2013-0066728.
Korean Decision for Grant of Patent dated Dec. 27, 2019 issued in Application No. KR 10-2013-0066728.
Taiwanese Second Office Action dated Apr. 18, 2019 issued in Application No. TW 107123391.
Taiwanese Third Office Action dated Aug. 21, 2019 issued in Application No. TW 107123391.
Taiwanese First Office Action dated Jul. 31, 2019 issued in Application No. TW 105103396.
PCT International Search Report and Written Opinion (ISA/KR) dated Nov. 8, 2019 issued in Application No. PCT/US2019/042821.
International Search Report and Written Opinion dated Nov. 20, 2019 (ISA/KR) issued in PCT/US2019/042812.
International Search Report and Written Opinion dated Oct. 25, 2019 issued in Application No. PCT/US2019/042413.
Boo et al., (1999) “Growth of boron nitride thin films on silicon substrates using new organoboron precursors” Phys. Stat. sol. (a), vol. 176. Nov. 1999, pp. 705-710.
G.E. Coates et al., “Chapter Four: Organometallic compounds of elements of main groups IV and V,” Principles of Organometallic Chemistry, (1968) pp. 121-122.
Sota et al., (2010) “Influence of atomic bonds on electrical property of boron carbon nitride films synthesized by remote plasma-assisted chemical vapor deposition. Diamond & Related Materials” vol. 19, No. 12, Jun. 23, 2010, pp. 1441-1445.
Fozza et al., (Jul. 2000) “Vacuum ultraviolet to visible emission from hydrogen plasma: Effect of excitation frequency,” Journal of Applied Physics, 88(1):20-33.
Holländer et al., (May 1994) “Vacuum ultraviolet emission from microwave plasmas of hydrogen and its mixtures with helium and oxygen,” J. Vac. Sci. Technol. A, 12(3):879 -882.
Korean First Office Action dated Sep. 25, 2019 issued in Application No. KR 10-2013-0056017.
U.S. Appl. No. 16/556,145, filed Aug. 29, 2019, Varadarajan.
U.S. Office Action dated Jul. 9, 2019 issued in U.S. Appl. No. 16/041,153.
U.S. Final Office Action dated Dec. 12, 2019 issued in U.S. Appl. No. 16/041,153.
U.S. Office Action dated Mar. 6, 2020 issued in U.S. Appl. No. 15/696,045.
U.S. Final Office Action dated Apr. 10, 2020 issued in U.S. Appl. No. 15/169,530.
U.S. Office Action dated Mar. 19, 2020 issued in U.S. Appl. No. 16/194,102.
U.S. Notice of Allowance dated Mar. 25, 2020 issued in U.S. Appl. No. 16/041,153.
Korean Second Office Action dated Feb. 24, 2020 issued in Application No. KR 10-2013-0056017.
Korean First Office Action dated Jan. 30, 2020 issued in Application No. KR 2013-0158829.
Chinese Examination Decision dated Apr. 8, 2020 issued in Application No. 201610251266.2.
Related Publications (1)
Number Date Country
20190181004 A1 Jun 2019 US
Continuations (1)
Number Date Country
Parent 14692627 Apr 2015 US
Child 16194102 US