Integrated device packages with integrated device die and dummy element

Information

  • Patent Grant
  • 11631647
  • Patent Number
    11,631,647
  • Date Filed
    Tuesday, June 30, 2020
    3 years ago
  • Date Issued
    Tuesday, April 18, 2023
    a year ago
Abstract
In one embodiment, an integrated device package is disclosed. The integrated device package can comprise a carrier an a molding compound over a portion of an upper surface of the carrier. The integrated device package can comprise an integrated device die mounted to the carrier and at least partially embedded in the molding compound, the integrated device die comprising active circuitry. The integrated device package can comprise a stress compensation element mounted to the carrier and at least partially embedded in the molding compound, the stress compensation element spaced apart from the integrated device die, the stress compensation element comprising a dummy stress compensation element devoid of active circuitry. At least one of the stress compensation element and the integrated device die can be directly bonded to the carrier without an adhesive.
Description
BACKGROUND
Field

The field relates to integrated device packages and methods for forming the same.


Description of the Related Art

In various packaging arrangements, it can be advantageous to arrange multiple integrated device dies within a package, e.g., system-in-package (SIPs). For example, some packages include different types of active chips or integrated device dies spaced apart from one another along a package substrate. In addition, three-dimensional (3D) integration techniques often utilize packages in which two or more integrated device dies are stacked on top of and electrically connected to one another. A molding compound or encapsulant can be provided over the integrated device dies, which can generate stresses in the package. Accordingly, there remains a continuing need for improved integrated device packages.





BRIEF DESCRIPTION OF THE DRAWINGS

These aspects and others will be apparent from the following description of preferred embodiments and the accompanying drawing, which is meant to illustrate and not to limit the invention, wherein:



FIGS. 1A-1C schematically illustrates the use of a sacrificial carrier in various direct bonding processes.



FIG. 2 illustrates a plurality of elements directly bonded to a carrier.



FIGS. 3A-3C show various examples in which elements are directly bonded to a carrier without an adhesive.



FIG. 4A is a schematic side view of a plurality of elements directly bonded to a carrier and with a protective material applied over the elements and within gaps between the elements.



FIG. 4B is a schematic side view of a plurality of elements that include one or more dummy elements directly bonded to a carrier.



FIGS. 5A-5C illustrate a series of processing steps for forming a reconstituted wafer.



FIG. 6 is a schematic side sectional view of a reconstituted wafer having a bonding layer configured to directly bond to another reconstituted wafer or substrate.



FIG. 7A illustrates two opposing reconstituted wafers prior to direct bonding.



FIG. 7B illustrates the two opposing reconstituted wafers after being directly bonded to one another.



FIG. 8A-8B illustrate methods and structures for stacking more than two reconstituted wafers, according to various embodiments.



FIGS. 9A-9F illustrate various face up bonded structures, according to various embodiments.



FIGS. 10A-10E illustrate various face down bonded structures, according to various embodiments.



FIG. 11 illustrates another embodiment in which an additional filler material can serve as a second protective material and may be provided over a conformal protective material in the gaps between adjacent elements.



FIGS. 12A-12C illustrate a method for forming a reconstituted wafer according to various embodiments.



FIGS. 13A-13B illustrate a method for forming a reconstituted wafer according to various embodiments.



FIGS. 14A-14C illustrate another embodiment in which a mold compound can be provided between adjacent elements directly bonded to a carrier, and a metal can be provided on the mold compound.



FIGS. 15A-15C illustrate another embodiment in which a mold compound can be provided between adjacent elements directly bonded to a carrier, and a metal can be provided on both sides of the mold compound.



FIGS. 16A-16C illustrate another embodiment in which a protective coating or layer can be provided between the mold compound and the carrier.



FIGS. 17A-17D illustrates additional bonded structures that can be provided with the methods disclosed herein.



FIG. 18A is a schematic side sectional view of an integrated device package, according to another embodiment.



FIG. 18B is a schematic top plan view of the integrated device package of FIG. 18A, with the molding compound hidden for ease of illustration.



FIG. 18C is a schematic top plan view of an integrated device package that includes increased lateral overlap among stress compensation elements.



FIG. 19 is a schematic diagram of a system incorporating one or more bonded structures, according to various embodiments.





DETAILED DESCRIPTION

Various embodiments disclosed herein relate to a bonded structure comprising a first element (e.g., a first integrated device die) having a first side and a second side opposite the first side. The bonded structure can include a second element (e.g., a second integrated device die) having a first side and a second side opposite the first side. The first side of the second integrated device die can be directly bonded to the first side of the first integrated device die without an intervening adhesive along a bonding interface. A protective material can be disposed about a periphery (e.g., respective sidewalls) of the first and second integrated device dies. The protective material can extend from the second side of the first integrated device die to the second side of the second integrated device die. In various embodiments, portions of the protective material can be disposed within gaps between adjacent first integrated device dies or elements. In some embodiments, the protective material can comprise an inorganic dielectric, such as silicon dioxide, silicon nitride, polysilicon, amorphous silicon, etc.


The embodiments disclosed herein can comprise wafer-level processes in which wafers or substrates, serving as carriers, are provided with a plurality of integrated device dies and a protective material (which can comprise one or a plurality of protective layers) over the integrated device dies. The die(s) and protective material can form at least a portion of a reconstituted wafer which can be bonded (e.g., directly bonded without an adhesive) to another reconstituted wafer formed by a similar process. The bonded reconstituted wafers can be singulated to form a plurality of bonded structures, for example after removal of the carriers. The bonded structures can comprise packaging structures in some embodiments. As used herein, direct bond interconnects, or DBI®, can comprise bonded structures in which densely dispersed conductive contacts are bonded to one another without an intervening adhesive. In various embodiments, the surrounding dielectric or nonconductive materials can also be directly bonded without an intervening adhesive. A ZiBond® process can comprise a direct bond between nonconductive materials without an intervening adhesive. Examples of DBI and ZiBond processes and structures may be found throughout at least U.S. Pat. Nos. 9,391,143; 10,141,218; 10,147,641; 9,431,368; and 7,126,212, the entire contents of each of which are incorporated by reference herein in their entireties and for all purposes. Each of the singulated dies mounted on the carriers can be tested prior to mounting, such that all dies in the reconstituted wafer can be Known Good Dies (KGD).



FIGS. 1A-1C schematically illustrate the use of a sacrificial carrier 3 in various direct bonding processes. As shown, in some embodiments, an element 2 can be directly bonded to a carrier 3 without an adhesive. Throughout this application, the element 2 (or any of the other elements described herein) can comprise any suitable type of element, such as a semiconductor element (e.g., an integrated device die), an optical element, etc. The carrier 3 can comprise any suitable type of carrier, such as a carrier with one or more logic or processing devices, and/or a sacrificial carrier (e.g., a carrier without active processing circuitry) that is to be removed at some point during processing.


The element 2 can comprise a front side 9 and a back side 10 opposite the front side 9. In various embodiments, the front side 9 can comprise a surface nearest to active circuitry or devices formed in the element 2. A first front bonding layer 4 can be provided at the front side 9 of the element 2. Although the bonding layer 4 is shown at the front side 9 of the element 2, a bonding layer may also or alternatively be provided on the back side 10 for bonding. The bonding layer 4 can comprise one or a plurality of contact pads 6 disposed within or surrounded by a nonconductive field region 5. In some embodiments, the contact pads can comprise copper, although other conductive materials are suitable. In some embodiments, the nonconductive field region can comprise a dielectric such as silicon oxide, silicon nitride, etc. The back side 10 may or may not include active circuitry or devices. In various embodiments, the element 2 can comprise a singulated element (such as a singulated device die) having a side surface 8. The side surface 8 can comprise markings indicative of a singulation process, for example, saw markings, etch patterns, etc.


As explained above, and as shown in FIG. 1A, the element 2 (e.g., a die) can be directly bonded to the carrier 3 without an intervening adhesive along a bond interface 12. The front bonding layer 4 can be prepared for bonding, as explained above. For example, the front bonding layer 4 can be polished to a very low surface roughness and processed so as to enhance dielectric-to-dielectric direct bonding. In some embodiments, the surfaces to be bonded may be terminated with a suitable species and activated prior to bonding. For example, in some embodiments, the surfaces to be bonded may be very lightly etched for activation and exposed to a nitrogen-containing solution and terminated with a nitrogen-containing species. As one example, the surfaces to be bonded may be exposed to an ammonia dip after a very slight etch, and/or a nitrogen-containing plasma (with or without a separate etch).


Once the surfaces are prepared, the nonconductive field region 5 of the element 2 can be brought into contact with corresponding nonconductive regions of the carrier 3. The interaction of the activated surfaces can cause the nonconductive region 5 of the element 2 to directly bond with the corresponding nonconductive regions of the carrier 3 without an intervening adhesive, without application of external pressure, without application of voltage, and at room temperature. In various embodiments, the bonding forces of the nonconductive regions can be covalent bonds that are greater than Van der Waals bonds. In some embodiments, only nonconductive field regions of the element 2 are directly bonded to corresponding nonconductive regions of the carrier 3. In other embodiments, however, contact pads 6 of the element 2 can be directly bonded to corresponding conductive contacts of the carrier 3, and the nonconductive region 5 can also be directly bonded to the nonconductive regions of the carrier 3. In such embodiments, direct bonding of the contacts can improve alignment of the element 2 relative to the carrier 3. In the embodiments disclosed herein, the use of direct bonding can reduce movement during assembly as compared to implementations that utilize an intervening adhesive.


As shown in FIG. 1B, a protective material 7 can be applied over at least a portion of the element 2, including about at least a periphery or side surface 8 of the element 2. In some embodiments, the protective material 7 can be deposited along the side surface 8 and over an upper surface of the carrier 3. The protective material 7 can comprise one or more protective layers, including one or more inorganic layers, such as silicon oxide, silicon nitride, polysilicon, amorphous silicon, a metal, etc.


As shown in FIG. 1C, the carrier 3 can be removed from the element 2 and the protective material 7 in any suitable manner. In the illustrated embodiment, the carrier 3 can comprise a silicon substrate or element with a nano oxide layer 11, which as used herein can include at least one of a native silicon oxide layer and a thermal silicon oxide layer. Each of the embodiments disclosed herein can utilize such a carrier with nano oxide layer 11. In some embodiments, in the carrier removal process the carrier 3 can be selectively etched using the silicon nano oxide layer 11 as an etch stop. In some embodiments, at least a portion of the nano oxide 11 layer can remain after removing the silicon base material of the carrier 3. In other embodiments, the entirety of the carrier 3 (e.g., the silicon base material and the nano oxide layer 11) can be removed. In embodiments that utilize a nano oxide layer 11, the element 2 can be planarized for bonding, but the carrier 3 may not be planarized prior to direct bonding. In other embodiments, both the element 2 and carrier 3 can be planarized for direct bonding. Direct bonding and subsequent removal of the carrier 3 as described herein can advantageously leave a planar surface for a reconstituted wafer for further processing as desired, including for additional direct bonding processes. In contrast, reconstituted wafers formed on sacrificial or temporary adhesive layers (e.g., tape or film) do not reliably provide planar surfaces and thus can lead to subsequent alignment issues, e.g., for subsequent direct bonding of dies for stacking. Such stacking with direct bonding could be by way of direct bonding individual second dies on a first reconstituted wafer, or simultaneously bonding multiple second dies in a second reconstituted wafer.


Beneficially, the embodiment of FIGS. 1A-1C can enable the reconstitution of wafers for direct bonding with improved alignment accuracy. For example, although only one element 2 or die is shown in FIGS. 1A-1C, it should be appreciated that an array of multiple dies can be provided, and as shown below. In other applications in which an adhesive is used to bond the elements 2 (e.g., dies) to the carrier 3, the elements 2 or dies may become misaligned relative to the carrier 3 due to movement or migration of the adhesive, for example, during or after heating or during placement for bonding. Such misalignments can result in misalignment for subsequently bonded structures and negatively affect the performance of the bonded structures. The embodiments disclosed herein can beneficially reduce misalignment by providing a direct bond interconnection with the carrier 3, which can serve to effectively fix the element 2 or die relative to the carrier 3 for subsequent processing, such as providing a protective material 7 (inorganic or organic) over the element 2, or any other suitable processing.



FIG. 2 illustrates a plurality of elements 2 directly bonded to a carrier 3, such as a wafer. Unless otherwise noted, reference numerals in FIG. 2 may represent components that are the same as or generally similar to like-numbered components of FIGS. 1A-1C. In FIG. 2, each element 2 can include one or more conductive vias 13 connected to back side(s) of corresponding contact pads 6. As shown in FIG. 2, the conductive vias may initially extend upwardly from the contact pad and terminate within the body of the element 2. After the directly bonding, the dies or elements 2 can be diced or singulated into a plurality of diced or singulated elements 2. As explained herein, the removal of a silicon substrate using the nano oxide layer 11 may leave a substantially smooth surface for subsequent direct bonding.



FIGS. 3A-3C show various examples in which elements 2 (e.g., integrated device dies) are directly bonded to a carrier 3 (e.g., a silicon substrate with nano oxide layer 11) without an intervening adhesive. FIG. 3A illustrates a relatively wide separation or gap G between elements 2, while FIG. 3B illustrates a relatively narrow separation or gap G between elements 2. FIG. 3C illustrates additional dummy elements 2′ or dies disposed between active elements 2 or dies, with relatively narrow gaps G therebetween. Providing the narrow gaps G in FIGS. 3B and 3C can beneficially reduce the amount of protective material 7 used to fill the gaps G in subsequent steps and can enable conformal filling of the gaps G. Further, as shown in FIGS. 3A and 3B, one or more alignment feature(s) 14 can be provide on the upper surface of the carrier 3. The alignment features 14 can be selectively positioned on the carrier 3 to assist in accurate placement of the elements 2.



FIG. 4A is a schematic side view of a plurality of elements 2 directly bonded to a carrier 3 and with a protective material 7 applied over the elements 2 and within the gaps G between the elements 2. In FIG. 4A, the elements 2 are illustrated as being all active integrated device dies. In FIG. 4B, some of the elements comprise dummy elements 2′, such as inactive blocks of semiconductor material (e.g., silicon). In FIGS. 4A and 4B, a protective layer 7 (such as an inorganic protective layer) can be provided over portions of the elements 2, including around a portion of the periphery (e.g., the side surface 8) within the gaps G and over upper surfaces (which are the back sides 10 in FIGS. 4A-4B) of the elements 2. Seams 15 such as voids or discontinuities may be present in the protective material 7.


The protective layer 7 can include one or a plurality of protective layers, including, e.g., inorganic or organic protective layer(s). In the illustrated embodiment, for example, the protective layer 7 can comprise inorganic layer(s) such as silicon oxide, silicon nitride, polysilicon, amorphous silicon, or a metal. In other embodiments, at least a portion of the protective material 7 can comprise an organic material, such as a molding compound or epoxy. In some embodiments, the protective material 7 comprises both a conformal layer and a gap-fill layer. Beneficially, the protective material 7 can assist in affixing the elements 2 to the carrier 3 such that the elements 2 do not shift during subsequent direct bonding processes. The protective material 7 can also assist in protecting the elements 2 during polishing and other processing techniques to prevent damage to the dies (e.g., chipping). Examples of structures and processes for providing protective material 7 on and between adjacent directly bonded dies over a carrier, for use in conjunction with post-bonding thinning and/or singulation processes, are disclosed in U.S. Pat. No. 10,204,893, the entire contents of which are hereby incorporated by reference herein in their entirety and for all purposes.



FIGS. 5A-5C illustrate a series of processing steps for forming a reconstituted wafer 20. The reconstituted wafer 20 can be bonded (e.g., directly bonded) to another reconstituted wafer 20 or to other substrates in subsequent steps. In FIG. 5A, the upper surfaces of the conformal protective material 7 can be removed, e.g., by etching, lapping, grinding, polishing, etc. In some embodiments, the removal of the protective material 7 can also remove a portion of the back side 10 of the elements 2. In other embodiments, the removal step can terminate at the back side 10 of the element 2.


Turning to FIG. 5B, a portion of the element 2 from the back side 10 can be removed by etching, lapping, chemical mechanical polishing (CMP), or any other suitable method, to form a thinned back side 10′ of the element 2. As shown in FIG. 5A, this removal step can expose the conductive through substrate vias (TSVs) 13 or other electrical interconnects formed within the elements. The removal step can also form a cavity 16 defined at least in part by the thinned back side 10′ of the element 2 and side walls of the protective material 7. In FIG. 5C, a nonconductive layer 18 (e.g., a second oxide layer) can be provided (e.g., deposited) over the thinned back sides 10′ of the elements 2 and around the exposed vias 13. In some embodiments, the provided nonconductive layer 18 (for example, silicon oxide) can be lapped or polished to generate a planar surface and to ensure that the nonconductive layer 18 is generally planar relative to the exposed ends of the vias 13 and the protective material.


In FIG. 5C, the reconstituted wafer 20 can comprise a front surface 22 configured to be bonded (e.g., direct bonded) to another reconstituted wafer or other type of substrate. The reconstituted wafer 20 can also comprise a back surface 23. In the reconstituted wafer 20 of FIG. 5C, the protective material 7 can be disposed between adjacent elements 2 and can extend from the front surface 22 of the reconstituted wafer 20 to the upper surface of the carrier 3. A vertical interface 19 can be defined between the nonconductive layer 18 over the element 2 and the protective material 7. Similarly, a vertical interface 21 can be defined between the bonding layer 4 and the protective material 7.



FIG. 6 is a schematic side sectional view of a reconstituted wafer having a second bonding layer 4b configured to directly bond to another reconstituted wafer or substrate. The first bonding layer 4, the contacts 6, and the nonconductive field region 5 of FIGS. 1A-5 have been renumbered as reference numerals 4a, 6a, and 5a, respectively, in FIG. 6. As shown in FIG. 6, in some embodiments, the second bonding layer 4b, e.g., a DBI layer having alternating conductive contacts 6b and nonconductive bonding portions (e.g., field regions 5b), can be provided over the nonconductive layer 18 (e.g., a second oxide layer) to facilitate additional bonding connections, if desired, to provide bonding pads conducive to DBI alignment. Thus, in FIG. 6, the second bonding layer 4b can extend across multiple (e.g., all) of the elements 2 of the reconstituted wafer 20. A horizontal interface 19 can be formed between the second bonding layer 4b and the nonconductive layer 18, and between the second bonding layer 4b and the underlying protective material 7.


In FIGS. 7A-7B, two opposing reconstituted wafers 20a, 20b can be provided and can be directly bonded to form a pair of bonded reconstituted wafers 1′. The reference numerals have been appended with “a” or “b” to denote their respective associations with the reconstituted wafers 20a or 20b. FIG. 7A illustrates the two opposing reconstituted wafers 20a, 20b prior to direct bonding. FIG. 7B illustrates the two opposing reconstituted wafers 20a, 20b after being directly bonded to one another. Use of direct bonding on the carriers 3a, 3b provides the planarity desired at the die bonding surfaces for die-to-die direct bonding of conductive and non-conductive surfaces. In other embodiments, however, the carriers may not be used and instead the reconstituted wafers may comprise elements (e.g., dies) at least partially embedded in a molding compound or encapsulant without the use of a carrier. In FIG. 7B, the nonconductive protective layers can be directly bonded to one another without an adhesive along the bond interface 12. Other non-conductive field regions of the reconstituted wafers 20a, 20b (such as nonconductive field regions 5a, 5b of bonding layers 4a, 4b, the nonconductive layer(s) 8, etc.) can also be bonded to one another by an adhesive. Moreover, the conductive contacts 6a, 6b can be directly bonded without an adhesive. In some embodiments, some or all of the conductive contacts 6a, 6b can be initially recessed relative to the bonding surfaces. The bonded wafers 20a, 20b can be heated to cause the contacts 6a, 6b to expand and form an electrical contact. After heating, the interface between the contacts 6a and 6b may not be in the same plane as the bond interface 12.


Additional reconstituted wafers 20a, 20b can be provided as shown in FIGS. 8A-8B to provide any number of stacked reconstituted wafers 1′. The stacked reconstituted wafers 1′ can be singulated along singulation streets S to provide a plurality of bonded structures 1. Any suitable number of reconstituted wafers 20a, 20b can be provided to form the stacked reconstituted wafers 1′, which can also be singulated to form any suitable number of bonded structures 1. The singulation can be before removal of the carriers 3 as shown (if sacrificial), or after singulation. In some embodiments, as shown in FIG. 8A, both carriers 3a, 3b may not be removed prior to singulation. In some embodiments, as shown in FIG. 8B, one carrier 3a can be removed prior to singulation. In other embodiments, both carriers 3a, 3b can be removed prior to singulation. As explained herein, removal of the carriers 3a and/or 3b using, for example, an etch process, may leave behind a nano oxide layer 11 to facilitate additional direct bonding.



FIGS. 9A-9F and 10A-10E illustrate various face up or face down bonded structures 1 that can result from the methods described herein. The bonded structures 1 shown in FIGS. 9A-9F and 10A-10E can comprise singulated reconstituted elements 24, such as singulated reconstituted integrated device dies. The singulated reconstituted elements 24 are shown in FIGS. 9A, 9E and 9F for illustrative purposes to show what structures may result from a singulated reconstituted wafer 20, according to various embodiments. As shown in FIGS. 9A-9F and 10A-10E, the surfaces nearest to active circuitry or devices can be the front surfaces 22 of the bonded structures 1, while the surfaces opposite the front surfaces 22 can be the back surfaces 23. Unlike individually picked and placed dies or elements, the directly bonded reconstituted elements 24 of the illustrated embodiments can have coplanar side surfaces as well as a direct bonding interface 12 between conductive (e.g., metal) and nonconductive (e.g., inorganic dielectrics such as oxides, including nitrogen and/or fluorine content to aid direct bonding) surfaces of the reconstituted elements 24, with no intervening adhesives.



FIGS. 9A-9F illustrate examples of face down bonded structures. Turning to FIG. 9A, the singulated reconstituted element 24 can comprise the element 2, the nonconductive layer 18 disposed on the thinned back side 10′ of the element 2, and bonding layers 4a, 4b at the front and back surfaces 22, 23, respectively. As shown in FIG. 9A, the protective material 7 can extend from the back side 23 to the front side 22 of the reconstituted element 24. Thus, in the embodiment of FIG. 9A, the singulated reconstituted element 24 can have a sidewall 25 defined by the outer exposed surface of the protective material. A vertical interface 26 can be defined between the protective material 7 and the element 2, the nonconductive layer 8, and the first and second bonding layers 4a, 4b. In the arrangement of FIG. 9A, the protective material 7 accordingly abuts the bonding layers 4a, 4b, which may be applied before the protective material 7 is provided. In other embodiments, as explained in connection with FIG. 6, one or more of the bonding layers 4a, 4b can extend over the protective material 7 such that the sidewall 25 includes the protective material 7 and a side edge of the bonding layers 4a and/or 4b.



FIG. 9B illustrates a front-to-back bonding arrangement in which the front surface 22a of the reconstituted element 24a is directly bonded to the back surface 23b of the reconstituted element 24b without an intervening adhesive to form the bonded structure 1. In FIG. 9B, a first portion 7a of protective material can extend from the back surface 23a of the reconstituted element 24a to the bonding interface 12. A second portion 7b of protective material can extend from the bonding interface 12 to the front surface 22b of the reconstituted element 24b.



FIG. 9C illustrates a front-to-front bonding arrangement in which the front surface 22a of the reconstituted element 24a is directly bonded to the front surface 23a of the reconstituted element 24b without an intervening adhesive to form the bonded structure 1. In FIG. 9C, the first portion 7a of protective material can extend from the back surface 23a of the reconstituted element 24a to the bonding interface 12. The second portion 7b of protective material can extend from the bonding interface 12 to the back surface 23b of the reconstituted element 24b.



FIG. 9D illustrates a back-to-back bonding arrangement in which the back surface 23a of the reconstituted element 24a is directly bonded to the back surface 23b of the reconstituted element 24b without an intervening adhesive to form the bonded structure 1. In FIG. 9D, the first portion 7a of protective material can extend from the front surface 22a of the reconstituted element 24a to the bonding interface 12. The second portion 7b of protective material can extend from the bonding interface 12 to the front surface 22b of the reconstituted element 24b.



FIGS. 9E and 9F illustrate additional examples of singulated reconstituted elements 24 that utilize a second protective layer 40. Additional details regarding methods of forming the reconstituted element 24 of FIGS. 9E and 9F may be found below in, for example, FIGS. 11-12C. In the embodiment of FIG. 9E, for example, the second protective material 40 can be applied over the protective material 7. In FIG. 9E, the second protective material 40 may be exposed at the back surface 23 of the reconstituted element 24 adjacent the bonding layer 4b. Further, the protective material 7 can be exposed at the front surface 22 adjacent the bonding layer 4a and underlying the second protective layer 40. Thus, in FIG. 9E, the sidewall 25 can include a horizontal interface 42 between the first and second protective materials 7, 25. Moreover, at the back surface 23, a vertical interface 41 can be provided between the first and second protective materials 7, 25.


In the embodiment of FIG. 9F the second protective material 40 can also be applied over the protective material 7. However, unlike the embodiment of FIG. 9E, in FIG. 9F, a third protective layer 43 can be provided over the second protective material 40. The third protective layer 43 may be exposed at the back surface 23 of the reconstituted element 24. Thus, in FIG. 9F, a vertical interface 45 can be provided between the protective material 7 and the third protective material 43. A horizontal interface 46 can be provided between the second protective material 40 and the third protective material 43.



FIGS. 10A-10E illustrate examples of face up bonded structures 1. Unless otherwise noted, reference numerals in FIGS. 10A-10E may refer to the same or generally similar components as reference numerals in FIGS. 9A-9F. Turning to FIG. 10A, a singulated reconstituted element 24 is shown in a face up orientation. In FIGS. 10B-10D, respective reconstituted elements 24a, 24b are directly bonded to one another to form bonded structures.


As with FIG. 9B, FIG. 10B illustrates a front-to-back bonding arrangement in which the front surface 22a of the reconstituted element 24a is directly bonded to the back surface 23b of the reconstituted element 24b without an intervening adhesive to form the bonded structure 1. In FIG. 10B, a first portion 7a of protective material can extend from the back surface 23a of the reconstituted element 24a to the bonding interface 12. A second portion 7b of protective material can extend from the bonding interface 12 to the front surface 22b of the reconstituted element 24b.



FIG. 10C illustrates a back-to-back bonding arrangement in which the back surface 23a of the reconstituted element 24a is directly bonded to the back surface 23b of the reconstituted element 24b without an intervening adhesive to form the bonded structure 1. In FIG. 10C, the first portion 7a of protective material can extend from the front surface 22a of the reconstituted element 24a to the bonding interface 12. The second portion 7b of protective material can extend from the bonding interface 12 to the front surface 22b of the reconstituted element 24b.



FIG. 10D illustrates a front-to-front bonding arrangement in which the front surface 22a of the reconstituted element 24a is directly bonded to the front surface 22b of the reconstituted element 24b without an intervening adhesive to form the bonded structure 1. In FIG. 10D, the first portion 7a of protective material can extend from the back surface 23a of the reconstituted element 24a to the bonding interface 12. The second portion 7b of protective material can extend from the bonding interface 12 to the back surface 23b of the reconstituted element 24b. In FIG. 10D, the bonding layers 4a can extend over the protective material 7a, 7b and can be exposed on the sidewall 25. As explained above in connection with FIG. 6, in some embodiments, the bonding layer 4a can be provided across the wafer over the protective material 7 such that, when the reconstituted wafer is singulated, the bonding layer 4a is exposed at the sidewall and flush with the protective material 7 at the sidewall 25.



FIG. 10E illustrates a singulated reconstituted element 24 that has a second protective material 40 disposed over side and upper surfaces of the protective material 7. Unlike the embodiment of FIGS. 9E and 9F, in FIG. 10E, the first front bonding layer 4a can be coplanar or flush with the second protective material 40. The second back bonding layer 4b can be coplanar or flush with the protective material 7.



FIG. 11 illustrates another embodiment similar to those described above, except an additional filler material can serve as a second protective material 40 and may be provided over a conformal protective material 7 in the gaps G between adjacent elements 2. The protective material 7 can be deposited conformally over the back sides 10 and side surface 8 of the elements 2 and over the upper surface of the carrier 3. The conformal protective material 7 can have gaps G between portions of the protective material 7 disposed on the side surfaces 8 of the elements 2. The second protective material 40 can serve to fill the gaps G. The second filler protective material 40 can comprise any suitable material, including organic or inorganic materials.



FIGS. 12A-12C illustrate a method for forming a reconstituted wafer 20 according to various embodiments. FIG. 12A is generally similar to FIG. 11, except additional portions of the second protective material 40 are provided on the ends of the outer elements 2. In FIG. 12B, a portion of the protective material 7 and a portion of the second filler protective material 40 can be removed to provide a generally planar surface. In various embodiments, for example, the respective portions of the filler and conformal protective materials 40, 7 can be removed by etching, lapping, grinding, chemical mechanical polishing (CMP), etc. In FIG. 12C, a portion of the bulk semiconductor material of the elements 2 or dies (e.g., silicon) can be removed to form a cavity 16, for example, by etching, lapping, CMP, etc., to expose the conductive vias 13. The conformal and/or gap-fill protective materials may have coefficient(s) of thermal expansion that is (are) within 5 ppm/° C. of a coefficient of thermal expansion of the elements 2 (e.g., integrated device dies).


In FIG. 13A, the second filler protective material 40 can be removed from the structure shown in FIG. 12C, and an additional protective material 48 can be provided over the elements 2 and the exposed vias 13. In FIG. 13B, the provided additional protective material 48 and a portion of the protective material 7 can be removed or planarized to form a bonding surface 49 with the vias exposed on the upper surface.



FIGS. 14A-14C illustrate another embodiment in which a mold compound 50 can be provided between adjacent elements 2 directly bonded to a carrier 3 without an adhesive. In FIG. 14A, the vias 13 are shown as being exposed on the back side, but in other embodiments, the vias 13 can be buried as illustrated above. As shown in FIG. 14B, a metal 51 (such as copper) can be provided over the mold compound 51 as shown in FIG. 14B. For example, in various embodiments, the metal 51 can be provided using an electroless plating process, a sputtering process, or any other suitable method. As shown in FIG. 14C, the metal 51 can be planarized, for example, by chemical mechanical polishing (CMP), or any other suitable method. In some embodiments, structures that utilize an organic material for the mold compound may be challenging to planarize using CMP to sufficient smoothness (e.g., less than 5 nm, etc.). By providing a metal 51 (such as copper) over the mold compound, CMP or other planarization processes can be used to planarize to sufficient smoothness for direct bonding.



FIGS. 15A-15C are generally similar to FIGS. 14A-14C, except in FIGS. 15A-15C, a second metal 52 can be provided over the carrier 3 between the carrier 3 and the mold compound 50. FIGS. 16A-16C illustrate another embodiment in which a protective coating 53 or layer (e.g., silicon oxide) can be provided between the mold compound 50 and the carrier 3. A protective coating can also be provided after die placement and before metal deposition in various embodiments. In FIG. 16A, the protective coating 53 can conformally coat the upper surface of the carrier 3 and upper and side surfaces of the elements 3. The mold compound 50 can be provided over the protective coating 53 and between the elements 2. In FIG. 16B, the metal 51 can be provided over the mold compound 50 as explained above. In FIG. 16C, the portions of the protective coating 53 that overlie the elements 2 can be removed using a polishing, grinding, or lapping process to expose the vias 13. The metal 51 and element 2 can be planarized to form a smooth surface for bonding.



FIGS. 17A-17D illustrates additional bonded structures 1 that can be provided with the methods disclosed herein. In FIG. 17A, the bonded structure 1 can include a plurality of elements 2, which can include combinations of integrated device dies and interposers. Thus, the methods disclosed herein can be used for active and/or inactive devices. Moreover, as shown in FIG. 17A, an insulating column 55 can be provided to separate the adjacent elements 2 in the upper reconstituted element. In FIG. 17B, the bonded structure 1 can include one or more redistribution layers (RDLs) 57 which can include lateral conductive routing traces to carry signals laterally inward or outward. The RDLs 57 can enable fan-in or fan-out arrangements for connecting to an external package substrate. In FIG. 17C, a conductive via 56 can be provided in the insulating column 56 to carry signals from the lower element 2 to the upper surface of the bonded structure 1. In FIG. 17D, the bonded structure 1 can include both the via 56 in the insulating column 56 and the RDL(s) 57. Skilled artisans will understand that additional combinations may be suitable.


Additional Examples of Integrated Device Packages

Integrated device packages can include one or multiple integrated device dies (e.g., chips) that have active circuitry, such as transistors and other types of active devices. The integrated device dies can be mounted to a carrier, such as a semiconductor interposer, a semiconductor or dielectric (e.g., glass) substrate, another integrated device die, a reconstituted wafer or element, etc. In various arrangements, a molding compound or encapsulant can be provided over the integrated device dies and exposed surfaces of the package substrate. The molding compound can comprise a polymer material, such as an epoxy or potting compound. The material of the molding compound can have a coefficient of thermal expansion (CTE) that differs from the CTE of the carrier and/or of the integrated device die(s). During process steps at elevated temperatures, the CTE mismatch between the molding compound and the carrier (and/or integrated device die(s)) may induce stresses in the carrier and/or integrated device die(s). For example, the stresses induced by CTE mismatch can cause cracking and/or warpage of the carrier and/or integrated device die(s), which can reduce package yield and/or affect system performance. Accordingly, there remains a continuing need for improved packages that reduce stresses due to C mismatch between molding compound and the carrier (and/or integrated device die(s)).



FIG. 18A is a schematic side sectional view of an integrated device package 82, according to various embodiments. FIG. 18B is a schematic top plan view of the integrated device package 82 of FIG. 18A. As shown in FIGS. 18A-18B, the package 82 can comprise a carrier 103 and a plurality of integrated device dies 102 mounted to an upper surface of the carrier 103. The integrated device dies 102 can comprise active circuitry. For example, the integrated device dies 102 can comprise processor die(s), memory die(s), sensor die(s), microelectromechanical systems (MEMS) dies, or any other suitable device that includes active circuitry (such as transistors or other active devices). Three integrated device dies 102 are shown in the top view of FIG. 18B, but it should be appreciated that any suitable number of device dies 102 can be provided. For example, one or two integrated device dies 102 can be mounted to the carrier 103, or more than three integrated device dies 102 can be mounted to the carrier. Further, in FIGS. 18A-18B, the integrated device dies 102 are spaced apart laterally along the carrier 103. Alternatively or additionally, integrated device dies 102 can be stacked vertically in order to reduce package footprint.


The carrier 103 can comprise any suitable support structure for the integrated device dies 102. For example, in some embodiments, the carrier 103 can comprise an interposer (such as a semiconductor interposer), a semiconductor or dielectric (e.g., glass) substrate, another integrated device die (e.g., an active chip with active electronic circuitry), a reconstituted wafer or element, etc. The carrier 103 can comprise a material (e.g., a semiconductor material, a dielectric material, etc.) having a first CTE. In various embodiments, the integrated device dies 102 can have a CTE that is substantially similar to the first CTE of the carrier 103. In some embodiments, bulk material of one or more of the dies 102 may be the same material as corresponding bulk material of the carrier 103. In various embodiments, the carrier 103 can comprise silicon, glass, or any other suitable material. In some embodiments, the carrier 103 can comprise an integrated device die (such as a processor die) that has a larger lateral footprint than the dies 102. The integrated device dies 102 can be mounted to the carrier 103 in any suitable manner. For example, the dies 102 can be directly hybrid bonded to the carrier 103 without an adhesive, as explained herein. In such embodiments, nonconductive field regions of the dies 102 can be directly bonded to corresponding nonconductive field regions of the carrier 103 without an adhesive. Moreover, conductive contacts of the dies 102 can be directly bonded to corresponding conductive contacts of the carrier 103 without an adhesive. In other embodiments, however, the dies 102 can be mounted to the carrier 103 with an adhesive. In some embodiments, the carrier 103 can remain coupled to the dies 102 such that the carrier 103 remains present in the larger electronic system. In still other embodiments, the carrier 103 can comprise a temporary structure (such as a mounting tape or sacrificial substrate) that is removed (e.g., lifted off or etched away) and not present in the final electronic package or system.


As shown in FIG. 18A, a molding compound 108 can be provided over the integrated device dies 102 and over an exposed upper surface of the carrier 103. The molding compound 108 is hidden in the top view of FIG. 18B for ease of illustration. The integrated device dies 102 can be at least partially embedded (e.g., completely embedded or buried) within the molding compound 108. As explained above, the molding compound 108 can comprise a polymer material (such as an epoxy or potting compound) that has a second CTE that is different from the first CTE of the carrier 103 (and/or of the dies 102). For example, the second CTE of the molding compound 108 can differ from the first CTE of the carrier 103 (and/or of the dies 102) by an amount that is sufficiently large so as cause CTE-induced stresses on the carrier 103 and/or dies 102 (e.g., the CTE mismatch can be up to about 12 ppm in some cases). As explained above, during process steps at elevated temperatures, the CTE mismatch between the molding compound 108 and the carrier 103 (and/or dies 102) can induce stresses that cause warpage, cracks, or other types of damage to the components of the package 82.


To reduce the effects of CTE mismatch between the molding compound 108 and the carrier 103 (and/or dies 102), the package 82 can include one or a plurality of stress compensation elements 104a-104d mounted to the upper surface of the carrier 103. As shown, the stress compensation elements 104a-104d can be disposed around the integrated device dies 102, such that the integrated device dies 102 are disposed within an interior region of the package 82 surrounded by the stress compensation elements 104a-104d. The molding compound 108 can also be provided or applied over the stress compensation elements 104a-104d, such that the stress compensation elements 104a-104d are at least partially embedded in the molding compound 108. The stress compensation elements 104a-104d can be spaced apart from one another and from the dies 102 by intervening portions of the molding compound 108. The stress compensation elements 104a-104d can comprise a semiconductor material (e.g., silicon), an insulating material (e.g., glass), or any other suitable material type that has a CTE that substantially matches (or is close to) the second CTE of the carrier 103 and/or the dies 102. In some embodiments, the stress compensation elements 104a-104d can comprise the same material as the carrier 103 and/or the dies 102. In other embodiments, the stress compensation elements 104a-104d can comprise a material that is different from that of the carrier 103 and/or the dies 102. Each stress compensation element 104a-104d can comprise the same material, or some (or all) can comprise different materials. In various embodiments, the CTE of the stress compensation elements 104a-104d can be within 10% of the second CTE of the carrier 103 and/or of the integrated device dies 102, within 5% of the second CTE, or within 1% of the second CTE. In various embodiments, the CTE of the stress compensation elements 104a-104d can be less than 10 ppm, less than 8 ppm, or less than 7 ppm. For example, the CTE of the stress compensation elements 104a-104d can be in a range of 3 ppm to 7 ppm.


Beneficially, the stress compensation elements 104a-104d can reduce the stresses imparted to the carrier 103 and/or the dies 102, since the material composition of the stress compensation elements 104a-104d is selected to have a CTE that substantially matches that of the carrier 103 and/or the dies 102. The CTE-matched stress compensation elements 104a-104d can be provided over a large area of the carrier 103 so as to serve as a stress-matched filler that compensates or reduces any stresses induced by the CTE mismatch between the molding compound 108 and the carrier 103 and/or dies 102. For example, in some embodiments, the stress compensation elements 104a-104d can be mounted so as to cover most of an unoccupied area of the carrier 103 (e.g., regions of the carrier 103 that do not support the dies 102 or other electronic components or devices), e.g., at least 20%, at least 50%, at least 75%, at least 85%, or at least 90% of the unoccupied area of the carrier 103. In some embodiments, the stress compensation elements 104a-104d can be mounted so as to cover a range of 20% to 90% of the unoccupied area of the carrier 103, a range of 35% to 90% of the unoccupied area of the carrier 103, or a range of 50% to 90% of the unoccupied area of the carrier 103. In some embodiments, as shown in the top view of FIG. 18B, the stress compensation elements 104a-104d can laterally overlap such that all lines perpendicular to opposing side edges 105a, 105b can pass through or intersect at least one stress compensation element 104a-104d. In such arrangements, the stress compensation elements 104a-104d can serve to prevent or arrest cracks from propagating through the carrier 103.


Further, as shown in the top plan view of FIG. 18B, the stress compensation elements 104a-104d can be disposed between the outer side edges 105a-105d of the package 82 and the integrated device dies 102 such that at least one lateral side edge 109 of each integrated device die 102 lies in a corresponding plane that intersects at least one stress compensation element 104a-104d. In some embodiments, a majority (or all) of the side edges 109 of the dies 102 can lie in respective planes that intersect at least one stress compensation element 104a-104d.



FIG. 18C is a schematic top plan view of an integrated device package 82, that includes increased lateral overlap among the stress compensation elements 104a-104d. Unless otherwise noted, the components of FIG. 18C may be the same as or generally similar to like-numbered components of FIGS. 18A-18B. In FIG. 18C, stress compensation element 104b is vertically (as shown in the top view) shortened, and stress compensation element 104d is horizontally (as shown in the top view) lengthened as compared to FIG. 18B. Thus, in FIG. 18C, stress compensation element 104d can extend to and be exposed along side edge 105b. In FIG. 18C, all lines perpendicular to each side edge 105a-105d can pass through or intersect with at least one stress compensation element 104a-104d, which can prevent or arrest crack propagation.


In some embodiments, the stress compensation elements 104a-104d can comprise dummy stress compensation elements that are devoid of active circuitry, e.g., there are no active devices in the dummy stress compensation elements. In such embodiments, the dummy stress compensation elements can comprise dummy pieces or blocks of a semiconductor material (such as silicon) or a dielectric material (such as glass) without any active circuitry. The use of dummy elements can provide further benefits because no circuitry or devices need be patterned or formed in the elements 104a-104d, which can reduce processing costs and complexity. However, in other embodiments, one or more of the stress compensation elements 104a-104d may comprise an active integrated device die with active circuitry or devices. The use of multiple stress compensation elements 104a-104d can beneficially enable the package assembler to pick and place the elements 104a-104d at desired locations, e.g., at locations with high susceptibility to cracking and/or in targeted spaces over the carrier 103.


As with the integrated device dies 102, the stress compensation elements 104a-104d can be mounted to the carrier 103 in any suitable manner. For example, the stress compensation elements 104a-104d can be directly bonded to the carrier 103 without an adhesive. As explained above, the stress compensation elements 104a-104d can comprise dummy stress compensation elements without active circuitry. In such embodiments, the stress compensation elements 104a-104d can comprise nonconductive field regions that are directly bonded to corresponding nonconductive field regions of the carrier 103 along a bond interface without au adhesive. Further, in some embodiments, the stress compensation elements 104a-104d may be directly bonded such that the bond interface between the elements 104a-104d and the carrier 103 includes only nonconductive-to-nonconductive direct bonds (e.g., the bond interface is devoid of conductor-to-conductor or metal-to-metal direct bonds). For example, in embodiments that utilize dummy stress compensation elements without active circuitry and/or contact pads, the stress compensation elements 104a-104d can be directly bonded to the carrier 103 utilizing only nonconductive-to-nonconductive direct bonds, e.g., a nonconductive or dielectric bonding layer of the elements 104a-104d can be directly bonded to a corresponding nonconductive or dielectric bonding layer of the carrier 103 without an adhesive. In such embodiments, the nonconductive-to-nonconductive direct bonds can comprise one type or multiple different types of nonconductive material(s) along the bond interface. Thus, in various embodiments disclosed herein, one or more elements (such as dies 102) can be hybrid direct bonded to the carrier 103 along a bond interface without an adhesive such that nonconductive field regions and conductive contact pads of the one or more elements (e.g., dies 102) are directly bonded to corresponding nonconductive field regions and conductive contacts of the carrier 103. One or more other elements (such as stress compensation elements 104a-104d) can be directly bonded to the carrier 103 without an adhesive along the bond interface such that the bond interface between the stress compensation elements 104a-104d and the carrier 103 includes only nonconductive-to-nonconductive direct bonds (e.g., directly bonded dielectric bonding layers of the elements 104a-104d and carrier 103). For example, some elements 104a-104d may not include any conductive contacts that are directly bonded to the carrier 103. The nonconductive field regions of the dies 102, stress compensation elements 104a-104d, and/or carrier 103 can comprise an inorganic dielectric material (e.g., silicon oxide). The nonconductive field regions can comprise unpatterned portions of the dies 102, stress compensation elements 104a-104d, and/or carrier 103. The bond interface can include signature indicative of direct nonconductive bonds, such as nitrogen terminated surfaces, fluorine peak(s) at the bond interface and at upper and/or lower interfaces of dielectric bonding layers of the elements.


In other embodiments, such as those in which the stress compensation elements 104a-104d comprise circuitry and contact pads, both nonconductive field regions and contact pads of the stress compensation elements 104a-104d can be directly bonded to corresponding nonconductive field regions and contact pads of the carrier 103. In still other embodiments, the stress compensation elements 104a-104d can be bonded to the carrier 103 with an adhesive. In some embodiments, the carrier 103 can be mounted to an external device, such as a system motherboard, or to another structure. In other embodiments, the carrier 103 can comprise a temporary support structure that can be removed after the molding compound 108 is applied. Four stress compensation elements 104a-104d are shown in FIG. 18B, but it should be appreciated that fewer than four, or more than four, stress compensation elements 104a-104d can be provided.


As shown in FIGS. 18A-18B, the package 82 can comprise outer side edges 105a-105d. The package 82 can be formed from a singulation process by which a larger wafer or reconstituted wafer is singulated along singulation streets S to yield a plurality of singulated packages 82. In some embodiments, singulation can comprise a sawing process, an etching process, or any other suitable process by which packages 82 can be formed from a larger wafer or reconstituted wafer. After singulation, the outer side edges 105a-105d (including, e.g., outer edges of the stress compensation elements 104a, 104c, the carrier 103, and/or the molding compound 108 as shown in FIG. 18B) can comprise singulation markings indicative of the singulation process. For example, for saw singulation processes, the singulation markings can comprise saw markings, such as striations in the singulated surface. For etch singulation processes, the singulation markings can comprise marks or microstructures indicative of the etch pathway. In the embodiment of FIG. 18C, the outer side edge 105b can include an outer edge of stress compensation element 104d, an edge of the carrier 103 and the molding compound, each of which may include markings indicative of the singulation process. It should be appreciated that the stress compensation elements 104a-104d can be positioned anywhere along the carrier 103. For example, in other embodiments, some or all of the stress compensation elements 104a-104d can be positioned laterally inset relative to the outer side edges 105a-105d of the package 82 such that the stress compensation elements 104a-104d are embedded in the molding compound 108.


In various embodiments, the singulation streets S can pass through one or more of the stress compensation elements 104a-104d such that, upon singulation, the molding compound 108 and one or more stress compensation elements 104a-104d can be exposed along one or more outer side edges 105a-105d of the package 82. For example, as shown in FIGS. 18A-18B, stress compensation elements 104a, 104c can comprise side edges 106a, 106c that are exposed at the corresponding outer side edges 105a, 105c of the package 82. In addition, side edges 107 of the molding compound 108 can be exposed along the outer side edges 105a-105d of the package 82. As shown, the exposed side edges 106a, 106c of the stress compensation elements 104a, 104c can be flush with the side edges 107 of the molding compound 108, including portions of the molding compound 108 that are over the stress compensation elements 104a, 104c and portions of the molding compound 108 that are laterally adjacent the stress compensation elements 104a, 104c. In various embodiments, some stress compensation elements 104b, 104d can be laterally inset relative to the outer side edges 105b, 105d of the package 82, such that the elements 104b, 104d may be completely embedded in the molding compound 108. In some embodiments, all of the stress compensation elements 104a-104d can be laterally inset relative to the outer side edges 105a-105d.



FIG. 19 is a schematic diagram of a system 80 incorporating one or more integrated device packages 82, according to various embodiments. The system 80 can comprise any suitable type of electronic device, such as a mobile electronic device (e.g., a smartphone, a tablet computing device, a laptop computer, etc.), a desktop computer, an automobile or components thereof, a stereo system, a medical device, a camera, or any other suitable type of system. In some embodiments, the electronic device can comprise a microprocessor, a graphics processor, an electronic recording device, or digital memory. The system 80 can include one or more device packages 82 which are mechanically and electrically connected to the system 80, e.g., by way of one or more motherboards. Each package 82 can comprise one or more integrated device dies and/or bonded structures 1. The integrated device dies and/or bonded structures can comprise any of the integrated device packages and/or bonded structures shown and described above in connection with FIGS. 1A-18B.


In one embodiment, an integrated device package is disclosed. The integrated device package can comprise a carrier an a molding compound over a portion of an upper surface of the carrier. The integrated device package can comprise an integrated device die mounted to the carrier and at least partially embedded in the molding compound, the integrated device die comprising active circuitry. The integrated device package can comprise a stress compensation element mounted to the carrier and at least partially embedded in the molding compound, the stress compensation element spaced apart from the integrated device die, the stress compensation element comprising a dummy stress compensation element devoid of active circuitry. At least one of the stress compensation element and the integrated device die can be directly bonded to the carrier without an adhesive.


In another embodiment, an integrated device package is disclosed. The integrated device package can include a molding compound and an integrated device die at least partially embedded in the molding compound, the integrated device die comprising active circuitry. The integrated device package can include a plurality of dummy stress compensation elements at least partially embedded in the molding compound, the plurality of dummy stress compensation elements devoid of active circuitry, the plurality of dummy stress compensation element spaced apart from one another by the molding compound.


In another embodiment, a method of forming an integrated device package is disclosed. The method can include providing a molding compound over an integrated device die and a plurality of dummy stress compensation elements, the plurality of dummy stress compensation elements spaced apart from one another by the molding compound. The integrated device die can comprise active circuitry. The plurality of dummy stress compensation elements can be devoid of active circuitry.


In another embodiment, an integrated device package is disclosed. The integrated device package can comprise a molding compound and an integrated device die at least partially embedded in the molding compound, the integrated device die comprising active circuitry. The integrated device package can comprise a stress compensation element at least partially embedded in the molding compound and spaced apart from the integrated device die. The molding compound and the stress compensation element can be exposed at an outer side edge of the integrated device package.


In another embodiment, an electronic component is disclosed. The electronic component can comprise a carrier having a first nonconductive field region and a first conductive contact. The electronic component can comprise a first element directly hybrid bonded to the carrier without an adhesive, a second nonconductive field region of the first element directly bonded to the first nonconductive field region of the carrier without an adhesive and a second conductive contact of the first element directly bonded to the first conductive contact of the carrier. The electronic component can comprise a second element directly bonded to the carrier without an adhesive such that only a third nonconductive field region of the second element is directly bonded to the first nonconductive field region of the carrier.


In another embodiment, an electronic component is disclosed. The electronic component can include a carrier having a first nonconductive field region and a first conductive contact. The electronic component can include a first element directly hybrid bonded to the carrier without an adhesive, a second nonconductive field region of the first element directly bonded to the first nonconductive field region of the carrier without an adhesive and a second conductive contact of the first element directly bonded to the first conductive contact of the carrier. The electronic component can include a second element directly bonded to the carrier without an adhesive, wherein the second element does not include any conductive contacts that are directly bonded to the carrier.


In one embodiment, a bonded structure is disclosed. The bonded structure can include a first reconstituted element comprising a first element and having a first side comprising a first bonding surface and a second side opposite the first side. The first reconstituted element can comprise a first protective material disposed about a first sidewall surface of the first element. The bonded structure can comprise a second reconstituted element comprising a second element and having a first side comprising a second bonding surface and a second side opposite the first side. The first reconstituted element can comprise a second protective material disposed about a second sidewall surface of the second element. The second bonding surface of the first side of the second reconstituted element can be directly bonded to the first bonding surface of the first side of the first reconstituted element without an intervening adhesive along a bonding interface. The first protective material can be flush with the first bonding surface and the second protective material can be flush with the second bonding surface.


In another embodiment, a bonded structure is disclosed. The bonded structure can include a first reconstituted element comprising a first element and having a first side and a second side opposite the first side. The bonded structure can include a second reconstituted element comprising a second element and having a first side and a second side opposite the first side, the first side of the second reconstituted element directly bonded to the first side of the first reconstituted element without an intervening adhesive along a bonding interface. The bonded structure can include a protective material disposed about respective first and second side surfaces of the first and second elements. The bonded structure can include a nonconductive layer disposed between the first and second elements, the nonconductive layer flush with at least one of the first and second side surfaces of the first and second elements such that an interface is provided between the protective material and the nonconductive layer.


In another embodiment, a bonded structure is disclosed. The bonded structure can include a first reconstituted wafer comprising a plurality of first elements. The bonded structure can comprise a second reconstituted wafer comprising a plurality of second elements. The first and second reconstituted wafers can be directly bonded to one another without an adhesive.


In another embodiment, a bonding method is disclosed. The bonding method can include applying a first protective material over a plurality of first elements to form a first reconstituted wafer. The bonding method can include applying a second protective material over a plurality of second elements to form a second reconstituted wafer. The bonding method can include directly bonding the first reconstituted wafer to the second reconstituted wafer without an adhesive.


In another embodiment, a bonding method is disclosed. The bonding method can include directly bonding a first element to a carrier without an adhesive. The carrier can comprise a silicon carrier with a silicon oxide layer disposed directly onto a surface of the silicon carrier. The silicon oxide layer can be directly bonded to the first element. The silicon oxide layer can comprise a native oxide layer or a thermal oxide layer.


All of these embodiments are intended to be within the scope of this disclosure. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of the embodiments having reference to the attached figures, the claims not being limited to any particular embodiment(s) disclosed. Although this certain embodiments and examples have been disclosed herein, it will be understood by those skilled in the art that the disclosed implementations extend beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof. In addition, while several variations have been shown and described in detail, other modifications will be readily apparent to those of skill in the art based upon this disclosure. It is also contemplated that various combinations or sub-combinations of the specific features and aspects of the embodiments may be made and still fall within the scope. It should be understood that various features and aspects of the disclosed embodiments can be combined with, or substituted for, one another in order to form varying modes of the disclosed implementations. Thus, it is intended that the scope of the subject matter herein disclosed should not be limited by the particular disclosed embodiments described above, but should be determined only by a fair reading of the claims that follow.

Claims
  • 1. An electronic component comprising: a carrier;an insulating material;an integrated device die mounted to the carrier and at least partially embedded in the insulating material, the integrated device die comprising active circuitry; anda dummy element mounted to the carrier and at least partially embedded in the insulating material, the dummy element spaced apart from the integrated device die, the dummy element devoid of active circuitry,wherein at least one of the dummy element and the integrated device die are directly bonded to the carrier without an adhesive along a bonding interface, the at least one of the dummy element and the integrated device die having a planarized bonding surface along the bonding interface,wherein the carrier has a larger lateral area than the integrated device die, andwherein the dummy element is laterally inset from an outer side edge of the electronic component, a portion of the insulating material disposed between the outer side edge and the dummy element.
  • 2. The electronic component of claim 1, wherein the carrier comprises a second integrated device die.
  • 3. The electronic component of claim 1, wherein the carrier comprises a substrate.
  • 4. The electronic component of claim 1, wherein a coefficient of thermal expansion (CTE) of the dummy element is less than about 7 ppm.
  • 5. The electronic component of claim 1, wherein the insulating material is exposed at the outer side edge of the electronic component.
  • 6. The electronic component of claim 5, further comprising singulation markings along side edges of the insulating material, the singulation markings indicative of a singulation process.
  • 7. The electronic component of claim 6, wherein the singulation markings comprise saw markings.
  • 8. The electronic component of claim 1, wherein the dummy element is directly bonded to the carrier without an intervening adhesive.
  • 9. The electronic component of claim 1, further comprising a plurality of dummy elements at least partially embedded in the insulating material, the plurality of dummy elements devoid of active circuitry.
  • 10. The electronic component of claim 9, wherein at least one dummy element of the plurality of dummy elements comprises a stress compensation element.
  • 11. The electronic component of claim 1, wherein, as viewed from a top plan view, the dummy element is disposed between the outer side edge of the electronic component and the integrated device die such that at least one lateral side edge of the integrated device die lies in a plane that intersects the dummy element.
  • 12. The electronic component of claim 1, wherein the integrated device die is at least partially embedded in the insulating material.
  • 13. The electronic component of claim 1, wherein the insulating material comprises a molding compound.
  • 14. The electronic component of claim 1, wherein the at least one of the dummy element and the integrated device die has an activated bonding surface.
  • 15. An electronic component comprising: a carrier;an insulating material;an integrated device die mounted to the carrier and at least partially embedded in the insulating material, the integrated device die comprising active circuitry; anda plurality of dummy elements at least partially embedded in the insulating material, the plurality of dummy elements devoid of active circuitry, the plurality of dummy elements spaced apart from one another by at least the insulating material, the plurality of dummy elements directly bonded to the carrier along a bonding interface without an intervening adhesive, each dummy element of the plurality of dummy elements having a planarized bonding surface along the bonding interface,wherein at least one dummy element of the plurality of dummy elements is laterally inset from an outer side edge of the electronic component, a portion of the insulating material disposed between the outer side edge and the at least one dummy element.
  • 16. The electronic component of claim 15, wherein the insulating material is exposed at the outer side edge of the electronic component.
  • 17. The electronic component of claim 16, further comprising singulation markings along exposed side edges of the insulating material and the at least one dummy element, the singulation markings indicative of a singulation process.
  • 18. The electronic component of claim 15, wherein at least one dummy element of the plurality of dummy elements comprises a stress compensation element.
  • 19. The electronic component of claim 15, wherein the plurality of dummy elements are disposed around the integrated device die.
  • 20. The electronic component of claim 15, wherein the carrier comprises active circuitry.
  • 21. The electronic component of claim 15, wherein each dummy element of the plurality of dummy elements has an activated bonding surface.
  • 22. An electronic component comprising: a carrier having a first nonconductive field region and a first conductive contact;a first element directly hybrid bonded to the carrier without an adhesive, a second nonconductive field region of the first element directly bonded to the first nonconductive field region of the carrier without an adhesive along a bonding interface such that the first nonconductive field region contacts the second nonconductive field region and a second conductive contact of the first element directly bonded to the first conductive contact of the carrier, the first element having a planarized bonding surface along the bonding interface; anda second element directly bonded to the carrier along the bonding interface without an adhesive such that only a third nonconductive field region of the second element is directly bonded to the first nonconductive field region of the carrier and such that the third nonconductive field region contacts the first nonconductive field region, the second element having a planarized bonding surface along the bonding interface.
  • 23. The electronic component of claim 22, wherein the first element comprises an integrated device die and the second element comprises a dummy element devoid of active circuitry.
  • 24. The electronic component of claim 23, further comprising an insulating material, the first and second elements at least partially embedded in the insulating material.
  • 25. The electronic component of claim 24, wherein the insulating material is exposed at an outer side edge of the electronic component.
  • 26. The electronic component of claim 22, wherein the third nonconductive field region comprises an unpatterned insulating layer.
  • 27. The electronic component of claim 22, wherein each of the first and second elements has an activated bonding surface.
  • 28. An electronic component comprising: a carrier having a first nonconductive field region and a first plurality of conductive contacts;a first element directly hybrid bonded to the carrier without an adhesive, a second nonconductive field region of the first element directly bonded to the first nonconductive field region of the carrier without an adhesive along a bonding interface such that the first nonconductive field region contacts the second nonconductive field region and a second plurality of conductive contacts of the first element are directly bonded to the first plurality of conductive contacts of the carrier without an adhesive, the first element having a planarized bonding surface along the bonding interface; anda second element directly bonded to the carrier without an adhesive such that the second element contacts the carrier, wherein the second element does not include any conductive contacts that are directly bonded to the carrier, the second element having a planarized bonding surface along the bonding interface.
  • 29. The electronic component of claim 28, wherein the first element comprises an integrated device die and the second element comprises a dummy element devoid of active circuitry.
  • 30. The electronic component of claim 28, further comprising an insulating material over the carrier, the first and second elements at least partially embedded in the insulating material.
  • 31. The electronic component of claim 28, wherein each of the first and second elements has an activated bonding surface.
US Referenced Citations (377)
Number Name Date Kind
4998665 Hayashi Mar 1991 A
5019673 Juskey et al. May 1991 A
5051802 Prost et al. Sep 1991 A
5087585 Hayashi Feb 1992 A
5322593 Hasegawa et al. Jun 1994 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
5854507 Miremadi et al. Dec 1998 A
5956605 Akram et al. Sep 1999 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6008126 Leedy Dec 1999 A
6080640 Gardner et al. Jun 2000 A
6121688 Akagawa Sep 2000 A
6265775 Seyyedy Jul 2001 B1
6374770 Lee Apr 2002 B1
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6582991 Maeda et al. Jun 2003 B1
6768208 Lin et al. Jul 2004 B2
6782610 Iijima et al. Aug 2004 B1
6887769 Kellar et al. May 2005 B2
6908027 Tolchinsky et al. Jun 2005 B2
7045453 Canaperi et al. May 2006 B2
7078811 Suga Jul 2006 B2
7105980 Abbott et al. Sep 2006 B2
7126212 Enquist et al. Oct 2006 B2
7193423 Dalton et al. Mar 2007 B1
7319197 Oggioni et al. Jan 2008 B2
7354798 Pogge et al. Apr 2008 B2
7554203 Zhou et al. Jun 2009 B2
7582971 Kameyama et al. Sep 2009 B2
7589409 Gibson et al. Sep 2009 B2
7663231 Chang et al. Feb 2010 B2
7750488 Patti et al. Jul 2010 B2
7759751 Ono Jul 2010 B2
7786572 Chen Aug 2010 B2
7803693 Trezza Sep 2010 B2
7977789 Park Jul 2011 B2
8049303 Osaka et al. Nov 2011 B2
8064224 Mahajan et al. Nov 2011 B2
8168458 Do et al. May 2012 B2
8178963 Yang May 2012 B2
8178964 Yang May 2012 B2
8183127 Patti et al. May 2012 B2
8193632 Chang et al. Jun 2012 B2
8227904 Braunisch et al. Jul 2012 B2
8241961 Kim et al. Aug 2012 B2
8263434 Pagaila et al. Sep 2012 B2
8314007 Vaufredaz Nov 2012 B2
8349635 Gan et al. Jan 2013 B1
8377798 Peng et al. Feb 2013 B2
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8519514 Fujii Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8691601 Izuha Apr 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8791575 Oganesian et al. Jul 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8878353 Haba et al. Nov 2014 B2
8901748 Manusharow et al. Dec 2014 B2
8912670 Teh et al. Dec 2014 B2
8975726 Chen et al. Mar 2015 B2
8987137 Bachman et al. Mar 2015 B2
8988299 Kam et al. Mar 2015 B2
9093350 Endo et al. Jul 2015 B2
9126236 Roos et al. Sep 2015 B2
9136293 Yee et al. Sep 2015 B2
9142517 Liu et al. Sep 2015 B2
9153552 Teh et al. Oct 2015 B2
9159690 Chiu Oct 2015 B2
9171756 Enquist et al. Oct 2015 B2
9171816 Teh et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9190380 Teh et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9252172 Chow et al. Feb 2016 B2
9257399 Kuang et al. Feb 2016 B2
9269701 Starkston et al. Feb 2016 B2
9275971 Chiu et al. Mar 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9349703 Chiu et al. May 2016 B2
9355997 Katkar et al. May 2016 B2
9368866 Yu Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9443824 We et al. Sep 2016 B1
9461007 Chun et al. Oct 2016 B2
9466586 Choi et al. Oct 2016 B1
9476898 Takano Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9601353 Huang et al. Mar 2017 B2
9620481 Edelstein et al. Apr 2017 B2
9627365 Yu et al. Apr 2017 B1
9656852 Cheng et al. May 2017 B2
9666502 Chen et al. May 2017 B2
9666559 Wang et al. May 2017 B2
9704827 Huang et al. Jul 2017 B2
9722098 Chung et al. Aug 2017 B1
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
9966360 Yu et al. May 2018 B2
10008844 Wang et al. Jun 2018 B2
10026605 Doub et al. Jul 2018 B2
10032722 Yu et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269756 Uzoh Apr 2019 B2
10269853 Katkar et al. Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10418277 Cheng et al. Sep 2019 B2
10446456 Shen et al. Oct 2019 B2
10559507 Saketi et al. Feb 2020 B1
10707087 Uzoh et al. Jul 2020 B2
10727204 Agarwal et al. Jul 2020 B2
10727219 Uzoh et al. Jul 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10840135 Uzoh Nov 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10854578 Morein Dec 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10886177 DeLaCruz et al. Jan 2021 B2
10892246 Uzoh Jan 2021 B2
10923413 DeLaCruz Feb 2021 B2
10950547 Mohammed et al. Mar 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10985133 Uzoh Apr 2021 B2
10991804 DeLaCruz et al. Apr 2021 B2
10998292 Lee et al. May 2021 B2
11011503 Wang et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11056390 Uzoh et al. Jul 2021 B2
11069734 Katkar Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11127738 DeLaCruz et al. Sep 2021 B2
11145626 Hwang et al. Oct 2021 B2
11158606 Gao et al. Oct 2021 B2
11171117 Gao et al. Nov 2021 B2
11176450 Teig et al. Nov 2021 B2
11256004 Haba et al. Feb 2022 B2
11264357 DeLaCruz et al. Mar 2022 B1
11276676 Enquist et al. Mar 2022 B2
11329034 Tao et al. May 2022 B2
11348898 DeLaCruz et al. May 2022 B2
11355443 Huang et al. Jun 2022 B2
11387214 Wang et al. Jul 2022 B2
11462419 Haba Oct 2022 B2
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020004288 Nishiyama Jan 2002 A1
20020074668 Hofstee et al. Jun 2002 A1
20040084414 Sakai et al. May 2004 A1
20040238927 Miyazawa Dec 2004 A1
20050040530 Shi Feb 2005 A1
20050153522 Hwang et al. Jul 2005 A1
20050218518 Jiang et al. Oct 2005 A1
20060057945 Hsu et al. Mar 2006 A1
20060087042 Kameyama et al. Apr 2006 A1
20060278331 Dugas et al. Dec 2006 A1
20070080442 Meyer-Berg Apr 2007 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20070158024 Addison et al. Jul 2007 A1
20070158827 Schuster Jul 2007 A1
20070222048 Huang Sep 2007 A1
20070295456 Gudeman et al. Dec 2007 A1
20080079105 Chang et al. Apr 2008 A1
20080231311 Condorelli et al. Sep 2008 A1
20080265421 Brunnbauer et al. Oct 2008 A1
20080308928 Chang Dec 2008 A1
20090068831 Enquist et al. Mar 2009 A1
20090149023 Koyanagi Jun 2009 A1
20090227089 Plaut et al. Sep 2009 A1
20090252939 Park et al. Oct 2009 A1
20090283898 Janzen et al. Nov 2009 A1
20090321939 Chandrasekaran Dec 2009 A1
20100123268 Menard May 2010 A1
20100167534 Iwata Jul 2010 A1
20100327424 Braunisch et al. Dec 2010 A1
20110074033 Kaltalioglu et al. Mar 2011 A1
20110290552 Palmateer et al. Dec 2011 A1
20120074585 Koo et al. Mar 2012 A1
20120187516 Sato Jul 2012 A1
20120194719 Churchwell et al. Aug 2012 A1
20120199960 Cosue et al. Aug 2012 A1
20120212384 Kam et al. Aug 2012 A1
20130037962 Xue Feb 2013 A1
20130122655 Yu et al. May 2013 A1
20130265733 Herbsommer et al. Oct 2013 A1
20130299997 Sadaka Nov 2013 A1
20140013606 Nah et al. Jan 2014 A1
20140154839 Ahn et al. Jun 2014 A1
20140175655 Chen et al. Jun 2014 A1
20140225795 Yu Aug 2014 A1
20140299981 Goh et al. Oct 2014 A1
20140312511 Nakamura Oct 2014 A1
20140370658 Tong et al. Dec 2014 A1
20150021754 Lin et al. Jan 2015 A1
20150064498 Tong Mar 2015 A1
20150102468 Kang et al. Apr 2015 A1
20150171050 Chen et al. Jun 2015 A1
20150179481 Lin Jun 2015 A1
20150194406 Teh et al. Jul 2015 A1
20150262845 Hwang Sep 2015 A1
20150340285 Enquest et al. Nov 2015 A1
20160126634 Liu May 2016 A1
20160163650 Gao et al. Jun 2016 A1
20160260684 Zhai et al. Sep 2016 A1
20160300813 Zhai et al. Oct 2016 A1
20160300817 Do et al. Oct 2016 A1
20160322330 Lin et al. Nov 2016 A1
20160329284 We et al. Nov 2016 A1
20160343682 Kawasaki Nov 2016 A1
20160343685 Lin et al. Nov 2016 A1
20170062366 Enquist Mar 2017 A1
20170062383 Yee et al. Mar 2017 A1
20170179078 Yu et al. Apr 2017 A1
20170125379 Chen et al. May 2017 A1
20170148764 Wang et al. May 2017 A1
20170179029 Enquist et al. Jun 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170200711 Uzoh et al. Jul 2017 A1
20170338214 Uzoh et al. Nov 2017 A1
20170365580 Shih et al. Dec 2017 A1
20180005984 Yu et al. Jan 2018 A1
20180005992 Yu et al. Jan 2018 A1
20180012787 Oka et al. Jan 2018 A1
20180026008 Jeng et al. Jan 2018 A1
20180053746 Yu et al. Feb 2018 A1
20180096931 Huang et al. Apr 2018 A1
20180122774 Huang et al. May 2018 A1
20180130769 Tan et al. May 2018 A1
20180138101 Yu May 2018 A1
20180174995 Wang et al. Jun 2018 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180191047 Huang et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180226375 Enquist et al. Aug 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180286805 Huang et al. Oct 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20180366437 Chen et al. Dec 2018 A1
20180366442 Gu et al. Dec 2018 A1
20180366446 Haba et al. Dec 2018 A1
20190096741 Uzoh et al. Mar 2019 A1
20190096842 Fountain, Jr. et al. Mar 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190221548 Huang et al. Jul 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190333871 Chen et al. Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190371763 Agarwal et al. Dec 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20190385981 Chen et al. Dec 2019 A1
20200006309 Chen et al. Jan 2020 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200058617 Wu et al. Feb 2020 A1
20200075520 Gao et al. Mar 2020 A1
20200075534 Gao et al. Mar 2020 A1
20200075553 DeLaCruz et al. Mar 2020 A1
20200118973 Wang et al. Apr 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200135684 Kim et al. Apr 2020 A1
20200176419 Dabral et al. Jun 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200294920 Hariri et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395300 Xie et al. Dec 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200403006 DeLaCruz et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210020577 Hu Jan 2021 A1
20210028080 Pietambaram et al. Jan 2021 A1
20210057352 Agarwal et al. Feb 2021 A1
20210066219 Chen et al. Mar 2021 A1
20210082797 Lee et al. Mar 2021 A1
20210082822 Aleksov et al. Mar 2021 A1
20210082825 Strong et al. Mar 2021 A1
20210098412 Haba et al. Apr 2021 A1
20210098421 Wu et al. Apr 2021 A1
20210111125 Chen et al. Apr 2021 A1
20210118864 DeLaCruz et al. Apr 2021 A1
20210125933 Chen et al. Apr 2021 A1
20210125965 Lu Apr 2021 A1
20210134724 Rubin et al. May 2021 A1
20210143125 DeLaCruz et al. May 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210183847 Uzoh et al. Jun 2021 A1
20210193603 Katkar et al. Jun 2021 A1
20210193624 DeLaCruz et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210202396 Wu et al. Jul 2021 A1
20210225780 Wu et al. Jul 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210280507 Aldrete et al. Sep 2021 A1
20210280517 May et al. Sep 2021 A1
20210280522 Liu Sep 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305122 Lai et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210335726 Wu et al. Oct 2021 A1
20210366820 Uzoh Nov 2021 A1
20210366970 Katkar Nov 2021 A1
20210375708 Kuo et al. Dec 2021 A1
20210375737 Lin Dec 2021 A1
20210384133 Ong et al. Dec 2021 A1
20210384135 Kuan et al. Dec 2021 A1
20210391271 Hsu et al. Dec 2021 A1
20210391272 Tsai et al. Dec 2021 A1
20210391283 Hsu et al. Dec 2021 A1
20210391284 Hsu et al. Dec 2021 A1
20220005787 Han et al. Jan 2022 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220122934 Haba Apr 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
20220278084 Ong et al. Sep 2022 A1
20220285303 Mirkarimi et al. Sep 2022 A1
20220319901 Suwito et al. Oct 2022 A1
20220320035 Uzoh et al. Oct 2022 A1
20220320036 Gao et al. Oct 2022 A1
Foreign Referenced Citations (24)
Number Date Country
103681646 Mar 2014 CN
1011133 Jun 2000 EP
2 685 491 Jan 2014 EP
04-337694 Nov 1992 JP
2000-100679 Apr 2000 JP
2001-102479 Apr 2001 JP
2001-284520 Oct 2001 JP
2002-353416 Dec 2002 JP
2002-359345 Dec 2002 JP
2004-193493 Jul 2004 JP
2008-130603 Jun 2008 JP
2011-171614 Sep 2011 JP
2013-33786 Feb 2013 JP
2018-160519 Oct 2018 JP
10-2001-0104643 Nov 2001 KR
10-2010-0123755 Nov 2010 KR
10-2015-0097798 Aug 2015 KR
WO 2005043584 May 2005 WO
WO 2006100444 Sep 2006 WO
WO 2008112101 Sep 2008 WO
WO 2010024678 Mar 2010 WO
WO 2017034654 Mar 2017 WO
WO 2017052652 Mar 2017 WO
WO 2017151442 Sep 2017 WO
Non-Patent Literature Citations (69)
Entry
International Search Report and Written Opinion dated Oct. 22, 2021, issued in International Application No. PCT/US2021/038696, 10 pages.
Braunisch, H. et al., “High-speed performance of silicon bridge die-to-die interconnects,” 2011 IEEE, pp. 95-98.
NASA SBIR/STTR Technologies, Proposal No. 09-1 S5.05-9060—Reliable Direct Bond Copper Ceramic Packages for High Temperature Power Electronics, Contract No. NNX10CE23P, PI: Ender Savrun, PhD, Sienna Technologies, Inc.—Woodinville, WA, 1 page.
“Photo Etching DBC for Power Circuits—Direct Bond Copper (DBG) on Ceramic Used for Power Circuits,” Conard Corporation, 2021, downloaded Nov. 9, 2021, https://www.conardcorp.com/photo-etching-dbc-for-power-circuits/, 2 pages.
Urteaga, M. et al., “THz bandwidth InP HBT technologies and heterogeneous integration with Si CMOS,” 2016 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), 2016, pp. 35-41, doi: 10.1109/BCTM.2016.7738973.
Amirfeiz et al., “Formation of silicon structures by plasma-activated wafer bonding,” Journal of The Electrochemical Society, 2000, vol. 147, No. 7, pp. 2693-2698.
Chung et al., “Room temperature GaAseu +Si and InPeu +Si wafer direct bonding by the surface activate bonding method,” Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, Jan. 2, 1997, vol. 121, Issues 1-4, pp. 203-206.
Chung et al., “Wafer direct bonding of compound semiconductors and silicon at room temperature by the surface activated bonding method,” Applied Surface Science, Jun. 2, 1997, vols. 117-118, pp. 808-812.
Farrens et al., “Chemical free room temperature wafer to wafer direct bonding,” J. Electrochem. Soc., The Electrochemical Society, Inc., Nov. 1995, vol. 142, No. 11. pp. 3949-3955.
Farrens et al., “Chemical free wafer bonding of silicon to glass and sapphire,” Electrochemical Society Proceedings vol. 95-7, 1995, pp. 72-77.
Fukushima, T. et al., “New three-dimensional integration technology using self-assembly technique,” International Electron Devices Meeting 5—Jul. 12, 2005, IEEE, Dec. 5, 2005, pp. 348-351.
Gösele et al., “Semiconductor Wafer Bonding: A flexible approach to materials combinations in microelectronics; micromechanics and optoelectronics,” IEEE, 1997, pp. 23-32.
Hosoda et al., “Effect of the surface treatment on the room-temperature bonding of Al to Si and SiO2,” Journal of Materials Science, Jan. 1, 1998, vol. 33, Issue 1, pp. 253-258.
Hosoda et al., “Room temperature GaAs—Si and InP—Si wafer direct bonding by the surface activated bonding method,” Nuclear Inst. And Methods in Physics Research B, 1997, vol. 121, Nos. 1-4, pp. 203-206.
Howlader et al., “A novel method for bonding of ionic wafers,” Electronics Components and Technology Conference, 2006, IEEE, pp. 7-pp.
Howlader et al., “Bonding of p-Si/n-InP wafers through surface activated bonding method at room temperature,” Indium Phosphide and Related Materials, 2001, IEEE International Conference On, pp. 272-275.
Howlader et al., “Characterization of the bonding strength and interface current of p-Si/ n-InP wafers bonded by surface activated bonding method at room temperature,” Journal of Applied Physics, Mar. 1, 2002, vol. 91, No. 5, pp. 3062-3066.
Howlader et al., “Investigation of the bonding strength and interface current of p-SionGaAs wafers bonded by surface activated bonding at room temperature,” J. Vac. Sci. Technol. B 19, Nov./Dec. 2001, pp. 2114-2118.
International Search Report and Written Opinion dated Apr. 17, 2017, issued in International Application No. PCT/US2016/068577, 16 pages.
International Search Report and Written Opinion dated Sep. 22, 2017, issued in International Application No. PCT/US2017/029187, 20 pages.
International Search Report and Written Opinion dated Mar. 7, 2019, in International Application No. PCT/US2018/060044, 14 pages.
International Search Report and Written Opinion dated Apr. 22, 2019 in International Application No. PCT/US2018/064982, 13 pages.
International Search Report and Written Opinion dated Oct. 25, 2019, issued in International Application No. PCT/US2019/040622, 12 pages.
Itoh et al., “Characteristics of fritting contacts utilized for micromachined wafer probe cards,” 2000 American Institute of Physics, AIP Review of Scientific Instruments, vol. 71, 2000, pp. 2224.
Itoh et al., “Characteristics of low force contact process for MEMS probe cards,” Sensors and Actuators A: Physical, Apr. 1, 2002, vols. 97-98, pp. 462-467.
Itoh et al., “Development of MEMS IC probe card utilizing fritting contact,” Initiatives of Precision Engineering at the Beginning of a Millennium: 10th International Conference on Precision Engineering (ICPE) Jul. 18-20, 2001, Yokohama, Japan, 2002, Book Part 1, pp. 314-318.
Itoh et al., “Room temperature vacuum sealing using surface activated bonding method,” The 12th International Conference on Solid State Sensors, Actuators and Microsystems, Boston, Jun. 8-12, 2003, 2003 IEEE, pp. 1828-1831.
Ker, Ming-Dou et al., “Fully process-compatible layout design on bond pad to improve wire bond reliability in CMOS lcs,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Kim et al., “Low temperature direct Cu—Cu bonding with low energy ion activation method,” Electronic Materials and Packaging, 2001, IEEE, pp. 193-195.
Kim et al., “Room temperature Cu—Cu direct bonding using surface activated bonding method,” J. Vac. Sci. Technol., 2003 American Vacuum Society, Mar./Apr. 2003, vol. 21, No. 2, pp. 449-453.
Kim et al., “Wafer-scale activated bonding of Cu—CU, Cu—Si, and Cu—SiO2 at low temperature,” Proceedings—Electrochemical Society, 2003, vol. 19, pp. 239-247.
Matsuzawa et al., “Room-temperature interconnection of electroplated Au microbump by means of surface activated bonding method,” Electornic Components and Technology Confererence, 2001, 51st Proceedings, IEEE, pp. 384-387.
Moriceau, H. et al., “Overview of recent direct wafer bonding advances and applications,” Advances in Natural Sciences—Nanoscience and Nanotechnology, 2010, 11 pages.
Nakanishi, H. et al., “Studies on SiO2—SiO2 bonding with hydrofluoric acid. Room temperature and low stress bonding technique for MEMS,” Sensors and Actuators, 2000, vol. 79, pp. 237-244.
Oberhammer, J. et al., “Sealing of adhesive bonded devices on wafer level,” Sensors and Actuators A, 2004, vol. 110, No. 1-3, pp. 407-412, see pp. 407-412, and Figures 1(a)-1(I), 6 pages.
Onodera et al., “The effect of prebonding heat treatment on the separability of Au wire from Ag-plated Cu alloy substrate,” Electronics Packaging Manufacturing, IEEE Transactions, Jan. 2002, vol. 25, Issue 1, pp. 5-12.
Plobi, A. et al., “Wafer direct bonding: tailoring adhesion between brittle materials,” Materials Science and Engineering Review Journal, 1999, R25, 88 pages.
Reiche et al., “The effect of a plasma pretreatment on the Si/Si bonding behaviouir,” Electrochemical Society Proceedings, 1998, vol. 97-36, pp. 437-444.
Roberds et al., “Low temperature , in situ, plasma activated wafer bonding,” Electrochecmical Society Proceedings, 1997, vol. 97-36, pp. 598-606.
Shigetou et al., “Room temperature bonding of ultra-fine pitch and low-profiled Cu electrodes for bump-less interconnect,” 2003 Electronic Components and Technology Conference, pp. 848-852.
Shigetou et al., “Room-temperature direct bonding of CMP-Cu film for bumpless interconnection,” Electronic Components and Technology Confererence, 51st Proceedings, 2001, IEEE, pp. 755-760.
Shingo et al., “Design and fabrication of an electrostatically actuated MEMS probe card,” TRANDUCERS, Solid-State Sensors, Actuators and Microsystems, 12th International Conference, Jun. 8-12, 2003, vol. 2, pp. 1522-1525.
Suga et al., “A new approach to Cu—Cu direct bump bonding,” IEMT/IMC Symposium, 1997, Joint International Electronic Manufacturing Symposium and the International Microelectronics Conference, Apr. 16-18, 1997, IEEE, pp. 146-151.
Suga et al., “A new bumping process using lead-free solder paste,” Electronics Packaging Manufacturing, IEEE Transactions on (vol. 25, Issue 4), IEEE, Oct. 2002, pp. 253-256.
Suga et al., “A new wafer-bonder of ultra-high precision using surface activated bonding (SAB) concept,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1013-1018.
Suga et al., “Bump-less interconnect for next generation system packaging,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1003-1008.
Suga, T., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—A new concept of bump-less direct bonding for system level packaging,” The University of Tokyo, Research Center for Science and Technology, 2000 Electronic Components and Technology Conference, 2000 IEEE, pp. 702-705.
Suga, T., “Room-temperature bonding on metals and ceramics,” Proceedings of the Second International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, The Electrochemical Society Proceedings, vol. 93-29 (1993), pp. 71-80.
Suga et al., “Surface activated bonding—an approach to joining at room temperature,” Ceramic Transactions: Structural Ceramics Joining II, The American Ceramic Society, 1993, pp. 323-331.
Suga et al., “Surface activated bonding for new flip chip and bumpless interconnect systems,” Electronic Components and Technology Conference, 2002, IEEE, pp. 105-111.
Suga, “UHV room temperature joining by the surface activated bonding method,” Advances in science and technology, Techna, Faenza, Italie, 1999, pp. C1079-C1089.
Takagi et al., “Effect of surface roughness on room-temperature wafer bonding by Ar beam surface activation,” Japanese Journal of Applied Physics, 1998, vol. 37, Part 1, No. 1, pp. 4197.
Takagi et al., “Low temperature direct bonding of silicon and silicon dioxide by the surface activation method,” Solid State Sensors and Actuators, 1997, TRANSDUCERS '97 Chicago, 1997 International Conference, vol. 1, pp. 657-660.
Takagi et al., “Room-temperature bonding of lithium niobate and silicon wafers by argon-beam surface activation,” Appl. Phys. Lett., 1999. vol. 74, pp. 2387.
Takagi et al., “Room temperature silicon wafer direct bonding in vacuum by Ar beam irradiation,” Micro Electro Mehcanical Systems, MEMS '97 Proceedings, 1997, IEEE, pp. 191-196.
Takagi et al., “Room-temperature wafer bonding of Si to LiNbO3, LiTaO3 and Gd3Ga5O12 by Ar-beam surface activation,” Journal of Micromechanics and Microengineering, 2001, vol. 11, No. 4, pp. 348.
Takagi et al., “Room-temperature wafer bonding of silicon and lithium niobate by means of arbon-beam surface activation,” Integrated Ferroelectrics: An International Journal, 2002, vol. 50, Issue 1, pp. 53-59.
Takagi et al., “Surface activated bonding silicon wafers at room temperature,” Appl. Phys. Lett. 68, 2222 (1996).
Takagi et al., “Wafer-scale room-temperature bonding between silicon and ceramic wafers by means of argon-beam surface activation,” Micro Electro Mechanical Systems, 2001, MEMS 2001, The 14th IEEE International Conference, Jan. 25, 2001, IEEE, pp. 60-63.
Takagi et al., “Wafer-scale spontaneous bonding of silicon wafers by argon-beam surface activation at room temperature,” Sensors and Actuators A: Physical, Jun. 15, 2003, vol. 105, Issue 1, pp. 98-102.
Tong et al., “Low temperature wafer direct bonding,” Journal of Microelectomechanical systems, Mar. 1994, vol. 3, No. 1, pp. 29-35.
Topol et al., “Enabling technologies for wafer-level bonding of 3D MEMS and integrated circuit structures,” 2004 Electronics Components and Technology Conference, 2004 IEEE, pp. 931-938.
Uhrmann, T. et al., “Heterogeneous integration by collective die-to-wafer bonding,” Chip Scale Review, Nov./Dec. 2018, vol. 22, No. 6, pp. 10-12.
Wang et al., “Reliability and microstructure of Au—Al and Au—Cu direct bonding fabricated by the Surface Activated Bonding,” Electronic Components and Technology Conference, 2002, IEEE, pp. 915-919.
Wang et al., “Reliability of Au bump—Cu direct interconnections fabricated by means of surface activated bonding method,” Microelectronics Reliability, May 2003, vol. 43, Issue 5, pp. 751-756.
Weldon et al., “Physics and chemistry of silicon wafer bonding investigated by infrared absorption spectroscopy,” Journal of Vacuum Science & Technology B, Jul./Aug. 1996, vol. 14, No. 4, pp. 3095-3106.
Xu et al., “New Au—Al interconnect technology and its reliability by surface activated bonding,” Electronic Packaging Technology Proceedings, Oct. 28-30, 2003, Shanghai, China, pp. 479-483.
Ceramic Microstructures: Control at the Atomic Level, Recent Progress in Surface Activated Bonding, 1998, pp. 385-389.
Frumusanu, Andrei, “TSMC's version of EMIB is ‘LSI’: Currently in pre-qualification,” AnaandTech, https://www.anandtech.com/show/16031/tsmcs-version-of-emib-lsi-3dfabric, Aug. 25, 2020, 6 pages.
Related Publications (1)
Number Date Country
20210407941 A1 Dec 2021 US