Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Abstract
A method of depositing a metal film on a substrate includes a supercritical preclean step, a supercritical desorb step, and a metal deposition step. Preferably, the preclean step comprises maintaining supercritical carbon dioxide and a chelating agent in contact with the substrate in order to remove an oxide layer from a metal surface of the substrate. More preferably, the preclean step comprises maintaining the supercritical carbon dioxide, the chelating agent, and an acid in contact with the substrate. Alternatively, the preclean step comprises maintaining the supercritical carbon dioxide and an amine in contact with the oxide layer. The desorb step comprises maintaining supercritical carbon dioxide in contact with the substrate in order to remove adsorbed material from the substrate. The metal deposition step then deposits the metal film on the substrate without exposing the substrate to an oxidizing material which oxidizes the metal surface of the precleaned substrate and without exposing the substrate to a nonvolatile adsorbing material which adsorbs to the substrate. An apparatus for depositing the metal film on a substrate includes a transfer module, a supercritical processing module, a vacuum module, and a metal deposition module. The supercritical processing module is coupled to the transfer module. The vacuum module couples the metal deposition module to the transfer module. In operation, the apparatus for depositing the metal film performs the supercritical preclean step, the supercritical desorb step, and the metal deposition step.
Description
FIELD OF THE INVENTION

This invention relates to the field of deposition of films. More particularly, this invention relates to the field of deposition of films onto a substrate where a prior processing step includes desorbing or precleaning of the substrate.


BACKGROUND OF THE INVENTION

Deposition of a metal film in semiconductor processing often requires desorb and preclean steps prior to the deposition of the metal film. The desorb and preclean steps assure good adhesion of the metal film to a substrate and also provides better contact resistance between a substrate metal and the metal film.


In the prior art, the desorb step, the preclean step, and the deposition of the metal film take place within a cluster tool so that the substrate is not exposed to atmosphere between the desorb or preclean steps and the deposition of the metal film.


The desorb step of the prior art heats the substrate under vacuum in order to degas the substrate. By heating the substrate under vacuum, material adsorbed to the surface of the substrate or absorbed within the substrate are removed from the substrate. Typical process conditions include vacuum of 10−3 Torr or higher vacuum, and temperature within the range of 200 and 400° C. Generally, higher temperatures are employed in order to minimize process times, which for the higher temperatures are generally within the range of 30 and 60 s.


The preclean step of the prior art exposes the substrate to ion bombardment in what is often referred to as a sputter-etch preclean. In the sputter-etch preclean, argon ions, hydrogen ions, helium ions, or some combination thereof, and electrons form a plasma, which bombards a surface of the substrate to sputter away a thin layer of material. Typically, in the semiconductor processing, an etching step precedes the deposition of the metal film. The etching step forms trenches and via holes in the substrate to an underlying metal layer. After the etching step, an oxide forms on an exposed surface of the underlying metal layer at the via holes due to exposure of the substrate to atmosphere. The sputter-etch preclean attempts to etch away the oxide and any remaining residue after ashing and wet cleaning. The sputter-etch preclean typically requires a vacuum of 10−3 Torr or higher vacuum.


A particular metal deposition process of the prior art deposits a barrier metal layer and a copper seed layer onto a semiconductor substrate forming contacts with an underlying copper layer at the via holes. In the etching step, the via holes are formed through silicon dioxide and silicon nitride layers to the underlying copper layer. In another etching step of the prior art, the via holes are formed in low-k dielectric materials such as a polymer-based materials and fluorine or carbon containing oxides. After the etching step and before the deposition of the metal film, a plasma ashing step and a wet cleaning step substantially remove photoresist, photoresist residue, and etch residue leaving material adsorbed to the surface of the substrate and leaving a thin copper oxide layer on the underlying copper layer at the via holes. The desorb step of the prior art removes the material adsorbed to the surface of the substrate. The sputter-etch preclean removes the copper oxide layer within the via holes and removes an exposed layer of the substrate surrounding the via holes. The barrier metal is then deposited followed by the copper seed layer. Subsequently, an electroplating step deposits an additional copper layer on the copper seed layer.


The cluster tool of the prior art includes a handoff station, a front transfer module, a back transfer module, a degas module, a sputter-etch module, and a metal deposition module. The front transfer module includes a first robot. The back transfer module includes a second robot. The handoff station is coupled to the front transfer module by a first valve or loadlock. The degas module and the sputter-etch module are coupled to the front transfer module. The back transfer module is coupled to the front transfer module by a second valve or loadlock. The metal deposition module is coupled to the back transfer module. Often, the cluster tool includes two degas modules, two sputter-etch modules, and two or more metal deposition modules. In operation, the front and back transfer modules operate at vacuum. A second cluster tool for performing the desorb, preclean and metal deposition of the prior art includes a single transfer module, the degas module, the sputter-etch module, and the metal deposition module, where the degas module, the sputter-etch module, and the metal deposition module are coupled to the single transfer module.


Operation of the cluster tool begins with the first robot transferring a substrate from the handoff station to the degas module, where the desorb step takes place. The first robot then transfers the substrate to the sputter-etch module where the sputter-etch preclean takes place. The first robot then transfers the substrate to the second robot, which places the substrate in the metal deposition module. After the deposition of the metal film, the second robot then returns the substrate to the first robot, which returns the substrate to the handoff station.


Because the desorb step of the prior art operates at elevated temperatures, there is potential for temperature induced damage of the substrate. This concern is especially apt for polymer materials because future integrated circuits may employ the polymer materials as insulators due to their low dielectric constant properties.


In the preclean step, the plasma can cause plasma damage of the surface of the substrate. Also, it is well known that the sputter-etch preclean causes corner clipping at edges of the trenches and at edges of the via holes creating facets. The corner clipping is especially detrimental to smaller dimension integrated circuits since the corner clipping reduces separation of adjacent lines leading to unacceptable electrical interference between the adjacent lines. Not only does the sputter-etch preclean cause physical damage of integrated circuits, it could also causes electrical damage.


Further, sputtering of the underlying metal layer in the trenches and the via holes can cause barreling as well as causing deposition of sputtered material on sidewalls of the trenches and the via holes. For example, sputter-etch preclean of the copper oxide layer within the via holes causes copper and copper oxide to deposit on the sidewalls of the via holes. Moreover, the sputter-etch preclean is inappropriate for precleaning polymer based materials due to expected damage caused by the physical bombardment in the sputter-etch preclean. Also, even if the sputter-etch preclean can be used, the hydrogen ions cannot be used when the polymer materials are exposed since the hydrogen will hydrate the polymer-based materials. Additionally, the sputter-etch preclean becomes less effective as an aspect ratio (depth divided by width) of the trenches and of the via holes increases.


Both the degas module and the sputter-etch module require high vacuum pumps and associated vacuum plumbing, which increases purchase and maintenance costs of the cluster tool. The sputter-etch module further increases the purchase and maintenance costs of the cluster tool because it relatively complex and requires frequent maintenance to ensure that it does not become a source of particulate contamination.


What is needed is a desorb method compatible with metal deposition that does not require excessive temperatures.


What is needed is a preclean method compatible with metal deposition that does not use a plasma.


What is needed is a desorb method compatible with low-k materials such as polymer materials, and fluorine or carbon containing oxides.


What is needed is a preclean method compatible with low-k materials such as polymer materials, and fluorine or carbon containing oxides.


What is needed is a desorb method compatible with metal deposition which is less expensive.


What is needed is a preclean method compatible with metal deposition which is less expensive.


SUMMARY OF THE INVENTION

A method of depositing a metal film on a substrate includes a supercritical preclean step, a supercritical desorb step, and a metal deposition step. Preferably, the preclean step comprises maintaining supercritical carbon dioxide and a chelating agent in contact with the substrate in order to remove an oxide layer from a metal surface of the substrate. More preferably, the preclean step comprises maintaining the supercritical carbon dioxide, the chelating agent, and an acid in contact with the substrate where the acid dissolves the oxide layer while the chelating agent attaches to loose metal ions and carries away the loose metal ions. Alternatively, the preclean step comprises maintaining the supercritical carbon dioxide and an amine in contact with the oxide layer where the amine dissolves the oxide layer and carries away metal ions. The desorb step comprises maintaining supercritical carbon dioxide in contact with the substrate in order to remove adsorbed material from the substrate. The metal deposition step then deposits the metal film on the substrate without exposing the substrate to an oxidizing material which oxidizes the metal surface of the precleaned substrate and without exposing the substrate to a nonvolatile adsorbing material which adsorbs to the substrate.


An apparatus for depositing the metal film on a substrate includes a transfer module, a supercritical processing module, a vacuum module, and a metal deposition module. The supercritical processing module is coupled to the transfer module. The vacuum module couples the metal deposition module to the transfer module. In operation, the apparatus for depositing the metal film performs the supercritical preclean step, the supercritical desorb step, and the metal deposition step.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 schematically illustrates the preferred method of the present invention.



FIG. 2 schematically illustrates an alternative method of the present invention.



FIG. 3 illustrates the preferred metal deposition cluster tool of the present invention.





DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

The preferred method of the present invention is schematically illustrated in FIG. 1. The preferred method 20 deposits a metal film on a substrate. Preferably, the substrate is a semiconductor substrate having via holes through a dielectric material to an underlying metal layer. When the metal film is deposited on the semiconductor substrate, the metal film contacts the underlying metal layer at the via holes. More preferably, the semiconductor substrate includes via holes and trenches in a dual damascene structure. In the dual damascene structure, the metal layer also contacts the underlying metal layer at the via holes. Alternatively, the metal film is deposited on an alternative substrate where the metal film contacts an exposed metal surface on the alternative substrate.


The preferred method 20 comprises a supercritical preclean step 22, a supercritical desorb step 24, and a metal deposition step 26. In the supercritical preclean step 22, the substrate is maintained in a supercritical chamber and is preferably exposed to supercritical carbon dioxide and a chelating agent. The chelating agent in conjunction with the supercritical carbon dioxide reacts with an oxide on the underlying metal layer to form chelates of the underlying metal. The supercritical carbon dioxide carries away the chelates. In the supercritical desorb step 24, the substrate is maintained within the supercritical chamber and exposed to supercritical carbon dioxide, which desorbs adsorbed materials or absorbed materials from the substrate.


More preferably, the supercritical preclean step 22 comprises maintaining an acid as well as the supercritical carbon dioxide and the chelating agent in contact with the substrate. The acid acts to dissolve the oxide while the chelating agent attaches to loose metal ions and carries away the loose metal ions.


Preferably, the chelating agent is selected from the group comprising 2,4-pentane-dione, 1,1,1,6,6,6-hexafluoro-2,4-pentanedione, 1,1,1-trifluoropentane-2,4-dione, 2,6-dimethylheptane-3,5-dione,2,2,7-trimethyloctane-2,4-dione, 2,2,6,6-tetramethyl-heptane-3,5-dione, ethylenediamine diacetic acid (EDTA), and nitrilotriacetic acid (NTA).


Preferably, the acid is selected from the group comprising an organic acid or an inorganic acid depending upon the particular oxide that is being precleaned. Preferably, the organic acid is used for a preclean of copper oxide. More preferably, for the preclean of copper oxide, the organic acid is selected from the group comprising acetic acid, formic acid, oxalic acid and malonic acid; alpha hydroxy acids such as glycolic acid, citric acid, malic acid or lactic acid; or amino acids such as glycine, alanine, leucine, valine, glutamine or lysine.


Preferably, the inorganic acid is used for a preclean of aluminum oxide. More preferably, for the preclean of aluminum oxide, the inorganic acid is selected from the group comprising hydrofluoric acid and buffered hydrofluoric acid formulations such as ammonium fluoride and ammonium bifluoride.


Alternatively, in the preclean step 22, the chelating agent and the acid are replaced by an amine. The amine acts to dissolve the oxide and to carry away metal ions. Preferably, the amine is selected from the group comprising triethanolamine, 2-methylaminoethanol, pyridine, 2,2′-bipyridine, and pentamethyldiethylenetriamine.


In the supercritical preclean step 22, the supercritical chamber is preferably pressurized to an elevated pressure exceeding the critical pressure and the supercritical carbon dioxide and the chelating agent are flowed over the substrate. More preferably, the supercritical carbon dioxide, the chelating agent, and the acid are flowed over the substrate. Alternatively, the supercritical carbon dioxide and the amine are flowed over the substrate.


In order to achieve supercritical conditions within the supercritical chamber, temperature within the chamber must be maintained at or above a critical temperature, which is 30.5° C. Following this, the pressure is cycled at least one and a half times between the elevated pressure and a lower pressure. Preferably, the lower pressure is above the critical pressure.


The supercritical desorb step 24 is preferably part of the supercritical preclean step 22. Gases and liquids adsorbed to the substrate or absorbed within the substrate will desorb during the supercritical preclean step 22. Elevating the temperature within the chamber is anticipated to improve the supercritical desorb step 24. Alternatively, the supercritical desorb step 24 is performed as a separate step, either before or after the supercritical preclean step 22.


Preferably, during the supercritical preclean and desorb steps, 22 and 24, the temperature within the chamber is within the range of 31 and 100° C. Alternatively, the temperature within the chamber is maintained below a temperature limit of the substrate.


The metal deposition step 26 comprises depositing the metal film on the substrate. Preferably, the substrate includes the via holes to the underlying metal layer. The metal deposition step 26 preferably deposits the metal film within the via holes so that the metal film contacts the underlying metal layer. Preferably, the metal deposition step 26 is a chemical vapor deposition (CVD) process. Alternatively, the metal deposition step 26 is a physical vapor deposition (PVD) process.


It is important that between the supercritical preclean, supercritical desorb, and metal deposition steps, 22, 24, and 26, the substrate is not exposed to atmosphere or other gases which will form a nonvolatile adsorbate on the substrate, which will not form a nonvolatile absorbate within the substrate, or which will react with the substrate. Forming a volatile adsorbate on the substrate is not detrimental because a brief exposure to vacuum at an end of the supercritical preclean step 22 or at the end of the supercritical desorb step 24 will cause the volatile adsorbate to quickly desorb from the substrate. Similarly, forming a volatile absorbate is not detrimental because the brief exposure to vacuum will cause the volatile absorbate to quickly desorb from the substrate. Preferably, the substrate is maintained in vacuum between the supercritical preclean, supercritical desorb, and metal deposition steps, 22, 24, and 26. Alternatively, the substrate is maintained in an inert gas environment between the supercritical preclean, supercritical desorb, and metal deposition steps, 22, 24, and 26, where the inert gas environment does not form the nonvolatile adsorbate nor the nonvolatile absorbate.


By using the supercritical preclean step 22 rather than a sputter-etch preclean step, plasma damage of the substrate is avoided. Further, using the supercritical preclean step 22 rather than the sputter-etch preclean step avoids corner clipping and facet creation at edges of trenches and of via holes, avoids barreling of the trenches and of the via holes, and avoids deposition of sputtered material onto sidewalls of the trenches and of the via holes. Additionally, using the supercritical preclean step 22 rather than the sputter-etch preclean step avoids electrical damage of an integrated circuit that is fabricated on the substrate. Moreover, the supercritical preclean step 22 will cause less damage to polymer based dielectric materials than the sputter-etch preclean step.


Using the supercritical desorb step 24 rather than heating the substrate under vacuum to degas the substrate avoids use of excessive temperature in processing the substrate, which is especially important for the polymer based dielectric materials.


Depending on specific process requirements, either the supercritical preclean step 22 or the supercritical desorb step 24 may be unneeded. In a first alternative method of the present invention, the supercritical preclean step 22 and the metal deposition step 26 are performed but the supercritical desorb step 24 is not performed. In a second alternative method of the present invention the supercritical desorb step 24 and the metal deposition step 26 are performed but the supercritical preclean step 22 is not performed. In a third alternative method of the present invention, the metal deposition step 26 of the second alternative method is replaced by an alternative deposition step. In the alternative deposition step, a film other than the metal film is deposited on the substrate.


A fourth alternative method of the present invention is schematically illustrated in FIG. 2. The fourth alternative method 30 adds a supercritical residue removal step 32 to the preferred method 20. The supercritical residue removal step 32 removes residue remaining on the substrate following a preceding etching step. In the preceding etching step, photoresist masks portions of the substrate so that only unmasked portions of the substrate are etched. The etching step also etches the photoresist, which is sometimes etched to completion. Generally, following the etching step there is some remaining photoresist on the substrate and there is also etch residue and photoresist residue on the substrate. Thus, the residue remaining on the substrate following the etching step includes the photoresist residue, the etch residue, and possibly the remaining photoresist. The supercritical residue removal step 32 comprises exposing the substrate with the residue to the supercritical carbon dioxide and a solvent until the residue is removed from the substrate. The supercritical residue removal step 32 is the subject of U.S. patent application Ser. No. 09/697,227 filed on Oct. 25, 2000, which is incorporated by reference in its entirety.


The preferred metal deposition cluster tool of the present invention is illustrated in FIG. 3. The preferred metal deposition cluster tool 40 comprises a loader module 42, a front transfer module 44, a front transfer module robot 46, first through fourth supercritical modules, 4851, a back transfer module 52, a back transfer module robot 54, and first through fourth metal deposition modules, 5659. The loader module 42 comprises first and second loadlocks, 60 and 62, and a loader robot 64. The first and second loadlocks, 60 and 62, comprise an entrance for the front transfer module.


The loader module 42, the front transfer module robot 46, the first through fourth supercritical modules, 4851, are coupled to the front transfer module. The back transfer module 52 is coupled to the front transfer module via a valve 66. The back transfer module robot 54 and the first through fourth metal deposition modules 5659 are coupled to the back transfer module 52.


In operation, first and second front opening unit pods (FOUP's), 68 and 70, employing a standard mechanical interface (SMIF) concept couple with the loader module 42. Preferably, the first pod 68 initially contains semiconductor substrates 72 which were etched, ashed, and cleaned in a wet clean process. The loader robot 64 transfers a semiconductor substrate 72 from the first pod 68 to the first loadlock 60. The loadlock 60 closes and is pumped to vacuum. The loadlock 60 is then opened to the front transfer module 46, which is at vacuum. The front transfer module robot 46 transfers the semiconductor substrate 72 to the first supercritical module 48 where the supercritical preclean and desorb steps, 22 and 24 (FIG. 1), take place. Meanwhile, additional semiconductor substrates are loaded from the first pod through the first loadlock 60 to the second through third supercritical modules, 4951. Alternatively, the FOUP's are replaced by SMIF pods, or open cassettes.


Once the supercritical preclean and desorb steps, 22 and 24, are complete, the semiconductor substrate 72 is transferred from the first supercritical module 48 to the back transfer module robot 52 through the valve 66. The back transfer module 52 also operates at vacuum. The back transfer module robot 54 then transfers the semiconductor substrate 72 to the first metal deposition module 56 where the metal deposition step 26 (FIG. 1) takes place. Meanwhile, the additional semiconductor substrates are transferred from the second through third supercritical modules, 4951, to the second through third metal deposition modules, 5759.


Once the metal deposition step 26 is complete, the semiconductor substrate 72 is transferred from the first metal deposition module 56 to the first transfer module robot 46 by the second transfer module robot 54. The first transfer module robot 46 then transfers the semiconductor substrate 72 to the first loadlock 60, which is pressurized to atmosphere. The semiconductor substrate 72 is then transferred by the loader module robot 46 to the first pod 68. Subsequently, the additional semiconductor substrates are transferred from the second through third metal deposition modules, 5759, to the first pod 68. Later, more semiconductor substrates are processed from the second pod 70 and then returned to the second pod 70.


It will be readily apparent to one skilled in the art that more or less supercritical processing modules can be coupled to front transfer module 44. Further, it will be readily apparent to one skilled in the art that more or less metal deposition module can be coupled to the back transfer module 52. Moreover, it will be readily apparent to one skilled in the art that a single loadlock for the front transfer module 44 will suffice for the entrance to the front transfer module 44.


In a first alternative metal deposition cluster tool, the front transfer module 44 operates at atmospheric pressure and provides an inert gas environment for the semiconductor wafer. In the first alternative metal deposition cluster tool, third and fourth loadlocks couple the front transfer module 44 to the back transfer module 52. Also in the first alternative metal deposition cluster tool, an inert gas injection arrangement is coupled to the front transfer module.


In a second alternative metal deposition cluster tool, the first and second supercritical processing modules, 48 and 49, and the first and second metal deposition modules, 56 and 57, are coupled to a single transfer module. The first alternative metal deposition tool is less preferred than the preferred metal deposition tool 40 because the preferred metal deposition tool separates the supercritical processing modules from the metal deposition modules by arranging the modules about respective transfer modules. This allows for a cleaner metal deposition process The first alternative metal deposition tool is taught in U.S. patent application Ser. No. 09/704,641 filed on Nov. 1, 2000, which is incorporated by reference in its entirety.


It will be readily apparent to one skilled in the art that other various modifications may be made to the preferred embodiment without departing from the spirit and scope of the invention as defined by the appended claims.

Claims
  • 1. A method comprising: a. transferring a substrate having a low-k layer from a loader module to a front transfer module without exposing the substrate to the environment, wherein the loader module comprises a plurality of loadlocks;b. transferring the substrate from the front transfer module to a first supercritical module without exposing the substrate to the environment, wherein the front transfer module is coupled to a plurality of supercritical modules;c. cleaning the substrate in the first supercritical module;d. transferring the substrate from the first supercritical module to the front transfer module without exposing the substrate to the environment;e. transferring the substrate from the front transfer module to a back transfer module without exposing the substrate to the environment, wherein the front transfer module is coupled to the back transfer module;f. transferring the substrate from the back transfer module to a first deposition module without exposing the substrate to the environment, wherein the back transfer module is coupled to a plurality of deposition modules; andg. depositing a film on the substrate in the first deposition module.
  • 2. The method of claim 1, wherein transferring utilizes one ore more transfer robots.
  • 3. The method of claim 1, further comprising isolating the substrate in the first deposition module with a valve.
  • 4. The method of claim 1, wherein at least one supercritical process module is configured to generate supercritical carbon dioxide.
  • 5. The method of claim 1, further comprising drawing a vacuum in the first deposition module prior to the step of transferring the substrate.
  • 6. The method of claim 1, further comprising filling the first deposition module with an inert gas prior to the step of transferring the substrate.
  • 7. The method of claim 4, wherein the step of cleaning comprises generating the supercritical carbon dioxide cleaning solution in at least one cleaning module.
  • 8. The method of claim 7, wherein the supercritical carbon dioxide cleaning solution comprises an acid.
  • 9. The method of claim 7, wherein the supercritical cleaning solution comprises an amine.
  • 10. The method of claim 7, wherein the supercritical cleaning comprises an organic solvent.
  • 11. The method of claim 1, wherein the substrate is a semiconductor wafer and the step of cleaning comprises removing photoresist residue.
  • 12. The method of claim 1, further comprising the step of maintaining supercritical carbon dioxide and a chelating agent in contact with the substrate to remove an oxide layer from the metal surface of the substrate, thereby forming a pre-cleaning substrate.
  • 13. The method of claim 12, wherein the chelating agent is one of 2,4-pentanedione, 1,1,1,6,6,6-hexafluoro-2,4-pentanedione, 1,1,1-trifluoropentane-2,4-dione, 2,6-dimethlyheptane-3,5-dione, 2,2,7-trimethyloctane-2,4-dione, 2,2,6,6-tetramethylheptane-3,5-dione, ethylenediamine diacetic acid, and nitrilotriacetic acid, or a combination of two or more thereof.
  • 14. The method of claim 1, wherein the film is a metal film.
  • 15. A method of treating a substrate, the substrate having a low-k number, the method comprising the steps of: a. transferring the substrate from a loader module to a front transfer module while isolating the substrate from the environment, wherein the loader module comprises a plurality of loadlocks;b. transferring the substrate from the front transfer module to a first supercritical module while isolating the substrate from the environment, wherein the front transfer module is coupled to a plurality of supercritical modules;c. cleaning the substrate in the supercritical module;d. transferring the substrate from the first supercritical module to the front transfer module while isolating the substrate from the environment;e. transferring the substrate from the front transfer module to a back transfer module while isolating the substrate from the environment, wherein the front transfer module is coupled to the back transfer module;f. transferring the substrate from the back transfer module to a first deposition module while isolating the substrate from the environment, wherein the back transfer module is coupled to a plurality of deposition modules; andg. depositing a film on the substrate in the first deposition module.
  • 16. The method of claim 15, wherein cleaning the substrate comprises generating a supercritical cleaning solution in the supercritical module.
  • 17. The method of claim 16, wherein cleaning the supercritical cleaning solution comprises supercritical carbon dioxide and an acid.
  • 18. The method of claim 17, wherein the acid is one of acetic acid, formic acid, oxalic acid, malonic acid, alpha hydroxy acid, glycolic acid, citric acid, malic acid, lactic acid, amino acid, glycine, alnine, leucine, valine, glutamine, lysine, or a combination of two or more thereof.
  • 19. The method of claim 16, wherein the supercritical cleaning solution comprises supercritical carbon dioxide and an amine.
  • 20. The method of claim 19, where the amine is one of triethanolamine, 2-methylaminoethanol, pyridine, 2,2′-bipyridinem and pentamethyldiethylenetrimine or a combination of two or more thereof.
  • 21. The method of claim 16, wherein supercritical cleaning solution comprises supercritical carbon dioxide and a chelating agent.
  • 22. The method of claim 21, wherein the chelating agent is one of 2,4-pentanedione, 1,1,1,6,6,6-hexafluoro-2,4-pentanedione, 1,1,1-trifluoropentane-2,4-dione, 2,6-dimethlyheptane-3,5-dione, 2,2,7-trimethyloctane-2,4-dione or a combination of two or more thereof.
  • 23. The method of claim 15, wherein the film is a metal film and the substrate is a semiconductor wafer.
  • 24. The method of claim 1, wherein the low-k layer is a polymer material.
  • 25. The method of claim 15, wherein the low-k layer is a polymer material.
RELATED APPLICATIONS

This Patent Application is a continuation of U.S. patent application Ser. No. 09/841,800, filed Apr. 24, 2001 now U.S. Pat. No. 6,890,853, entitled “METHOD OF DEPOSITING METAL FILM AND METAL DEPOSITION CLUSTER TOOL INCLUDING SUPERCRITICAL DRYING/CLEANING MODULE.” This application claims priority from U.S. Provisional Patent Application Ser. No. 60/199,580 filed on Apr. 25, 2000, which is incorporated by reference.

US Referenced Citations (283)
Number Name Date Kind
2439689 Hyde et al. Apr 1948 A
2617719 Stewart Nov 1952 A
2993449 Harland Jul 1961 A
3135211 Pezzillo Jun 1964 A
3642020 Payne Feb 1972 A
3890176 Bolon Jun 1975 A
3900551 Bardoncelli et al. Aug 1975 A
4029517 Rand Jun 1977 A
4091643 Zucchini May 1978 A
4219333 Harris Aug 1980 A
4341592 Shortes et al. Jul 1982 A
4349415 DeFilippi et al. Sep 1982 A
4474199 Blaudszun Oct 1984 A
4475993 Blander et al. Oct 1984 A
4592306 Gallego Jun 1986 A
4601181 Privat Jul 1986 A
4670126 Messer et al. Jun 1987 A
4693777 Hazano et al. Sep 1987 A
4749440 Blackwood et al. Jun 1988 A
4788043 Kagiyama et al. Nov 1988 A
4825808 Takahashi et al. May 1989 A
4838476 Rahn Jun 1989 A
4865061 Fowler et al. Sep 1989 A
4877530 Moses Oct 1989 A
4879004 Oesch et al. Nov 1989 A
4917556 Stark et al. Apr 1990 A
4923828 Gluck et al. May 1990 A
4924892 Kiba et al. May 1990 A
4925790 Blanch et al. May 1990 A
4933404 Beckman et al. Jun 1990 A
4944837 Nishikawa et al. Jul 1990 A
4951601 Maydan et al. Aug 1990 A
4960140 Ishijima et al. Oct 1990 A
4983223 Gessner Jan 1991 A
5011542 Weil Apr 1991 A
5013366 Jackson et al. May 1991 A
5068040 Jackson Nov 1991 A
5071485 Matthews et al. Dec 1991 A
5091207 Tanaka Feb 1992 A
5105556 Kurokawa et al. Apr 1992 A
5143103 Basso et al. Sep 1992 A
5158704 Fulton et al. Oct 1992 A
5174917 Monzyk Dec 1992 A
5185058 Cathey, Jr. Feb 1993 A
5185296 Morita et al. Feb 1993 A
5186718 Tepman et al. Feb 1993 A
5193560 Tanaka et al. Mar 1993 A
5196134 Jackson Mar 1993 A
5201960 Starov Apr 1993 A
5213619 Jackson et al. May 1993 A
5215592 Jackson Jun 1993 A
5225173 Wai Jul 1993 A
5236602 Jackson Aug 1993 A
5237824 Pawliszyn Aug 1993 A
5238671 Matson et al. Aug 1993 A
5250078 Saus et al. Oct 1993 A
5261965 Moslehi Nov 1993 A
5266205 Fulton et al. Nov 1993 A
5267455 Dewees et al. Dec 1993 A
5269815 Schlenker et al. Dec 1993 A
5269850 Jackson Dec 1993 A
5274129 Natale et al. Dec 1993 A
5285352 Pastore et al. Feb 1994 A
5288333 Tanaka et al. Feb 1994 A
5290361 Hayashida et al. Mar 1994 A
5294261 McDermott et al. Mar 1994 A
5298032 Schlenker et al. Mar 1994 A
5304515 Morita et al. Apr 1994 A
5306350 Hoy et al. Apr 1994 A
5312882 DeSimone et al. May 1994 A
5313965 Palen May 1994 A
5314574 Takahashi May 1994 A
5316591 Chao et al. May 1994 A
5320742 Fletcher et al. Jun 1994 A
5328722 Ghanayem et al. Jul 1994 A
5334332 Lee Aug 1994 A
5334493 Fujita et al. Aug 1994 A
5337446 Smith et al. Aug 1994 A
5339844 Standford, Jr. et al. Aug 1994 A
5352327 Witowski Oct 1994 A
5355901 Mielnik et al. Oct 1994 A
5356538 Wai et al. Oct 1994 A
5364497 Chau et al. Nov 1994 A
5368171 Jackson Nov 1994 A
5370740 Chao et al. Dec 1994 A
5370741 Bergman Dec 1994 A
5370742 Mitchell et al. Dec 1994 A
5377705 Smith, Jr. et al. Jan 1995 A
5397220 Akihisa et al. Mar 1995 A
5401322 Marshall Mar 1995 A
5403621 Jackson et al. Apr 1995 A
5403665 Alley et al. Apr 1995 A
5412958 Iliff et al. May 1995 A
5417768 Smith, Jr. et al. May 1995 A
5456759 Stanford, Jr. et al. Oct 1995 A
5470393 Fukazawa Nov 1995 A
5474812 Truckenmuller et al. Dec 1995 A
5482564 Douglas et al. Jan 1996 A
5486212 Mitchell et al. Jan 1996 A
5494526 Paranjpe Feb 1996 A
5500081 Bergman Mar 1996 A
5501761 Evans et al. Mar 1996 A
5505219 Lansberry et al. Apr 1996 A
5509431 Smith, Jr. et al. Apr 1996 A
5514220 Wetmore et al. May 1996 A
5522938 O'Brien Jun 1996 A
5526834 Mielnik et al. Jun 1996 A
5533538 Marshall Jul 1996 A
5547774 Gimzewski et al. Aug 1996 A
5550211 DeCrosta et al. Aug 1996 A
5580846 Hayashida et al. Dec 1996 A
5589082 Lin et al. Dec 1996 A
5589105 DeSimone et al. Dec 1996 A
5629918 Ho et al. May 1997 A
5632847 Ohno et al. May 1997 A
5635463 Muraoka Jun 1997 A
5637151 Schulz Jun 1997 A
5641887 Beckman et al. Jun 1997 A
5656097 Olesen et al. Aug 1997 A
5665527 Allen et al. Sep 1997 A
5669251 Townsend et al. Sep 1997 A
5676705 Jureller et al. Oct 1997 A
5679169 Gonzales et al. Oct 1997 A
5679171 Saga et al. Oct 1997 A
5683473 Jureller et al. Nov 1997 A
5683977 Jureller et al. Nov 1997 A
5688879 DeSimone Nov 1997 A
5700379 Biebl Dec 1997 A
5714299 Combes et al. Feb 1998 A
5725987 Combes et al. Mar 1998 A
5726211 Hedrick et al. Mar 1998 A
5730874 Wai et al. Mar 1998 A
5736425 Smith et al. Apr 1998 A
5739223 DeSimone Apr 1998 A
5766367 Smith et al. Jun 1998 A
5783082 DeSimone et al. Jul 1998 A
5797719 James et al. Aug 1998 A
5798438 Sawan et al. Aug 1998 A
5804607 Hedrick et al. Sep 1998 A
5807607 Smith et al. Sep 1998 A
5847443 Cho et al. Dec 1998 A
5866005 DeSimone et al. Feb 1999 A
5868856 Douglas et al. Feb 1999 A
5868862 Douglas et al. Feb 1999 A
5872061 Lee et al. Feb 1999 A
5872257 Beckman et al. Feb 1999 A
5873948 Kim Feb 1999 A
5881577 Sauer et al. Mar 1999 A
5882165 Maydan et al. Mar 1999 A
5888050 Fitzgerald et al. Mar 1999 A
5893756 Berman et al. Apr 1999 A
5896870 Huynh et al. Apr 1999 A
5900354 Batchelder May 1999 A
5904737 Preston et al. May 1999 A
5908510 McCullough et al. Jun 1999 A
5928389 Jevtic Jul 1999 A
5932100 Yager et al. Aug 1999 A
5934856 Asakawa et al. Aug 1999 A
5944996 DeSimone et al. Aug 1999 A
5954101 Drube et al. Sep 1999 A
5955140 Smith et al. Sep 1999 A
5965025 Wai et al. Oct 1999 A
5976264 McCullough et al. Nov 1999 A
5979306 Fujikawa et al. Nov 1999 A
5980648 Adler Nov 1999 A
5992680 Smith Nov 1999 A
5994696 Tai et al. Nov 1999 A
6005226 Aschner et al. Dec 1999 A
6017820 Ting et al. Jan 2000 A
6021791 Dryer et al. Feb 2000 A
6024801 Wallace et al. Feb 2000 A
6037277 Masakara et al. Mar 2000 A
6063714 Smith et al. May 2000 A
6067728 Farmer et al. May 2000 A
6077321 Adachi et al. Jun 2000 A
6099619 Lansbarkis et al. Aug 2000 A
6100198 Grieger et al. Aug 2000 A
6110232 Chen et al. Aug 2000 A
6114044 Houston et al. Sep 2000 A
6128830 Bettcher et al. Oct 2000 A
6140252 Cho Oct 2000 A
6149828 Vaartstra Nov 2000 A
6171645 Smith et al. Jan 2001 B1
6186722 Shirai Feb 2001 B1
6200943 Romack et al. Mar 2001 B1
6216364 Tanaka et al. Apr 2001 B1
6224774 DeSimone et al. May 2001 B1
6228563 Starov et al. May 2001 B1
6228826 DeYoung et al. May 2001 B1
6232238 Chang et al. May 2001 B1
6232417 Rhodes et al. May 2001 B1
6235634 White et al. May 2001 B1
6239038 Wen May 2001 B1
6242165 Vaartstra Jun 2001 B1
6244121 Hunter Jun 2001 B1
6251250 Keigler Jun 2001 B1
6255732 Yokoyama et al. Jul 2001 B1
6262510 Lungu Jul 2001 B1
6270531 DeYoung et al. Aug 2001 B1
6270948 Sato et al. Aug 2001 B1
6277753 Mullee et al. Aug 2001 B1
6284558 Sakamoto Sep 2001 B1
6286231 Bergman et al. Sep 2001 B1
6306564 Mullee Oct 2001 B1
6319858 Lee et al. Nov 2001 B1
6331487 Koch Dec 2001 B2
6333268 Starov et al. Dec 2001 B1
6343503 Goh Feb 2002 B1
6344243 McClain et al. Feb 2002 B1
6358673 Namatsu Mar 2002 B1
6361696 Spiegelman et al. Mar 2002 B1
6367491 Marshall et al. Apr 2002 B1
6380105 Smith et al. Apr 2002 B1
6425956 Cotte et al. Jul 2002 B1
6436824 Chooi et al. Aug 2002 B1
6454945 Weigl et al. Sep 2002 B1
6458494 Song et al. Oct 2002 B2
6461967 Wu et al. Oct 2002 B2
6465403 Skee Oct 2002 B1
6485895 Choi et al. Nov 2002 B1
6486078 Rangarajan et al. Nov 2002 B1
6492090 Nishi et al. Dec 2002 B2
6500605 Mullee et al. Dec 2002 B1
6508259 Tseronis et al. Jan 2003 B1
6509141 Mullee Jan 2003 B2
6537916 Mullee et al. Mar 2003 B2
6558475 Jur et al. May 2003 B1
6562146 DeYoung et al. May 2003 B1
6592938 Pessey et al. Jul 2003 B1
6596093 DeYoung et al. Jul 2003 B2
6635565 Wu et al. Oct 2003 B2
6641678 DeYoung et al. Nov 2003 B2
6764552 Joyce et al. Jul 2004 B1
6890853 Biberger et al. May 2005 B2
7044143 DeYoung et al. May 2006 B2
20010019857 Yokoyama et al. Sep 2001 A1
20010024247 Nakata Sep 2001 A1
20010029971 Farmer et al. Oct 2001 A1
20010041455 Yun et al. Nov 2001 A1
20010041458 Ikakura Nov 2001 A1
20020001929 Biberger et al. Jan 2002 A1
20020014257 Chandra et al. Feb 2002 A1
20020055323 McClain et al. May 2002 A1
20020074289 Sateria et al. Jun 2002 A1
20020081533 Simons et al. Jun 2002 A1
20020088477 Cotte et al. Jul 2002 A1
20020098680 Goldstein et al. Jul 2002 A1
20020106867 Yang et al. Aug 2002 A1
20020112740 DeYoung et al. Aug 2002 A1
20020112746 DeYoung et al. Aug 2002 A1
20020115022 Messick et al. Aug 2002 A1
20020117391 Beam Aug 2002 A1
20020123229 Ono et al. Sep 2002 A1
20020127844 Grill et al. Sep 2002 A1
20020132192 Namatsu Sep 2002 A1
20020141925 Wong et al. Oct 2002 A1
20020142595 Chiou Oct 2002 A1
20020150522 Heim et al. Oct 2002 A1
20020164873 Masuda et al. Nov 2002 A1
20020170671 Matsushita et al. Nov 2002 A1
20030003762 Cotte et al. Jan 2003 A1
20030008238 Goldfarb et al. Jan 2003 A1
20030008518 Chang et al. Jan 2003 A1
20030013311 Chang et al. Jan 2003 A1
20030036023 Moreau et al. Feb 2003 A1
20030047533 Reid et al. Mar 2003 A1
20030051741 DeSimone et al. Mar 2003 A1
20030106573 Masuda et al. Jun 2003 A1
20030125225 Xu et al. Jul 2003 A1
20030172954 Verhaverbeke Sep 2003 A1
20030198895 Toma et al. Oct 2003 A1
20030205510 Jackson Nov 2003 A1
20030217764 Masuda et al. Nov 2003 A1
20040011386 Seghal Jan 2004 A1
20040020518 DeYoung et al. Feb 2004 A1
20040087457 Korzenski et al. May 2004 A1
20040103922 Inoue et al. Jun 2004 A1
20040112409 Schilling Jun 2004 A1
20040134515 Castrucci Jul 2004 A1
20040177867 Schilling Sep 2004 A1
20040211440 Wang et al. Oct 2004 A1
20040259357 Saga Dec 2004 A1
20060003592 Gale et al. Jan 2006 A1
Foreign Referenced Citations (61)
Number Date Country
39 04 514 Aug 1990 DE
40 04 111 Aug 1990 DE
39 06 724 Sep 1990 DE
39 06 735 Sep 1990 DE
39 06 737 Sep 1990 DE
44 29 470 Mar 1995 DE
43 44 021 Jun 1995 DE
198 60 084 Jul 2000 DE
0 244 951 Nov 1987 EP
0 272 141 Jun 1988 EP
0 283 740 Sep 1988 EP
0 302 345 Feb 1989 EP
0 370 233 May 1990 EP
0 391 035 Oct 1990 EP
0 518 653 Dec 1992 EP
0 536 752 Apr 1993 EP
0 572 913 Dec 1993 EP
0 587 168 Mar 1994 EP
0 620 270 Oct 1994 EP
0 679 753 Nov 1995 EP
0 711 864 May 1996 EP
0 726 099 Aug 1996 EP
0 727 711 Aug 1996 EP
0 822 583 Feb 1998 EP
0 829 312 Mar 1998 EP
0 836 895 Apr 1998 EP
2 193 482 Feb 1988 GB
56-142629 Nov 1981 JP
60-192333 Sep 1985 JP
60-238479 Nov 1985 JP
60-246635 Dec 1985 JP
61-231166 Oct 1986 JP
62-125619 Jun 1987 JP
63-303059 Dec 1988 JP
1-045131 Feb 1989 JP
1-246835 Oct 1989 JP
2-148841 Jun 1990 JP
2-209729 Aug 1990 JP
2-304941 Dec 1990 JP
7-142333 Jun 1995 JP
8-186140 Jul 1996 JP
8-222508 Aug 1996 JP
10-144757 May 1998 JP
2000-106358 Apr 2000 JP
WO 8707309 Dec 1987 WO
WO 9006189 Jun 1990 WO
WO 9013675 Nov 1990 WO
WO 9112629 Aug 1991 WO
WO 9314255 Jul 1993 WO
WO 9314259 Jul 1993 WO
WO 9320116 Oct 1993 WO
WO 9627704 Sep 1996 WO
WO 99186603 Apr 1999 WO
WO 9949998 Oct 1999 WO
WO 0073241 Dec 2000 WO
WO 0110733 Feb 2001 WO
WO 0133613 May 2001 WO
WO 0133615 May 2001 WO
WO 0209894 Feb 2002 WO
WO 0211191 Feb 2002 WO
WO 0216051 Feb 2002 WO
Related Publications (1)
Number Date Country
20040229449 A1 Nov 2004 US
Provisional Applications (1)
Number Date Country
60199580 Apr 2000 US
Continuations (1)
Number Date Country
Parent 09841800 Apr 2001 US
Child 10870871 US