Methods for controllable metal and barrier-liner recess

Information

  • Patent Grant
  • 11705366
  • Patent Number
    11,705,366
  • Date Filed
    Friday, June 11, 2021
    2 years ago
  • Date Issued
    Tuesday, July 18, 2023
    10 months ago
Abstract
Methods of etching a metal layer and a metal-containing barrier layer to a predetermined depth are described. In some embodiments, the metal layer and metal-containing barrier layer are formed on a substrate with a first dielectric and a second dielectric thereon. The metal layer and the metal-containing barrier layer formed within a feature in the first dielectric and the second dielectric. In some embodiments, the metal layer and metal-containing barrier layer can be sequentially etched from a feature formed in a dielectric material. In some embodiments, the sidewalls of the feature formed in a dielectric material are passivated to change the adhesion properties of the dielectric material.
Description
TECHNICAL FIELD

The present disclosure relates generally to methods for recessing metal and barrier/liner materials. In particular, the disclosure related to methods to controllably recess the metal and barrier/liner materials as part of a patterning process.


BACKGROUND

Metal-based liners or blocking layers are often used between dielectric layers and metal layers. These liners are frequently used in deposition-etch schemes used for producing self-aligned vias. In use, the metal layer is frequently etched or recessed to remove at least some of the metal layer. However, the liner is often unaffected by the etch process or becomes exposed on the sidewall surfaces after the metal layer is recessed.


In some processes, the liner is only partially etched, leaving liner material on the sidewalls. A longer etch time may decrease the amount of material left on the sidewalls. However, longer etch times can have a disparate etch result on features of different widths. For example, wider features tend to etch more than narrower features. As the size of the features can vary due to many factors, including process irregularity, the different etch depths can cause additional non-uniformity. Additionally, many etch processes for barrier layer removal can damage metal or dielectric layers.


Therefore, there is a need in the art for improved methods of recessing metal layers and metal-based liners.


SUMMARY

One or more embodiments of the disclosure are directed to processing methods comprising providing a substrate with a first dielectric material with a second dielectric material formed thereon. The second dielectric material has a thickness. The substrate comprises at least one feature formed in the first dielectric material and the second dielectric material. The at least one feature has at least one sidewall and a bottom. A depth of the feature is defined from a top surface of the second dielectric material to the bottom of the feature. A barrier layer is formed on the at least one sidewall and the bottom. A metal layer is formed on the barrier layer to fill the depth of the at least one feature. The metal layer and the barrier layer are etched to decrease a depth of the metal layer to a recessed depth and remove the barrier layer from the sidewall of the second dielectric material.


Additional embodiments of the disclosure are directed to processing methods comprising providing a substrate comprising a dielectric material with at least one feature formed thereon. The at least one feature has at least one sidewall and a bottom. A distance from a surface of the dielectric material to the bottom of the feature defines a depth of the feature. The dielectric material is passivated at the sidewall of the feature to form a passivated dielectric layer. A barrier layer is formed on the at least one sidewall on the passivated dielectric layer and the bottom of the at least one feature. A metal layer is deposited in the feature to fill the at least one feature. A portion of the metal layer and the barrier layer is removed to a predetermined depth and remove the barrier layer from the passivated dielectric layer.


Further embodiments of the disclosure are directed to processing methods comprising providing a substrate comprising a dielectric material. The substrate has at least one feature with at least one sidewall and a bottom defining a volume. A barrier layer is formed on the at least one sidewall and the bottom. A metal layer is formed on the barrier layer to fill the volume of the at least one feature. The metal layer is etched to expose a portion of the barrier layer within the feature. The exposed portion of the barrier layer is etched to remove the exposed portion of the barrier layer. Etching the metal layer and etching the barrier layer is repeated until a predetermined depth of the metal layer has been removed.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.



FIG. 1 shows a schematic cross-sectional view of a substrate with features formed therein in accordance with one or more embodiment of the disclosure;



FIG. 2A shows a schematic cross-sectional view of a substrate with different materials and features formed over one of the material in accordance with one or more embodiment of the disclosure;



FIG. 2B shows a top view of the substrate of FIG. 2A;



FIGS. 3A and 3B show a cross-sectional schematic view of a metal recessing process in accordance with one or more embodiment of the disclosure; and



FIGS. 4A and 4B show a cross-sectional schematic view of a metal recessing process in accordance with one or more embodiment of the disclosure;



FIGS. 5A through 5D show a cross-sectional schematic view of a metal recessing process in accordance with one or more embodiment of the disclosure; and



FIGS. 6A through 6F show a cross-sectional schematic view of a metal recessing process in accordance with one or more embodiment of the disclosure.





DETAILED DESCRIPTION

Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.


A “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present disclosure, any of the film processing steps disclosed may also be performed on an under-layer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such under-layer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.


As used in this specification and the appended claims, the terms “precursor”, “reactant”, “reactive gas” and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface, or with a film formed on the substrate surface.


Conventional metal recess is selective relative to the metal barrier layers resulting in the formation of “ears” of the barrier layer above the top of the metal layer. Metal recess processes with long process times to a target recess depth results in micro-loading in which wider features have a greater amount of etching than smaller features. The loading effect is progressively worse with longer process times. Additionally, metal barrier recess processes tend to attack the core metal causing damage.


Accordingly, one or more embodiments of the disclosure advantageously provide methods for recessing a metal layer and a metal-containing liner to about the same height. Some embodiments of the disclosure advantageously provide methods of recessing metal layers and barrier layers without unduly damaging the metal or adjacent dielectric layers. Some embodiments of the disclosure advantageously provide methods to rapidly and uniformly remove metal and liner layers. Some embodiments of the disclosure provide methods of recessing a metal layer and metal-containing barrier layer to a predetermined depth with minimal damage to the core metal and minimal micro-loading effects.


One or more embodiments of the disclosure provide methods that use an additional dielectric layer with different surface adhesion to improve the etch rate of a liner. Some embodiments provide methods that use selective passivation (e.g., by UV or plasma) of dielectric surfaces (i.e., features sidewalls) to modify surface adhesion on passivated surfaces. Some embodiments of the disclosure provide methods of cyclically etching the metal and barrier layers.


Some embodiments of the disclosure provide methods and approaches for interconnect integration schemes to recess metal and barriers to the same height by: (1) using sequential recess of core metal (Co or Cu) recess followed by metal barrier recess (TiN or TaN/Co/Ru); (2) exposing the core metal to wet chemistry containing peroxide and formulated chemicals at room temperature and acid pH levels to be selective to the core metal; (3) metal barrier recess wet chemistry containing peroxide and ammonium hydroxide at 30-60° C. adjusted to alkaline pH levels to be selective to the core metal. To facilitate the barrier layer removal efficiency, an ultra low-k sidewall dielectric can be treated by one or more of (a) surface nitridation by N2/NH3 plasma post dielectric etch; (b) thin (e.g., 5-30 Å) conformal nitrogen-rich film deposition and via bottom etch through; (c) other surface passivating films such as AlN, AlON, AlO, SiCN, SiOC, SiOCN or combinations thereof that seal the ultra low-k surface pores and help with barrier recess; (d) UV pore sealing by (dimethylamino)dimethylsilane (DMADMS) and similar precursors in elevated temperature (200-400° C.) using broadband UV; and (e) use of nitride dielectric cap to make the top portion of the recess front different.



FIG. 1 illustrates a substrate 100 comprising a dielectric material 110 with at least one feature 114 formed therein. The feature 114 forms an opening in the top surface 112 of the dielectric material 110. The feature 114 extends from the top surface 112 to a depth D to a bottom 116. The feature 114 has at least one sidewall 118 that define a width W of the feature 114. The open area formed by the at least one sidewall 118 and bottom 116 is also referred to as a gap. The gap formed by the at least one sidewall 118 and the bottom 116 has a volume, measured to a plane at the level of the top surface 112 of the dielectric material 110. The feature 114 illustrated in FIG. 1 has sidewalls 118 that slope inwardly so that the width at the bottom 116 of the feature 114 is smaller than the width at the feature 114 at the top surface 112. This is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure. The shape of the features 114 can be cylindrical, conical, truncated conical, inverted conical, truncated inverted conical (as shown), trenches, or other shapes.


The width W of the feature is measured as the average width between sidewalls as a function of the depth in the feature 114 measured from the top surface 112. The embodiment illustrated in FIG. 1 shows a substrate 100 comprising a dielectric material 110 that forms the boundaries of the top surface 112, bottom 116 and sidewalls 118 of the features 114. However, those skilled in the art will recognize that the sidewalls 118 and bottom 116 of the feature 114 can be bounded by different materials. As used in this manner, the term “bounded” refers to the material on the sides and/or bottom of the stated component. For example, the embodiment illustrated in FIG. 2A has a bottom 116 of the feature 114 be defined by a conductive material 120 while the sidewalls 118 of the feature 114 are defined by a dielectric material 110. The opposite arrangement of materials can also be used.


The feature 114 of some embodiments is a via. When viewed from the top surface 112, as shown in FIG. 2B, the feature 114 appears as a circular or oval shaped opening. The feature 114 of FIG. 2B has one sidewall 118 that is a continuous section of material. The width W of this type of feature 114 is measured as the average width or diameter across the feature 114.



FIGS. 3A and 3B illustrate a conventional etch process to recess a metal layer 140 in a feature 114 formed in a dielectric material 110. The feature 114 illustrated in FIG. 3A includes a barrier layer 130 that lines the sidewall 118 and bottom 116 of the feature 114. A metal layer 140 fills the volume of the feature 114 to a top surface 142 that is about coplanar with the top surface 112 of the dielectric material 110. After exposing the substrate 100 to an etch process, as shown in FIG. 3B, the top surface 142 of the metal layer 140 is recessed below the top surface 112 of the dielectric material 110. The etch process also removes some of the barrier layer 130, leaving barrier layer residue 135 on the sidewalls 118 between the top surface 142 of the recessed metal layer 140 and the top surface 112 of the dielectric material 110. The barrier layer residue 135 is also referred to as “ears”.


Referring to FIGS. 4A and 4B, one or more embodiments of the disclosure are directed to processing methods to recess a metal layer. A substrate 200 is provided that has a first dielectric material 210 with a second dielectric material 220 deposited thereon. The second dielectric material 220 has a thickness T. The substrate 200 includes at least one feature 214 formed in the first dielectric material 210 and through the second dielectric material 220. The feature 214 has at least one sidewall 218 and a bottom 216. The sidewall 218 is bounded by the second dielectric material 220 near the top surface 222 of the second dielectric material 220. Stated differently, the sidewall 218 is bounded by the second dielectric material 220 through the thickness T of the second dielectric material 220 and by the first dielectric material 210 below the thickness T of the second dielectric material 220. The depth of the feature is defined from the top surface 222 of the second dielectric material 220 to the bottom 216 of the feature.


The width and depth of the feature 214 can vary. The aspect ratio (depth:width) of the feature can be any suitable aspect ratio. In some embodiments, the aspect ratio of the feature 214 is in range of 0.5:1 up to 20:1, with typical range of 1:1-4:1.


The thickness T of the second dielectric material 220 can be any suitable thickness. In some embodiments, the thickness T of the second dielectric material 220 is in the range of about 5% to about 90% of the depth of the feature 214, or in the range of about 5% to about 70% of the depth of the feature 214, or in the range of about 5% to about 50% of the depth of the feature 214, or in the range of about 10% to about 30% of the depth of the feature 214.


The second dielectric material 220 is different than the first dielectric material 210 in one or more of composition and physical properties. In some embodiments, the second dielectric material 220 can be a different composition than the first dielectric material 210. In some embodiments, the first dielectric material 210 and the second dielectric material 220 are the same composition but the second dielectric material 220 is denser and/or less porous than the first dielectric material. 210. In some embodiments, the second dielectric material 220 has different adhesion properties (relative to the barrier layer 230) than the first dielectric material 210.


The first dielectric material 210 can be any suitable material. In some embodiments, the first dielectric material 210 comprises silicon oxycarbide (SiOC), porous organosilicate glass (p-SiCOH), doped or undoped silicate glass, silicon oxide (SiOx).


The second dielectric material 220 can be any suitable material. In some embodiments, the second dielectric material comprises one or more of SiN, SiCN, SiOC, AlOx, AlN, AlC or combination of above. The skilled artisan will recognize that the use of terms like “SiN” do not indicate stoichiometric amounts of the stated elements; rather, the term “SiN” means that the material has silicon and nitrogen atoms.


A barrier layer 230 is formed on the at least one sidewall 218 and the bottom 216 of the feature 214. The barrier layer 230 on the sidewall 218 of the feature 214 is bounded by the second dielectric material 220 near the top surface 222 of the second dielectric material 220 through the thickness T of the second dielectric material 220. The barrier layer 230 on the sidewall 218 is also bounded by the first dielectric material 210 below the thickness T of the second dielectric material 220.


The barrier layer 230 can include any suitable components. In some embodiments, the barrier layer 230 comprises one or more of titanium nitride (TiN) or tantalum nitride (TaN). In some embodiments, the barrier layer 230 includes a liner material (not shown) as either a separate layer or mixed with the barrier layer 230. The liner of some embodiments is one or more of cobalt (Co) or ruthenium (Ru). In some embodiments, the barrier layer comprises tantalum nitride (TaN) with a cobalt (Co) or ruthenium (Ru) liner. The barrier layer can also comprise aluminum-containing compounds (including metallic Al) or manganese-containing compounds (including metallic Mn).


A metal layer 240 is deposited on the barrier layer 230 to fill the depth of the at least one feature 214. Stated differently, the volume of the feature 214 is filled with the barrier layer 230 and the metal layer 240. The metal layer 240 can be deposited in the feature 214 by any suitable technique known to the skilled artisan.


The metal layer 240 can include any suitable metal. In some embodiments, the metal layer 240 comprises one or more of cobalt (Co), copper (Cu), tungsten (W), ruthenium (Ru), nickel (Ni), noble metals (Ir, Pt), alloys or compound conductors (e.g. NiSi). In some embodiments, the metal layer 240 comprises Co and the barrier layer 230 comprises TiN. In some embodiments, the metal layer 240 comprises Cu and the barrier layer 230 comprises TaN with a liner comprising one or more of Co or Ru.


As shown in FIG. 4B, after etching, the metal layer 240 has been recessed to a recessed depth. The top surface 242 of the metal layer 240 at the recessed depth is below the top surface 222 of the second dielectric material 220. In some embodiments, the metal layer 240 has been recessed so that the top surface 242 of the metal layer 240 is below the thickness T of the second dielectric material 220, or below the interface 215 between the second dielectric material 220 and the first dielectric material 210.


The etch process may also remove the barrier layer 230 from the sidewall 218 of the second dielectric material 220. Some barrier layer residual 235 may remain in contact with the first dielectric material 210. The barrier layer residual 235 that might remain is below the top surface 222 of the second dielectric material 220.


In some embodiments, the etch process comprises a cyclical or sequential process to selectively etch the metal layer 240 and to selectively etch the barrier layer 230. In some embodiments, the sequential metal layer 240 etch and barrier layer 230 etch processes are repeated.


The etch process can be any suitable etch process known to the skilled artisan. The etch process may also be referred to as core metal recessing. In some embodiments, etching the metal layer 240, or core metal recessing, comprise a wet chemical method in which peroxide (H2O2) is exposed to the metal layer 240 at acidic pH at about room temperature (i.e., in the range of about 20° C. to about 100° C.). In some embodiments, etching of the barrier layer 230 comprises exposes the substrate to a mixture of peroxide (H2O2) and ammonium hydroxide (NH4OH) at a temperature in the range of about 30° C. to about 80° C. with an alkaline pH level.


Some embodiments of the disclosure are directed to semiconductor devices comprising a substrate 200 with a first dielectric material 210 and a second dielectric material 220 thereon. The substrate 200 has at least one feature 214 formed in the first dielectric material 210 and through the second dielectric material 220. The feature 214 having at least one sidewall 218 and a bottom 216. The at least one sidewall 218 bounded by the second dielectric material 220 and the first dielectric material 210.


The semiconductor device includes a barrier layer 230 located within the feature 214 so that the barrier layer 230 is on the sidewall 218 bounded by the first dielectric material 210. In some embodiments, the barrier layer 230 is substantially only bounded by the first dielectric material 210. In some embodiments, the barrier layer 230 is not on the sidewall 218 of the feature 214 bounded by the second dielectric material 220. The barrier layer 230 of some embodiments is a substantially conformal film on the sidewalls 218 and bottom 216 of the feature 214. As used in this manner, a “conformal film” means that the thickness of the film at any point is within ±10%, ±5%, ±2% or ±1% of the average thickness of the film.


A metal layer 240 is within the feature 214 bounded by the barrier layer 230. In some embodiments, the metal layer 240 has barrier layer 230 on the sides and bottom of the metal layer 240. In some embodiments, the metal layer 240 has barrier layer 230 on the sides of the metal layer. In some embodiments, the top surface 242 of the metal layer 240 is even with or below the top of the barrier layer 230. In some embodiments, the barrier layer residual 235 is above the top surface 242 of the metal layer 240 and below the second dielectric material 220.



FIGS. 5A through 5D illustrate another embodiment of the disclosure. Here a substrate 300 is provided that has a dielectric material 310 with a top surface 312 and at least one feature 314 formed therein. The feature 314 has a sidewall 318 and a bottom 316 which define a volume of the feature 314. The depth of the feature 314 is defined by the distance from the top surface 312 of the dielectric material 310 to the bottom 316 of the feature 314.


The substrate 300 can be subject to a passivation process to form the substrate 300 shown in FIG. 5B. The passivation process passivates the dielectric material 310 at the sidewall 318 of the feature 314 to form a passivated dielectric layer 320. The passivation process can be any suitable process that can change the properties of the dielectric material 310 at the sidewalls 318. Suitable passivation methods include, but are not limited to, scalable physical films formed at the sidewall, sidewall treatments to reduce the capacitance penalty while maintaining the composition or a gradient treatment to modify the top portion of the sidewall to a depth of the recess thickness. In some embodiments, passivating the dielectric material 310 comprises one or more of UV or plasma exposure. In some embodiments, passivating the dielectric material 310 comprises forming a nitride. In some embodiments, passivating the dielectric material 310 comprises treating the sidewall 318 of the at least one feature 314 so that the adhesion of the barrier layer 330 on the passivated dielectric layer 320 is lower than the adhesion of the barrier layer 330 on the dielectric material 310.


Referring to FIG. 5C, a barrier layer 330 can be formed on the sidewalls 318 and bottom 316 of the feature 314. The barrier layer 330 covers the passivated dielectric layer 320 on the sidewalls 318. The barrier layer 330 can be formed by any suitable technique including, but not limited to, conformal atomic layer deposition (ALD) followed by chemical-mechanical planarization to remove deposition from the top surface 312 of the dielectric material 310.


The feature 314 can then be gapfilled with a metal layer 340 so that the top surface 342 of the metal layer 340 is about even with the top surface 312 of the dielectric material 310. The metal layer 340 can be deposited by any suitable technique including, but not limited to, blanket deposition followed by chemical-mechanical planarization.


In some embodiments, passivating the dielectric material 310 at the sidewall 318 of the feature 314 forms a gradient passivated dielectric layer 320 so that a portion of the sidewall 318 from the top surface 312 of the dielectric material 310 to a predetermined depth is modified so that removal of a barrier layer from the passivated dielectric layer 320 is easier than removal of the barrier layer from the dielectric material 310. In some embodiments, the predetermined depth of the gradient passivation is about the same as the predetermined depth of that the metal layer 340 will be recessed to.


As shown in FIG. 5D, after etching, the metal layer 340 has been recessed to a predetermined recessed depth. The top surface 342 of the metal layer 340 at the recessed depth is below the top surface 312 of the dielectric material 310. The etch process may also remove the barrier layer 330 from the passivated dielectric layer 320.


In some embodiments, the etch process comprises a cyclical or sequential process to selectively etch the metal layer 340 and to selectively etch the barrier layer 330. In some embodiments, the sequential metal layer 340 etch and barrier layer 330 etch processes are repeated.


The etch process can be any suitable etch process known to the skilled artisan. The etch process may also be referred to as core metal recessing. In some embodiments, etching the metal layer 340, or core metal recessing, comprise a wet chemical method in which peroxide (H2O2) is exposed to the metal layer 340 at acidic pH at about room temperature (i.e., in the range of about 20° C. to about 100° C.). In some embodiments, etching of the barrier layer 330 comprises exposes the substrate to a mixture of peroxide (H2O2) and ammonium hydroxide (NH4OH) at a temperature in the range of about 30° C. to about 80° C. with an alkaline pH level.


Some embodiments of the disclosure are directed to semiconductor devices comprising a substrate 300 with a dielectric material 310 having at least one feature 314 formed therein. The at least one feature 314 having at least one sidewall 318 and a bottom 316. The substrate 300 has a passivated dielectric layer 320 forming at least a portion of the sidewall 318. In some embodiments, the passivated dielectric layer 320 is formed at a top portion of the sidewall 318 near the top surface 312 of the dielectric material 310 and does not extend to the bottom 316 of the feature.


The semiconductor device includes a barrier layer 330 located within the feature 314 so that the barrier layer 330 is on the sidewall 318 bounded by the dielectric material 310 or the passivated dielectric layer 320. In some embodiments, the barrier layer 330 is substantially only bounded by the passivated dielectric layer 320. The barrier layer 330 of some embodiments is a substantially conformal film on the sidewalls 318 and bottom 316 of the feature 314.


A metal layer 340 is within the feature 314 bounded by the barrier layer 330. In some embodiments, the metal layer 340 has barrier layer 330 on the sides and bottom of the metal layer 340. In some embodiments, the metal layer 340 has barrier layer 330 on the sides of the metal layer. In some embodiments, the top surface 342 of the metal layer 340 is even with or below the top of the barrier layer 330.



FIGS. 6A through 6F illustrate another embodiment of the disclosure. FIG. 6A illustrates a substrate 400 that can be used with the processes described herein. The substrate 400 has a dielectric material 410 with a top surface 412. At least one feature 414 is formed in the dielectric material 410. The feature 414 has a bottom 416 and at least one sidewall 418, as described above. A barrier layer 430 is formed on the bottom 416 and sidewall 418 of the feature 414 and a metal layer 440 is gapfilled on the barrier layer 430 to fill the feature 414.


In FIG. 6B, the substrate 400 has been exposed to an etching process to remove a portion of the metal layer 440 to lower the top surface 442 of the metal layer 440 relative to the top surface 412 of the dielectric material 410. The metal layer 440 is etched by a recess depth DR by any suitable amount. In some embodiments, the recess depth DR is less than one monolayer of metal atoms thick. In some embodiments, the recess depth DR is in the range of about 1 Å to about 100 Å.


The etching process exposes a portion 431 of the barrier layer 430 above the top surface 442 of the recessed metal layer 440. In FIG. 6C, the exposed portion 431 of the barrier layer 430 is etched to lower the top of the barrier layer to the top surface 442 of the metal layer 440. FIGS. 6D and 6E show a repetition of the metal layer 440 etch followed by the barrier layer 430 etch until a predetermined depth of the metal layer 440 has been removed and the top surface 442 of the metal layer 440 is at a predetermined depth, as shown in FIG. 6F.


Some embodiments of the disclosure are directed to semiconductor devices comprising a substrate 400 with a dielectric material 410 having at least one feature 414 formed therein. The at least one feature 414 having at least one sidewall 418 and a bottom 416.


The semiconductor device includes a barrier layer 430 located within the feature 414 so that the barrier layer 430 is on a portion of the sidewall 418 bounded by the dielectric material 410. In some embodiments, the barrier layer 430 is substantially only bounded by the dielectric material 410. The barrier layer 430 of some embodiments is a substantially conformal film on the sidewalls 418 and bottom 416 of the feature 414.


A metal layer 440 is within the feature 414 bounded by the barrier layer 430. In some embodiments, the metal layer 440 has barrier layer 430 on the sides and bottom of the metal layer 440. In some embodiments, the metal layer 440 has barrier layer 430 on the sides of the metal layer 440. In some embodiments, the top surface 442 of the metal layer 440 is substantially even with the top of the barrier layer 430. As used in this manner, the term “substantially even with” means that the top of the metal layer is even with the top of the barrier layer within ±10 Å, ±5 Å, ±4 Å, ±3 Å, ±2 Å or ±1 Å. In some embodiments, the top of the barrier layer 430 is below the top surface 442 of the metal layer 440.


Reference throughout this specification to “one embodiment,” “certain embodiments,” “one or more embodiments” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. Thus, the appearances of the phrases such as “in one or more embodiments,” “in certain embodiments,” “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.


Although the disclosure herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Thus, it is intended that the present disclosure include modifications and variations that are within the scope of the appended claims and their equivalents.

Claims
  • 1. A processing method comprising: providing a substrate comprising a dielectric material with at least one feature formed thereon, the at least one feature having at least one sidewall and a bottom, a distance from a surface of the dielectric material to the bottom of the feature defining a depth of the feature;passivating the dielectric material at the sidewall of the feature to form a passivated dielectric layer, wherein passivating the dielectric material at the sidewall of the feature forms a gradient passivated dielectric layer so that a portion of the sidewall from surface of the dielectric material to a predetermined depth is modified so that removal of the barrier layer from the passivated dielectric material is easier than removal of the barrier layer from the dielectric material;forming a barrier layer on the at least one sidewall on the passivated dielectric layer and the bottom of the at least one feature;depositing a metal layer in the feature to fill the at least one feature; andremoving a portion of the metal layer and the barrier layer to a predetermined depth and remove the barrier layer from the passivated dielectric layer.
  • 2. The method of claim 1, wherein passivating the dielectric material comprises treating the sidewall of the at least one feature so that adhesion of the barrier layer on the passivated dielectric layer is lower than adhesion of the barrier layer on the dielectric material.
  • 3. The method of claim 1, wherein the predetermined depth is the predetermined depth of the metal layer after removing the metal layer and the barrier layer.
  • 4. The method of claim 1, wherein passivating the dielectric material comprises one or more of UV or plasma exposure.
  • 5. The method of claim 4, wherein passivating the dielectric material comprises forming a nitride.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of U.S. application Ser. No. 16/214,522, filed on Dec. 10, 2018, which claims priority to U.S. Provisional Application No. 62/596,113, filed Dec. 7, 2017, the entire disclosures of which are hereby incorporated by reference herein.

US Referenced Citations (115)
Number Name Date Kind
4671970 Keiser et al. Jun 1987 A
5824597 Hong Oct 1998 A
6130151 Lin et al. Oct 2000 A
6143653 Tsai et al. Nov 2000 A
6528884 Lopatin et al. Mar 2003 B1
6576113 Scherer et al. Jun 2003 B1
6653200 Olsen Nov 2003 B2
7192803 Lin et al. Mar 2007 B1
7279119 Hellring et al. Oct 2007 B2
7288463 Papasouliotis Oct 2007 B1
7329956 Yu Feb 2008 B1
7541297 Mallick et al. Jun 2009 B2
7605082 Reid et al. Oct 2009 B1
7985977 Gogoi et al. Jul 2011 B2
8034705 Choi et al. Oct 2011 B2
8338225 Breitwisch et al. Dec 2012 B2
8575753 Choi et al. Nov 2013 B2
8951429 Liu et al. Feb 2015 B1
9012322 Duong et al. Apr 2015 B2
9117884 Shaviv et al. Aug 2015 B1
9236292 Romero et al. Jan 2016 B2
9312220 Lu et al. Apr 2016 B2
9324650 Edelstein et al. Apr 2016 B2
9362165 Bouche et al. Jun 2016 B1
9362413 Yu et al. Jun 2016 B2
9368395 Wei et al. Jun 2016 B1
9490202 Lin et al. Nov 2016 B2
9666451 Wallace et al. May 2017 B2
9679781 Abatchez et al. Jun 2017 B2
9721888 Chang et al. Aug 2017 B2
9806018 Clevenger Oct 2017 B1
9837314 Smith et al. Dec 2017 B2
10083834 Thompson et al. Sep 2018 B2
10319604 Duan et al. Jun 2019 B2
10319636 Basu et al. Jun 2019 B2
10957579 Kim Mar 2021 B2
20020098642 Harris et al. Jul 2002 A1
20020163081 Aoyama Nov 2002 A1
20030143862 Iyer Jul 2003 A1
20040067649 Hellring et al. Apr 2004 A1
20040192034 Ohiwa et al. Sep 2004 A1
20050121768 Edelstein et al. Jun 2005 A1
20050167846 Aoyama Aug 2005 A1
20050266627 Furukawa et al. Dec 2005 A1
20060169576 Brown et al. Aug 2006 A1
20060286806 Ohkuni et al. Dec 2006 A1
20070166981 Furukawa et al. Jul 2007 A1
20070199922 Shen et al. Aug 2007 A1
20080160783 Watanabe et al. Jul 2008 A1
20080182411 Elers Jul 2008 A1
20080242097 Boescke et al. Oct 2008 A1
20090017631 Bencher Jan 2009 A1
20090072409 Nitta et al. Mar 2009 A1
20090174040 Gogoi et al. Jul 2009 A1
20090269569 Fucsko et al. Oct 2009 A1
20090321931 Lee Dec 2009 A1
20100078617 Breitwisch et al. Apr 2010 A1
20100096691 Shin et al. Apr 2010 A1
20100171220 Cheng-Lin Jul 2010 A1
20100173494 Kobrin Jul 2010 A1
20100203725 Choi et al. Aug 2010 A1
20100301480 Choi et al. Dec 2010 A1
20100330805 Doan et al. Dec 2010 A1
20110049568 Lochtefeld et al. Mar 2011 A1
20110057317 Koike et al. Mar 2011 A1
20110089393 Kuo-Pin et al. Apr 2011 A1
20110207318 Usami Aug 2011 A1
20110281417 Gordon et al. Nov 2011 A1
20120015517 Oshida Jan 2012 A1
20120115302 Breitwisch et al. May 2012 A1
20120156857 Cohen Jun 2012 A1
20120178235 Pachamuthu et al. Jul 2012 A1
20120205804 McFeely et al. Aug 2012 A1
20130072019 Ryan Mar 2013 A1
20130109148 Oh et al. May 2013 A1
20130241037 Jeong et al. Sep 2013 A1
20130264533 Cheong et al. Oct 2013 A1
20140029181 Gstrein Jan 2014 A1
20140264747 Barabash Sep 2014 A1
20140264896 Wei et al. Sep 2014 A1
20140327140 Zhang et al. Nov 2014 A1
20150111374 Bao et al. Apr 2015 A1
20150132901 Wang et al. May 2015 A1
20150137113 Yu et al. May 2015 A1
20150170956 Naik et al. Jun 2015 A1
20150279736 Hotta et al. Oct 2015 A1
20150287675 Shaviv Oct 2015 A1
20150325622 Zhang et al. Nov 2015 A1
20150357439 Liu et al. Dec 2015 A1
20150364420 Mei et al. Dec 2015 A1
20150371896 Chen et al. Dec 2015 A1
20160049427 Zang Feb 2016 A1
20160056074 Na Feb 2016 A1
20160056104 Bouche et al. Feb 2016 A1
20160068710 Wang et al. Mar 2016 A1
20160093635 Rabkin et al. Mar 2016 A1
20160111342 Huang et al. Apr 2016 A1
20160133563 Ai et al. May 2016 A1
20160141416 Mariani et al. May 2016 A1
20160163587 Backes et al. Jun 2016 A1
20160163640 Edelstein et al. Jun 2016 A1
20160190008 Chandrashekar et al. Jun 2016 A1
20160190009 Wallace et al. Jun 2016 A1
20160260779 Kawashima et al. Sep 2016 A1
20170076945 Hudson Mar 2017 A1
20170077037 Kelly et al. Mar 2017 A1
20170186849 Chen et al. Jun 2017 A1
20170263563 Dutta et al. Sep 2017 A1
20170317026 Briggs Nov 2017 A1
20170338149 Lin Nov 2017 A1
20180096847 Thompson et al. Apr 2018 A1
20180130671 Duan et al. May 2018 A1
20180144980 Basu et al. May 2018 A1
20180323151 Briggs Nov 2018 A1
20180358260 Roy et al. Dec 2018 A1
Foreign Referenced Citations (8)
Number Date Country
2008108757 May 2008 JP
2011060803 Mar 2011 JP
2011233922 Nov 2011 JP
100223334 Oct 1999 KR
20000026588 May 2000 KR
20020020969 Mar 2002 KR
2016106092 Jun 2016 WO
2017136577 Aug 2017 WO
Non-Patent Literature Citations (12)
Entry
PCT International Search Report and Written Opinion in PCT/US2017/037141 dated Aug. 31, 2017, 11 pages.
PCT International Search Report and Written Opinion in PCT/US2017/053936 dated Jan. 12, 2018, 10 pages.
PCT International Search Report and Written Opinion in PCT/US2017/059737 dated May 18, 2018, 11 pages.
PCT International Search Report and Written Opinion in PCT/US2017/060367 dated Feb. 22, 2018, 9 pages.
PCT International Search Report and Written Opinion in PCT/US2017/060368 dated Jan. 31, 2018, 11 pages.
PCT International Search Report and Written Opinion in PCT/US2018/019146 dated May 23, 2018, 12 pages.
PCT International Search Report and Written Opinion in PCT/US2018/026026, dated Jul. 26, 2018, 11 pages.
PCT International Search Report and Written Opinion in PCT/US2018/027284 dated Aug. 2, 2018, 10 pages.
PCT international Search Report and Written Opinion in PCT/US2018/036690 dated Sep. 18, 2018, 9 pages.
PCT International Search Report and Written Opinion in PCT/US2018/048503 dated Dec. 14, 2018, 10 pages.
PCT International Search Report and Written Opinion in PCT/US2018/048504 dated Dec. 13, 2018, 10 pages.
PCT International Search Report and Written Opinion in PCT/US2018/048509 dated Dec. 13, 2018, 10 pages.
Related Publications (1)
Number Date Country
20210305091 A1 Sep 2021 US
Provisional Applications (1)
Number Date Country
62596113 Dec 2017 US
Divisions (1)
Number Date Country
Parent 16214522 Dec 2018 US
Child 17345683 US