Resist composition and patterning process

Abstract
A resist composition comprising a base polymer and an acid generator containing a sulfonium salt which is structured such that an iodized or brominated hydrocarbyl group (exclusive of iodized or brominated aromatic ring) is bonded to a benzene ring via an ester bond-containing group offers a high sensitivity, minimal LWR and improved CDU independent of whether it is of positive or negative tone.
Description
CROSS-REFERENCE TO RELATED APPLICATION

This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2019-151743 filed in Japan on Aug. 22, 2019, the entire contents of which are hereby incorporated by reference.


TECHNICAL FIELD

This invention relates to a resist composition and a pattern forming process.


BACKGROUND ART

To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. In particular, the enlargement of the logic memory market to comply with the wide-spread use of smart phones drives forward the miniaturization technology. As the advanced miniaturization technology, manufacturing of microelectronic devices at the 10-nm node by double patterning of the ArF immersion lithography has been implemented in a mass scale. Manufacturing of 7-nm node devices as the next generation by the double patterning technology is approaching to the verge of high-volume application. The candidate for 5-nm node devices as the next generation but one is EUV lithography.


The EUV resist material must meet high sensitivity, high resolution and low edge roughness (LWR) at the same time. As the acid diffusion distance is reduced, LWR is reduced, but sensitivity becomes lower. For example, as the PEB temperature is lowered, the outcome is a reduced LWR, but a lower sensitivity. As the amount of quencher added is increased, the outcome is a reduced LWR, but a lower sensitivity. It is necessary to overcome the tradeoff relation between sensitivity and LWR.


The wavelength (13.5 nm) of EUV is shorter than the wavelength (193 nm) of ArF excimer laser by at least one order, and the energy density of EUV is greater than that of ArF by one order. It is believed that since the number of photons available in a photoresist layer upon EUV exposure is as small as 1/14 of that of ArF exposure, a variation of size (LWR or CDU) is largely affected by a variation of photon number. There arises the phenomenon that a hole pattern is not opened at a one-in-several millions probability because of a variation of photon number. It is pointed out that the light absorption of a photoresist material must be increased in order to minimize the variation of photon number.


Patent Documents 1 to 3 disclose a sulfonium salt having a halogen-substituted benzene ring. Since fully EUV absorptive halogen atoms are introduced on the cation side, the decomposition of the cation upon EUV exposure is promoted, leading to an improvement in sensitivity. However, fluorine atoms are not so absorptive. Iodine atoms are absorptive, but remain stable when bonded to an aromatic group. Thus the available sensitizing effect is limited.


CITATION LIST



  • Patent Document 1: JP-A 2012-107151 (U.S. Pat. No. 8,785,105)

  • Patent Document 2: JP-A 2017-015777 (U.S. Pat. No. 9,766,541)

  • Patent Document 3: JP-A 2018-118962



SUMMARY OF INVENTION

For the chemically amplified resist composition using an acid catalyst, it is desired to develop an acid generator capable of achieving a high sensitivity and reducing the LWR of line patterns or improving the CDU of hole patterns.


An object of the invention is to provide a resist composition which achieves a high sensitivity, minimal LWR and improved CDU independent of whether it is of positive or negative tone, and a pattern forming process using the resist composition.


The inventors have found that a resist composition having a high sensitivity, minimal LWR, improved CDU, high contrast, high resolution and wide process margin is obtained using as an acid generator a sulfonium salt which is structured such that an iodized or brominated hydrocarbyl group (exclusive of iodized or brominated aromatic ring) is bonded to a benzene ring via an ester bond-containing group.


In one aspect, the invention provides a resist composition comprising an acid generator containing a sulfonium salt having the formula (1).




embedded image


Herein k, m and n are each independently an integer of 1 to 3, p is 0 or 1, q is an integer of 0 to 4, r is an integer of 1 to 3. XBI is iodine or bromine. Ra1 is a C1-C20 (k+1)-valent aliphatic hydrocarbon group which may contain at least one moiety selected from the group consisting of ether bond, carbonyl, ester bond, amide bond, sultone ring, lactam ring, carbonate, halogen exclusive of iodine, C6-C12 aryl, hydroxyl, and carboxyl. X1 is a single bond, ether bond, ester bond, amide bond, carbonyl or carbonate group. X2 is a single bond or a C1-C20 (m+1)-valent hydrocarbon group which may contain at least one moiety selected from the group consisting of ether bond, carbonyl, ester bond, amide bond, sultone ring, lactam ring, carbonate, halogen exclusive of iodine, hydroxyl, and carboxyl. X3 is a single bond, ether bond or ester bond. R1 is a single bond or a C1-C20 saturated hydrocarbylene group which may contain an ether bond, ester bond or hydroxyl. R2 is a C1-C20 hydrocarbyl group which may contain a heteroatom, in case of r=1, two R2 may be identical or different and may bond together to form a ring with the sulfur atom to which they are attached. R3 is hydroxyl, carboxyl, nitro, cyano, fluorine, chlorine, bromine, iodine, amino, or a C1-C20 saturated hydrocarbyl, C1-C20 saturated hydrocarbyloxy, C2-C20 saturated hydrocarbylcarbonyloxy, C2-C20 saturated hydrocarbyloxycarbonyl or C1-C4 saturated hydrocarbylsulfonyloxy group, which may contain fluorine, chlorine, bromine, iodine, hydroxyl, amino or ether bond. X is a non-nucleophilic counter ion.


Preferably, the non-nucleophilic counter ion is a fluorinated sulfonate, fluorinated imide or fluorinated methide ion.


In a preferred embodiment, the resist composition further comprises a base polymer.


Preferably, the base polymer comprises recurring units having the formula (a1) or recurring units having the formula (a2).




embedded image



Herein RA is each independently hydrogen or methyl. Y1 is a single bond, phenylene group, naphthylene group, or C1-C12 linking group containing an ester bond, ether bond or lactone ring. Y2 is a single bond, ester bond or amide bond. Y3 is a single bond, ether bond or ester bond. R1 and R12 each are an acid labile group. R13 is fluorine, trifluoromethyl, cyano, C1-C6 saturated hydrocarbyl, C1-C6 saturated hydrocarbyloxy, C2-C7 saturated hydrocarbylcarbonyl, C2-C7 saturated hydrocarbylcarbonyloxy, or C2-C7 saturated hydrocarbyloxycarbonyl group. R14 is a single bond or a C1-C6 saturated hydrocarbylene group in which some carbon may be replaced by an ether bond or ester bond; a is 1 or 2, 10 and b is an integer of 0 to 4.


The resist composition is typically a chemically amplified positive resist composition.


In another preferred embodiment, the base polymer is free of an acid labile group. The resist composition is typically a chemically amplified negative resist composition.


The base polymer may further comprise recurring units of at least one type selected from the formulae (f1) to (f3).




embedded image



Herein RA is each independently hydrogen or methyl. Z1 is a single bond, phenylene group, —O—Z11—, —C(═O)—O—Z11— or —C(═O)—NH—Z11—, wherein Z11 is a C1-C6 aliphatic hydrocarbylene group or phenylene group, which may contain carbonyl, ester bond, ether bond or hydroxyl. Z2 is a single bond, —Z21—C(═O)—O—, —Z21—O— or —Z21—O—C(═O)—, wherein Z21 is a C1-C12 saturated hydrocarbylene group which may contain carbonyl, ester bond or ether bond. Z3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z31—, —C(═O)—O—Z31— or —C(═O)—NH—Z31—, wherein Z31 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group, or trifluoromethyl-substituted phenylene group, which may contain carbonyl, ester bond, ether bond or hydroxyl. R21 to R28 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom, any two of R23, R24 and R25 or any two of R26, R27 and R28 may bond together to form a ring with the sulfur atom to which they are attached. A is hydrogen or trifluoromethyl. M is a non-nucleophilic counter ion.


The resist composition may further comprise an organic solvent, a quencher, and/or a surfactant.


In another aspect, the invention provides a process for forming a pattern comprising the steps of applying the resist composition defined above onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.


Preferably, the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm, KrF excimer laser radiation of wavelength 248 nm, EB, or EUV of wavelength 3 to 15 nm.


Advantageous Effects of Invention

The sulfonium salt having formula (1) is highly effective for suppressing acid diffusion because of the large atomic weight of iodine or bromine. Since iodine atoms are highly absorptive to EUV of wavelength 13.5 nm, or bromine atoms are likely to ionize, iodine or bromine atoms generate secondary electrons during exposure. Also, iodine atoms attached to alkyl groups generate radicals. These actions promote decomposition of the sulfonium salt, leading to a high sensitivity. Thus a resist composition having a high sensitivity, minimal LWR and improved CDU is designed.







DESCRIPTION OF EMBODIMENTS

As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. The notation (Cn-Cm) means a group containing from n to in carbon atoms per group. As used herein, the term “iodized” or “brominated” indicates that a compound contains iodine or bromine; and the terms “group” and “moiety” are interchangeable.


The abbreviations and acronyms have the following meaning.

    • EB: electron beam
    • EUV: extreme ultraviolet
    • Mw: weight average molecular weight
    • Mn: number average molecular weight
    • Mw/Mn: molecular weight distribution or dispersity
    • GPC: gel permeation chromatography
    • PEB: post-exposure bake
    • PAG: photoacid generator
    • LWR: line width roughness
    • CDU: critical dimension uniformity


      Resist Composition


One embodiment of the invention is a resist composition comprising a sulfonium salt having the formula (1) and optionally, a base polymer. The sulfonium salt is an acid generator in the sense that the salt is decomposed upon light exposure into a cation and an anion which is an acid. The sulfonium salt is an effective acid generator particularly when its anion is a fluorinated sulfonic acid, fluorinated imide acid or fluorinated methide acid.


The sulfonium salt type acid generator of the invention is highly absorptive to EUV and efficiently decomposable because the cation contains an iodized or brominated hydrocarbyl group (exclusive of iodized or brominated benzene ring). JP-A 2018-005224 and 2018-025789 describe sulfonium and iodonium salts having an iodized benzene ring in the anion wherein a high sensitivity is achieved by rendering the anion more photo-absorptive. The inventive sulfonium salt is based on the mechanism that the cation is decomposed as a result of light absorption. Rendering the cation more photo-absorptive is more effective for achieving a high sensitivity.


The inventive sulfonium salt having iodine or bromine of large atomic weight introduced in the cation is less diffusive and fully compatible with a polymer. Thus the sulfonium salt is well dispersible, leading to improvements in LWR and CDU.


The sulfonium salt (or acid generator) having formula (1) exerts a LWR or CDU improving effect, which may stand good either in positive and negative tone pattern formation by aqueous alkaline development or in negative tone pattern formation by organic solvent development.


The sulfonium salt having formula (1) may be used as a positive resist material without a need to blend it with a base polymer. When a resist film is formed by dissolving the sulfonium salt alone in a solvent and coating the solution, an exposed region of the resist film is soluble in alkaline aqueous solution.


Sulfonium Salt


The sulfonium salt in the resist composition has the formula (1).




embedded image


In formula (1), k, m and n are each independently an integer of 1 to 3, p is 0 or 1, q is an integer of 0 to 4, and r is an integer of 1 to 3.


XB1 is iodine or bromine.


Ra1 is a C1-C20 (k+1)-valent aliphatic hydrocarbon group which may contain at least one moiety selected from the group consisting of ether bond, carbonyl, ester bond, amide bond, sultone ring, lactam ring, carbonate, halogen exclusive of iodine, C6-C12 aryl, hydroxyl, and carboxyl.


The aliphatic hydrocarbylene group may be saturated or unsaturated, and straight, branched or cyclic. Examples include alkanediyl groups such as methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,1-diyl, propane-1,2-diyl, propane-1,3-diyl, propane-2,2-diyl, butane-1,1-diyl, butane-1,2-diyl, butane-1,3-diyl, butane-2,3-diyl, butane-1,4-diyl, 1,1-dimethylethane-1,2-diyl, pentane-1,5-diyl, 2-methylbutane-1,2-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, and dodecane-1,12-diyl; cycloalkanediyl groups such as cyclopropane-1,1-diyl, cyclopropane-1,2-diyl, cyclobutane-1,1-diyl, cyclobutane-1,2-diyl, cyclobutane-1,3-diyl, cyclopentane-1,1-diyl, cyclopentane-1,2-diyl, cyclopentane-1,3-diyl, cyclohexane-1,1-diyl, cyclohexane-1,2-diyl, cyclohexane-1,3-diyl, and cyclohexane-1,4-diyl; polycyclic saturated hydrocarbylene groups such as norbornane-2,3-diyl and norbornane-2,6-diyl; alkenediyl groups such as 2-propene-1,1-diyl; alkynediyl groups such as 2-propyne-1,1-diyl; cycloalkenediyl groups such as 2-cyclohexene-1,2-diyl, 2-cyclohexene-1,3-diyl, and 3-cyclohexene-1,2-diyl; polycyclic unsaturated hydrocarbylene groups such as 5-norbonene-2,3-diyl; and alicyclic hydrocarbylene-substituted alkanediyl groups such as cyclopentylmethanediyl, cyclohexylmethanediyl, 2-cyclopentenylmethanediyl, 3-cyclopentenylmethanediyl, 2-cyclohexenylmethanediyl, and 3-cyclohexenylmethanediyl. Suitable C6-C12 aryl moieties include phenyl, tolyl, xylyl, I-naphthyl and 2-naphthyl; and tri- or tetravalent forms of the foregoing groups with one or two hydrogen atoms being eliminated.


In formula (1), X1 is a single bond, ether bond, ester bond, amide bond, carbonyl or carbonate group. X2 is a single bond or a C1-C20 (m+1)-valent hydrocarbon group which may contain at least one moiety selected from the group consisting of ether bond, carbonyl, ester bond, amide bond, sultone ring, lactam ring, carbonate, halogen exclusive of iodine, hydroxyl, and carboxyl. X3 is a single bond, ether bond or ester bond.


In formula (1), R1 is a single bond or a C1-C20 saturated hydrocarbylene group which may contain an ether bond, ester bond or hydroxyl moiety. The saturated hydrocarbylene group may be straight, branched or cyclic. Examples of the saturated hydrocarbylene group include the same as the above-exemplified alkanediyl, cycloalkanediyl, and polycyclic saturated hydrocarbylene groups, with the alkanediyl groups being preferred.


In formula (1), R2 is a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be straight, branched or cyclic. Examples include C1-C20 saturated hydrocarbyl, C2-C20 unsaturated aliphatic hydrocarbyl, C6-C20 aryl, and C7-C20 aralkyl groups, and combinations thereof.


The saturated hydrocarbyl group may be straight, branched or cyclic. Examples include alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, neopentyl, n-hexyl, n-heptyl, n-octyl, 2-ethylhexyl, n-nonyl, n-decyl, n-undecyl, n-dodecyl, n-tridecyl, n-pentadecyl, and n-hexadecyl; and cyclic saturated hydrocarbyl groups such as cyclopentyl and cyclohexyl.


The unsaturated aliphatic hydrocarbyl group may be straight, branched or cyclic. Examples include alkenyl groups such as vinyl, 1-propenyl, 2-propenyl, butenyl and hexenyl, alkynyl groups such as ethynyl, propynyl and butynyl, and cyclic unsaturated hydrocarbyl groups such as cyclohexenyl.


Suitable aryl groups include phenyl, methylphenyl, ethylphenyl, n-propylphenyl, isopropylphenyl, n-butylphenyl, isobutylphenyl, sec-butylphenyl, tert-butylphenyl, naphthyl, methylnaphthyl, ethylnaphthyl, n-propylnaphthyl, isopropylnaphthyl, n-butylnaphthyl, isobutylnaphthyl, sec-butylnaphthyl, and tert-butylnaphthyl.


Suitable aralkyl groups include benzyl and phenethyl.


In these groups, some or all hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some carbon may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl moiety, carboxyl moiety, halogen atom, cyano moiety, amino moiety, nitro moiety, sultone moiety, sulfone moiety, sulfonium salt-containing moiety, ether bond, ester bond, carbonyl moiety, sulfide bond, sulfonyl moiety or amide bond.


In case of r=1, two R2 may be identical or different and may bond together to form a ring with the sulfur atom to which they are attached. Preferred examples of the ring include the following structures.




embedded image



Notably, the broken line designates an attachment to the aromatic ring in formula (1).


In formula (1), R3 is hydroxyl, carboxyl, nitro, cyano, fluorine, chlorine, bromine, iodine, amino, or a C1-C20 saturated hydrocarbyl, C1-C20 saturated hydrocarbyloxy, C2-C20 saturated hydrocarbylcarbonyloxy, C2-C20 saturated hydrocarbyloxycarbonyl or C1-C4 saturated hydrocarbylsulfonyloxy group, which may contain fluorine, chlorine, bromine, iodine, hydroxyl, amino or ether bond.


The saturated hydrocarbyloxy group may be straight, branched or cyclic, and examples thereof include methoxy, ethoxy, n-propyloxy, isopropyloxy, n-butyloxy, isobutyloxy, sec-butyloxy, tert-butyloxy, n-pentyloxy, neopentyloxy, cyclopentyloxy, n-hexyloxy, cyclohexyloxy, n-heptyloxy, n-octyloxy, 2-ethylhexyloxy, n-nonyloxy, n-decyloxy, n-undecyloxy, n-dodecyloxy, n-tridecyloxy, n-pentadecyloxy, and n-hexadecyloxy.


Suitable saturated hydrocarbylcarbonyloxy groups include acetyloxy, propionyloxy, butyryloxy, and isobutyryloxy.


Examples of the saturated hydrocarbyloxycarbonyl group include methoxycarbonyl, ethoxycarbonyl, n-propyloxycarbonyl, isopropyloxycarbonyl, n-butyloxycarbonyl, isobutyloxycarbonyl, sec-butyloxycarbonyl, tert-butyloxycarbonyl, n-pentyloxycarbonyl, neopentyloxycarbonyl, cyclopentyloxycarbonyl, n-hexyloxycarbonyl, cyclohexyloxycarbonyl, n-heptyloxycarbonyl, n-octyloxycarbonyl, 2-ethylhexyloxycarbonyl, n-nonyloxycarbonyl, n-decyloxycarbonyl, n-undecyloxycarbonyl, n-dodecyloxycarbonyl, n-tridecyloxycarbonyl, and n-pentadecyloxycarbonyl.


Examples of the cation in the sulfonium salt having formula (1) are given below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In formula (1), X is a non-nucleophilic counter ion. Suitable non-nucleophilic counter ions include fluorinated sulfonate ions, fluorinated imide ions, and fluorinated methide ions. Examples include fluoroalkylsulfonate ions such as triflate, 2,2,2-trifluoroethanesulfonate, and nonafluorobutanesulfonate, and arylsulfonate ions such as tosylate, benzenesulfonate, 4-fluorobenzenesulfonate, and 2,3,4,5,6-pentafluorobenzenesulfonate.


Other suitable examples include α-fluorosulfonate anions as described in JP-A 2004-531749, JP-A 2007-145797, JP-A 2008-007410, JP-A 2018-101130, JP-A 2018-049177, and WO 2011/093139; β-fluorosulfonate anions as described in JP-A 2014-133725; α-fluorosulfonate anions, fluoroimide anions, fluoromethide anions as described in JP-A 2014-126767; fluorosulfonimide anions as described in JP-A 2016-210761; and iodized aromatic group-containing fluorosulfonate anions as described in JP-A 2018-005224 and JP-A 2018-025789.


These anions are strong acids capable of promoting deprotection reaction of acid labile groups in positive resist compositions, or crosslinking or polarity switch reaction in negative resist compositions.


Anions having the following formulae (1A) to (1D) are also useful as the anion X.




embedded image


In formula (1A), Rfa is fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified below for R5 in formula (1A′).


Of the anions of formula (1A), an anion having the formula (1A′) is preferred.




embedded image


In formula (1A′), R4 is hydrogen or trifluoromethyl, preferably trifluoromethyl.


R5 is a C1-C38 hydrocarbyl group which may contain a heteroatom. As the heteroatom, oxygen, nitrogen, sulfur and halogen atoms are preferred, with oxygen being most preferred. Of the hydrocarbyl groups, those groups of 6 to 30 carbon atoms are preferred from the aspect of achieving a high resolution in forming patterns of fine feature size. The hydrocarbyl groups may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include, but are not limited to, alkyl groups such as methyl, ethyl, propyl, isopropyl, butyl, isobutyl, sec-butyl, tert-butyl, pentyl, neopentyl, hexyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, and eicosanyl; cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, 1-adamantyl, 2-adamantyl, I-adamantylmethyl, norbornyl, norbornylmethyl, tricyclodecanyl, tetracyclododecanyl, tetracyclododecanylmethyl, and dicyclohexylmethyl; unsaturated aliphatic hydrocarbyl groups such as allyl and 3-cyclohexenyl; aryl groups such as phenyl, 1-naphthyl and 2-naphthyl; and aralkyl groups such as benzyl and diphenylmethyl. In these groups, some or all hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some carbon may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl, cyano, carbonyl, ether bond, ester bond, sulfonic acid ester bond, carbonate, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety. Examples of the heteroatom-containing hydrocarbyl group include tetrahydrofuryl, methoxymethyl, ethoxymethyl, methylthiomethyl, acetamidomethyl, trifluoroethyl, (2-methoxyethoxy)methyl, acetoxymethyl, 2-carboxy-1-cyclohexyl, 2-oxopropyl, 4-oxo-1-adamantyl, and 3-oxocyclohexyl.


With respect to the synthesis of the sulfonium salt having an anion of formula (1A′), reference may be made to JP-A 2007-145797, JP-A 2008-106045, JP-A 2009-007327, and JP-A 2009-258695. Also useful are the sulfonium salts described in JP-A 2010-215608, JP-A 2012-041320, JP-A 2012-106986, and JP-A 2012-153644.


Examples of the anion having formula (1A) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


In formula (1B), Rfb1 and Rfb2 are each independently fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for R5 in formula (1A′). Preferably Rfb1 and Rfb2 are fluorine or C1-C4 straight fluorinated alkyl groups. Also, Rfb1 and Rfb2 may bond together to form a ring with the linkage: —CF2—SO2—N—SO2—CF2— to which they are attached. It is preferred that a combination of Rfb1 and Rfb2 be a fluorinated ethylene or fluorinated propylene group.


In formula (IC), Rfc1, Rfc2 and Rfc3 are each independently fluorine or a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified for R5. Preferably Rfc1, Rfc2 and Rfc3 are fluorine or C1-C4 straight fluorinated alkyl groups. Also, Rfc1 and Rfc2 may bond together to form a ring with the linkage: —CF2—SO2—C—SO2—CF2— to which they are attached. It is preferred that a combination of Rfc1 and Rfc2 be a fluorinated ethylene or fluorinated propylene group.


In formula (1D), Rfd is a C1-C40 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are as exemplified above for R5.


With respect to the synthesis of the sulfonium salt having an anion of formula (1D), reference may be made to JP-A 2010-215608 and JP-A 2014-133723.


Examples of the anion having formula (1D) are shown below, but not limited thereto.




embedded image


embedded image


Other examples of the anion X are those having the formula (1E).




embedded image


In formula (1E), s is an integer of 1 to 5, t is an integer of 0 to 3, and 1≤+t≤5; preferably, s is an integer of 1 to 3, more preferably 2 or 3, and t is an integer of 0 to 2; and u is an integer of 1 to 3.


XBI is iodine or bromine, and may be identical or different when s and/or u are 2 or 3.


L1 is a single bond, ether bond, ester bond, or a C1-C6 saturated hydrocarbylene group which may contain an ether bond or ester bond. The saturated hydrocarbylene group may be straight, branched or cyclic.


L2 is a single bond or a C1-C20 divalent linking group when u=1, or a C1-C20 (u+1)-valent linking group when u=2 or 3. The linking group may contain an oxygen, sulfur or nitrogen atom.


R6 is hydroxyl, carboxyl, fluorine, chlorine, bromine, amino group, or a C1-C20 saturated hydrocarbyl, C1-C20 saturated hydrocarbyloxy, C2-C10 saturated hydrocarbyloxycarbonyl, C2-C20 saturated hydrocarbylcarbonyloxy, or C1-C20 saturated hydrocarbylsulfonyloxy group, which may contain fluorine, chlorine, bromine, hydroxyl, amino or ether bond, or —NR6A—C(═O)—R6B or —NR6A—C(═O)—O—R6B. R6A is hydrogen, or a C1-C6 saturated hydrocarbyl group which may contain halogen, hydroxy, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyl or C2-C6 saturated hydrocarbylcarbonyloxy moiety. R6B is a C1-C16 aliphatic hydrocarbyl group or C6-C12 aryl group, which may contain halogen, hydroxyl, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyl or C2-C6 saturated hydrocarbylcarbonyloxy moiety. The aliphatic hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. The saturated hydrocarbyl, saturated hydrocarbyloxy, saturated hydrocarbyloxycarbonyl, saturated hydrocarbylcarbonyl, and saturated hydrocarbylcarbonyloxy groups may be straight, branched or cyclic. Groups R6 may be identical or different when t and/or u are 2 or 3.


Of these, R6 is preferably hydroxyl, —NR6A—C(═O)—R6B, —NR6A—C(═O)—O—R6B, fluorine, chlorine, bromine, methyl or methoxy.


Rf1 to Rf4 are each independently hydrogen, fluorine or trifluoromethyl, at least one of Rf1 to Rf4 is fluorine or trifluoromethyl, or Rf1 and Rf2, taken together, may form a carbonyl group. More preferably, both Rf3 and Rf4 are fluorine.


Examples of the anion having formula (1E) are shown below, but not limited thereto. XBI is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The sulfonium salt having formula (1) may be synthesized, for example, by esterification reaction of a salt consisting of the anion defined above and a hydroxyl-containing sulfonium cation with a carboxylic acid or carboxylic chloride having an iodized or brominated hydrocarbyl group (exclusive of iodized or brominated aromatic ring).


The resist composition comprising the sulfonium salt having formula (1) can be patterned independent of whether the sulfonium salt is used alone or in admixture with a base polymer. In the latter case, the sulfonium salt having formula (1) is preferably used in an amount of 0.01 to 1,000 parts by weight, more preferably 0.05 to 500 parts by weight per 100 parts by weight of the base polymer, as viewed from the standpoints of sensitivity and acid diffusion suppressing effect.


Base Polymer


Where the resist composition is of positive tone, the base polymer comprises recurring units containing an acid labile group, preferably recurring units having the formula (a1) or recurring units having the formula (a2). These units are simply referred to as recurring units (a1) and (a2).




embedded image


Herein RA is each independently hydrogen or methyl. Y1 is a single bond, phenylene or naphthylene group, or C1-C12 linking group containing an ester bond, ether bond or lactone ring. Y2 is a single bond, ester bond or amide bond. Y3 is a single bond, ether bond or ester bond. R11 and R12 each are an acid labile group. R13 is fluorine, trifluoromethyl, cyano, C1-C6 saturated hydrocarbyl, C1-C6 saturated hydrocarbyloxy, C2-C7 saturated hydrocarbylcarbonyl, C2-C7 saturated hydrocarbylcarbonyloxy, or C2-C7 saturated hydrocarbyloxycarbonyl group. R14 is a single bond or a C1-C6 saturated hydrocarbylene group in which some carbon may be replaced by an ether bond or ester bond. The subscript “a” is 1 or 2, and b is an integer of 0 to 4.


Examples of the monomer from which the recurring units (a1) are derived are shown below, but not limited thereto. RA and R11 are as defined above.




embedded image


embedded image


Examples of the monomer from which the recurring units (a2) are derived are shown below, but not limited thereto. RA and R12 are as defined above.




embedded image


The acid labile groups represented by R11 and R12 in formulae (a1) and (a2) may be selected from a variety of such groups, for example, those groups described in JP-A 2013-080033 (U.S. Pat. No. 8,574,817) and JP-A 2013-083821 (U.S. Pat. No. 8,846,303).


Typical of the acid labile group are groups of the following formulae (AL-1) to (AL-3).




embedded image


In formulae (AL-1) and (AL-2), RL1 and RL2 are each independently a C1-C40 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl groups may be saturated or unsaturated and straight, branched or cyclic. Inter alia, C1-C40 alkyl groups are preferred, with C1-C20 alkyl being more preferred. In formula (AL-1), c is an integer of 0 to 10, preferably 1 to 5.


In formula (AL-2), RL3 and RL4 are each independently hydrogen or a C1-C20 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl groups may be saturated or unsaturated and straight, branched or cyclic. Inter alia, C1-C20 alkyl groups are preferred. Any two of RL2, RL3 and RL4 may bond together to form a ring, typically alicyclic, with the carbon atom or carbon and oxygen atoms to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.


In formula (AL-3), RL5, RL6 and RL7 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The hydrocarbyl groups may be saturated or unsaturated and straight, branched or cyclic.


Inter alia, C1-C20 alkyl groups are preferred. Any two of RL5, RL6 and RL7 may bond together to form a ring, typically alicyclic, with the carbon atom to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.


The base polymer may further comprise recurring units (b) having a phenolic hydroxyl group as an adhesive group. Examples of suitable monomers from which recurring units (b) are derived are given below, but not limited thereto. Herein RA is as defined above.




embedded image


Further, recurring units (c) having another adhesive group selected from hydroxyl (other than the foregoing phenolic hydroxyl), lactone ring, sultone ring, ether bond, ester bond, sulfonate bond, carbonyl, sulfonyl, cyano, and carboxyl groups may also be incorporated in the base polymer. Examples of suitable monomers from which recurring units (c) are derived are given below, but not limited thereto. Herein RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In another preferred embodiment, the base polymer may further comprise recurring units (d) which are derived from indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, norbornadiene, or derivatives thereof. Suitable monomers are exemplified below.




embedded image


The base polymer may further comprise recurring units (e) which are derived from styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, methyleneindene, vinylpyridine, vinylcarbazole, or derivatives thereof.


In a further embodiment, recurring units (f) derived from an onium salt having a polymerizable unsaturated bond may be incorporated in the base polymer. The recurring units (f) are preferably recurring units of at least one type selected from formulae (f1), (f2) and (f3). These units are simply referred to as recurring units (f1), (f2) and (f3), which may be used alone or in combination of two or more types.




embedded image


In formulae (f1) to (f3), RA is each independently hydrogen or methyl. Z1 is a single bond, phenylene group, —O—Z1—, —C(═O)—O—Z11—, or —C(═O)—NH—Z11—, wherein Z11 is a C1-C6 aliphatic hydrocarbylene group or phenylene group, which may contain a carbonyl, ester bond, ether bond or hydroxyl moiety. Z2 is a single bond, —Z21—C(═O)—O—, —Z21—O— or —Z21—O—C(═O)—, wherein Z21 is a C1-C12 saturated hydrocarbylene group which may contain a carbonyl moiety, ester bond or ether bond. Z3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z3—, —C(═O)—O—Z31—, or —C(═O)—NH—Z31—, wherein Z31 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group, trifluoromethyl-substituted phenylene group, which may contain a carbonyl moiety, ester bond, ether bond or hydroxyl moiety. The aliphatic hydrocarbylene group may be saturated or unsaturated and straight, branched or cyclic. The saturated hydrocarbylene group may be straight, branched or cyclic.


In formulae (f1) to (f3), R21 to R28 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples include C1-C20, preferably C1-C12 alkyl groups, C6-C20, preferably C6-C12 aryl groups, and C7-C20 aralkyl groups. In these groups, some or all hydrogen atoms may be substituted by C1-C10 saturated hydrocarbyl, halogen, trifluoromethyl, cyano, nitro, hydroxyl, mercapto, C1-C10 saturated hydrocarbyloxy, C2-C10 saturated hydrocarbyloxycarbonyl, or C2-C10 hydrocarbylcarbonyloxy moieties, or some carbon may be replaced by a carbonyl moiety, ether bond or ester bond. Also, any two of R23, R24 and R25 or any two of R26, R27 and R28 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the ring are as exemplified above as the ring that two R2, taken together, form with the sulfur atom in formula (1) in the case of r=1.


In formula (f2), A1 is hydrogen or trifluoromethyl.


In formula (f1), M is a non-nucleophilic counter ion. Examples of the non-nucleophilic counter ion include halide ions such as chloride and bromide ions; fluoroalkylsulfonate ions such as triflate, 1,1,1-trifluoroethanesulfonate, and nonafluorobutanesulfonate; arylsulfonate ions such as tosylate, benzenesulfonate, 4-fluorobenzenesulfonate, and 1,2,3,4,5-pentafluorobenzenesulfonate; alkylsulfonate ions such as mesylate and butanesulfonate; imide ions such as bis(trifluoromethylsulfonyl)imide, bis(perfluoroethylsulfonyl)imide and bis(perfluorobutylsulfonyl)imide; methide ions such as tris(trifluoromethylsulfonyl)methide and tris(perfluoroethylsulfonyl)methide.


Also included are sulfonate ions having fluorine substituted at α-position as represented by the formula (f1-1) and sulfonate ions having fluorine substituted at α-position and trifluoromethyl at β-position as represented by the formula (f1-2).




embedded image


In formula (f1-1), R31 is hydrogen or a C1-C20 hydrocarbyl group which may contain an ether bond, ester bond, carbonyl moiety, lactone ring, or fluorine atom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are the same as exemplified above for the hydrocarbyl group R5 in formula (IA′).


In formula (f1-2), R32 is hydrogen, or a C1-C30 hydrocarbyl or C2-C30 hydrocarbylcarbonyl group which may contain an ether bond, ester bond, carbonyl moiety or lactone ring. The hydrocarbyl group and hydrocarbyl moiety of the hydrocarbylcarbonyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof are the same as exemplified above for the hydrocarbyl group R5 in formula (1A′).


Examples of the cation in the monomer from which recurring unit (f1) is derived are shown below, but not limited thereto. RA is as defined above.




embedded image


embedded image


embedded image


Examples of the cation in the monomer from which recurring unit (f2) or (f3) include sulfonium cations described in JP-A 2017-219836.


Examples of the anion in the monomer from which recurring unit (f2) is derived are shown below, but not limited thereto. RA is as defined above.




embedded image


embedded image


embedded image


Examples of the anion in the monomer from which recurring unit (f3) is derived are shown below, but not limited thereto. RA is as defined above.




embedded image


embedded image


The attachment of an acid generator to the polymer main chain is effective in restraining acid diffusion, thereby preventing a reduction of resolution due to blur by acid diffusion. Also LWR or CDU is improved since the acid generator is uniformly distributed.


The base polymer for formulating the positive resist composition comprises recurring units (a1) or (a2) having an acid labile group as essential component and additional recurring units (b), (c), (d), (e), and (f) as optional components. A fraction of units (a1), (a2), (b), (c), (d), (e), and (f) is: preferably 0≤a1<1.0, 0≤a2<1.0, 0<a1+a2<1.0, 0≤b≤0.9, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, and 0≤f≤0.5; more preferably 0≤a1≤0.9, 0≤a2≤0.9, 0.1≤a1+a2≤0.9, 0≤b≤0.8, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, and 0≤f≤0.4; and even more preferably 0≤a1≤0.8, 0≤a2≤0.8, 0.1≤a1+a2≤0.8, 0≤b≤0.75, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, and 0≤f≤0.3. Notably, f=f1+f2+f3, meaning that unit (f) is at least one of units (f1) to (f3), and a1+a2+b+c+d+e+f=1.0.


For the base polymer for formulating the negative resist composition, an acid labile group is not necessarily essential. The base polymer comprises recurring units (b), and optionally recurring units (c), (d), (e), and/or (f). A fraction of these units is: preferably 0<b≤1.0, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, and 0≤f≤0.5; more preferably 0.2≤b≤1.0, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, and 0≤f≤0.4; and even more preferably 0.3≤b≤1.0, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, and 0≤f≤0.3. Notably, f=f1+f2+f3, meaning that unit (f) is at least one of units (f1) to (f), and b+c+d+e+f=1.0.


The base polymer may be synthesized by any desired methods, for example, by dissolving one or more monomers selected from the monomers corresponding to the foregoing recurring units in an organic solvent, adding a radical polymerization initiator thereto, and heating for polymerization. Examples of the organic solvent which can be used for polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, and dioxane. Examples of the polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. Preferably, the polymerization temperature is 50 to 80° C. and the reaction time is 2 to 100 hours, more preferably 5 to 20 hours.


Where a monomer having a hydroxyl group is copolymerized, the hydroxyl group may be replaced by an acetal group susceptible to deprotection with acid, typically ethoxyethoxy, prior to polymerization, and the polymerization be followed by deprotection with weak acid and water. Alternatively, the hydroxyl group may be replaced by an acetyl, formyl, pivaloyl or similar group prior to polymerization, and the polymerization be followed by alkaline hydrolysis.


When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, an alternative method is possible. Specifically, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis, for thereby converting the polymer product to hydroxystyrene or hydroxyvinylnaphthalene. For alkaline hydrolysis, a base such as aqueous ammonia or triethylamine may be used. Preferably the reaction temperature is −20° C. to 100° C., more preferably 0° C. to 60° C., and the reaction time is 0.2 to 100 hours, more preferably 0.5 to 20 hours.


The base polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000 to 500,000, and more preferably 2,000 to 30,000, as measured by GPC versus polystyrene standards using tetrahydrofuran (THF) solvent. With too low a Mw, the resist composition may become less heat resistant. A polymer with too high a Mw may lose alkaline solubility and give rise to a footing phenomenon after pattern formation.


If a base polymer has a wide molecular weight distribution or dispersity (Mw/Mn), which indicates the presence of lower and higher molecular weight polymer fractions, there is a possibility that foreign matter is left on the pattern or the pattern profile is degraded. The influences of Mw and Mw/Mn become stronger as the pattern rule becomes finer. Therefore, the base polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0, especially 1.0 to 1.5, in order to provide a resist composition suitable for micropatterning to a small feature size.


It is understood that a blend of two or more polymers which differ in compositional ratio, Mw or Mw/Mn is acceptable.


Other Components


In the resist composition containing the sulfonium salt having formula (1) and the base polymer defined above, other components such as an organic solvent, photoacid generator other than the sulfonium salt having formula (1), quencher, surfactant, dissolution inhibitor, and crosslinker may be blended in any desired combination to formulate a chemically amplified positive or negative resist composition. This positive or negative resist composition has a very high sensitivity in that the dissolution rate in developer of the base polymer in exposed areas is accelerated by catalytic reaction. In addition, the resist film has a high dissolution contrast, resolution, exposure latitude, and process adaptability, and provides a good pattern profile after exposure, and minimal proximity bias because of restrained acid diffusion. By virtue of these advantages, the composition is fully useful in commercial application and suited as a pattern-forming material for the fabrication of VLSIs.


Particularly when a chemically amplified resist composition capable of utilizing acid catalyzed reaction is formulated, the composition has a higher sensitivity and is further improved in the properties described above.


Examples of the organic solvent used herein are described in JP-A 2008-111103, paragraphs [0144]-[0145] (U.S. Pat. No. 7,537,880). Exemplary solvents include ketones such as cyclohexanone (CyH), cyclopentanone and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and diacetone alcohol (DAA); ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, and propylene glycol mono-t-butyl ether acetate; and lactones such as γ-butyrolactone (GBL), which may be used alone or in admixture.


The organic solvent is preferably added in an amount of 100 to 10,000 parts, and more preferably 200 to 8,000 parts by weight per 100 parts by weight of the base polymer.


To the resist composition, an acid generator other than the sulfonium salt having formula (1) may be added insofar as the benefits of the invention are not impaired. The other acid generator is typically a compound (PAG) capable of generating an acid upon exposure to actinic ray or radiation. Although the PAG used herein may be any compound capable of generating an acid upon exposure to high-energy radiation, those compounds capable of generating sulfonic acid, imide acid (imidic acid) or methide acid are preferred. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators. Exemplary PAGs are described in JP-A 2008-111103, paragraphs [0122]-[0142] (U.S. Pat. No. 7,537,880). The other acid generator is preferably used in an amount of 0 to 200 parts, more preferably 0.1 to 100 parts by weight per 100 parts by weight of the base polymer.


In the resist composition, a quencher may be blended. The quencher is typically selected from conventional basic compounds. Conventional basic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxyl group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxyl group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, and carbamate derivatives. Also included are primary, secondary, and tertiary amine compounds, specifically amine compounds having a hydroxyl, ether, ester, lactone ring, cyano, or sulfonic acid ester group as described in JP-A 2008-111103, paragraphs [0146]-[0164], and compounds having a carbamate group as described in JP 3790649. Addition of a basic compound may be effective for further suppressing the diffusion rate of acid in the resist film or correcting the pattern profile.


Onium salts such as sulfonium salts, iodonium salts and ammonium salts of sulfonic acids which are not fluorinated at α-position as described in U.S. Pat. No. 8,795,942 (JP-A 2008-158339) and similar onium salts of carboxylic acid may also be used as the quencher. While an α-fluorinated sulfonic acid, imide acid, and methide acid are necessary to deprotect the acid labile group of carboxylic acid ester, an α-non-fluorinated sulfonic acid or carboxylic acid is released by salt exchange with an α-non-fluorinated onium salt. An α-non-fluorinated sulfonic acid and a carboxylic acid function as a quencher because they do not induce deprotection reaction.


Examples of the quencher include a compound (onium salt of α-non-fluorinated sulfonic acid) having the formula (2) and a compound (onium salt of carboxylic acid) having the formula (3).




embedded image


In formula (2), R101 is hydrogen or a C1-C40 hydrocarbyl group, exclusive of the hydrocarbyl group in which the hydrogen bonded to the carbon atom at α-position of the sulfone group is substituted by fluorine or fluoroalkyl.


The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-pentyl, n-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl, and n-decyl; cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo[5.2.1.02,6]decanyl, adamantyl, and adamantylmethyl; alkenyl groups such as vinyl, allyl, propenyl, butenyl, and hexenyl; unsaturated alicyclic hydrocarbyl groups such as cyclohexenyl; aryl groups such as phenyl, naphthyl, alkylphenyl groups, e.g., 2-methylphenyl, 3-methylphenyl, 4-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, dialkylphenyl groups, e.g., 2,4-dimethylphenyl and 2,4,6-triisopropylphenyl, alkylnaphthyl groups, e.g., methylnaphthyl and ethylnaphthyl, dialkylnaphthyl groups, e.g., dimethylnaphthyl and diethylnaphthyl; heteroaryl groups such as thienyl; and aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl.


In the foregoing groups, some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some carbon may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl moiety, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonic acid ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride, or haloalkyl moiety. Examples of the heteroatom-containing hydrocarbyl group include alkoxyphenyl groups such as 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, and 3-tert-butoxyphenyl; alkoxynaphthyl groups such as methoxynaphthyl, ethoxynaphthyl, n-propoxynaphthyl and n-butoxynaphthyl; dialkoxynaphthyl groups such as dimethoxynaphthyl and diethoxynaphthyl; and aryloxoalkyl groups, typically 2-aryl-2-oxoethyl groups such as 2-phenyl-2-oxoethyl, 2-(1-naphthyl)-2-oxoethyl, and 2-(2-naphthyl)-2-oxoethyl.


In formula (3), R102 is a C1-C40 hydrocarbyl group which may contain a heteroatom. Examples of the hydrocarbyl group R102 are as exemplified above for the hydrocarbyl group R101. Also included are fluorinated alkyl groups such as trifluoromethyl, trifluoroethyl, 2,2,2-trifluoro-1-methyl-1-hydroxyethyl, 2,2,2-trifluoro-1-trifluoromethyl-1-hydroxyethyl, and fluorinated aryl groups such as pentafluorophenyl and 4-trifluoromethylphenyl.


In formulae (2) and (3), Mq+ is an onium cation.


A sulfonium salt of iodized benzene ring-containing carboxylic acid having the formula (4) is also useful as the quencher.




embedded image


In formula (4), R201 is hydroxyl, fluorine, chlorine, bromine, amino, nitro, cyano, or a C1-C6 saturated hydrocarbyl, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyloxy, or C1-C4 saturated hydrocarbylsulfonyloxy group, in which some or all hydrogen may be substituted by halogen, or —NR201A—C(═O)—R201B or —NR201A—C(═O)—O—R201B, wherein R201A is hydrogen or a C1-C6 saturated hydrocarbyl group and R201B is a C1-C6 saturated hydrocarbyl or C2-C5 unsaturated aliphatic hydrocarbyl group.


In formula (4), LA is a single bond, or a C1-C20 (z+1)-valent linking group which may contain an ether bond, carbonyl, ester bond, amide bond, sultone ring, lactam ring, carbonate, halogen, hydroxyl or carboxyl moiety or a mixture thereof. The saturated hydrocarbyl, saturated hydrocarbyloxy, saturated hydrocarbylcarbonyloxy and saturated hydrocarbylsulfonyloxy groups may be straight, branched or cyclic. Groups R201 may be identical or different when y and/or z are 2 or 3.


In formula (4), R202, R203 and R204 are each independently fluorine, chlorine, bromine, iodine, or a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples include C1-C20 alkyl, C2-C20 alkenyl, C6-C20 aryl, and C7-C20 aralkyl groups. In these groups, some or all hydrogen may be substituted by hydroxyl, carboxyl, halogen, oxo, cyano, nitro, sultone, sulfone, or sulfonium salt-containing moiety, or some carbon may be replaced by an ether bond, ester bond, carbonyl, amide, carbonate or sulfonic acid ester bond. Any two of R202, R203 and R204 may bond together to form a ring with the sulfur atom to which they are attached.


In formula (4), x is an integer of 1 to 5, y is an integer of 0 to 3, and z is an integer of 1 to 3.


Examples of the compound having formula (4) include those described in JP-A 2017-219836. Since iodine is highly absorptive to EUV of wavelength 13.5 nm, it generates secondary electrons during exposure. The energy of secondary electrons is transferred to the acid generator, which promotes the decomposition of the quencher, contributing to a higher sensitivity.


Also useful are quenchers of polymer type as described in U.S. Pat. No. 7,598,016 (JP-A 2008-239918). The polymeric quencher segregates at the resist surface after coating and thus enhances the rectangularity of resist pattern. When a protective film is applied as is often the case in the immersion lithography, the polymeric quencher is also effective for preventing a film thickness loss of resist pattern or rounding of pattern top.


The quencher is preferably added in an amount of 0 to 5 parts, more preferably 0 to 4 parts by weight per 100 parts by weight of the base polymer.


Exemplary surfactants are described in JP-A 2008-111103, paragraphs [0165]-[0166]. Inclusion of a surfactant may improve or control the coating characteristics of the resist composition. The surfactant is preferably added in an amount of 0.0001 to 10 parts by weight per 100 parts by weight of the base polymer.


In the case of positive resist compositions, inclusion of a dissolution inhibitor may lead to an increased difference in dissolution rate between exposed and unexposed areas and a further improvement in resolution. The dissolution inhibitor which can be used herein is a compound having at least two phenolic hydroxyl groups on the molecule, in which an average of from 0 to 100 mol % of all the hydrogen atoms on the phenolic hydroxyl groups are replaced by acid labile groups or a compound having at least one carboxyl group on the molecule, in which an average of 50 to 100 mol % of all the hydrogen atoms on the carboxyl groups are replaced by acid labile groups, both the compounds having a molecular weight of 100 to 1,000, and preferably 150 to 800. Typical are bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalenecarboxylic acid, adamantanecarboxylic acid, and cholic acid derivatives in which the hydrogen atom on the hydroxyl or carboxyl group is replaced by an acid labile group, as described in U.S. Pat. No. 7,771,914 (JP-A 2008-122932, paragraphs [0155]-[0178]).


In the positive resist composition, the dissolution inhibitor is preferably added in an amount of 0 to 50 parts, more preferably 5 to 40 parts by weight per 100 parts by weight of the base polymer.


In the case of negative resist compositions, a negative pattern may be formed by adding a crosslinker to reduce the dissolution rate of exposed area. Suitable crosslinkers which can be used herein include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds and urea compounds having substituted thereon at least one group selected from among methylol, alkoxymethyl and acyloxymethyl groups, isocyanate compounds, azide compounds, and compounds having a double bond such as an alkenyl ether group. These compounds may be used as an additive or introduced into a polymer side chain as a pendant. Hydroxy-containing compounds may also be used as the crosslinker.


Suitable epoxy compounds include tris(2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether. Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups methoxymethylated and mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups acyloxymethylated and mixtures thereof. Examples of the guanamine compound include tetramethylol guanamine, tetramethoxymethyl guanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the glycoluril compound include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethyl glycoluril, tetramethylol glycoluril compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethylol glycoluril compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, and tetramethoxyethyl urea.


Suitable isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate and cyclohexane diisocyanate. Suitable azide compounds include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide. Examples of the alkenyl ether group-containing compound include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylol propane trivinyl ether.


In the negative resist composition, the crosslinker is preferably added in an amount of 0.1 to 50 parts, more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.


To the resist composition, a water repellency improver may also be added for improving the water repellency on surface of a resist film as spin coated. The water repellency improver may be used in the topcoatless immersion lithography. Suitable water repellency improvers include polymers having a fluoroalkyl group and polymers having a specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue and are described in JP-A 2007-297590 and JP-A 2008-111103, for example. The water repellency improver to be added to the resist composition should be soluble in alkaline developers and organic solvent developers. The water repellency improver of specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue is well soluble in the developer. A polymer having an amino group or amine salt copolymerized as recurring units may serve as the water repellent additive and is effective for preventing evaporation of acid during PEB, thus preventing any hole pattern opening failure after development. An appropriate amount of the water repellency improver is 0 to 20 parts, preferably 0.5 to 10 parts by weight per 100 parts by weight of the base polymer.


Also, an acetylene alcohol may be blended in the resist composition. Suitable acetylene alcohols are described in JP-A 2008-122932, paragraphs [0179]-[0182]. An appropriate amount of the acetylene alcohol blended is 0 to 5 parts by weight per 100 parts by weight of the base polymer.


Process


The resist composition is used in the fabrication of various integrated circuits. Pattern formation using the resist composition may be performed by well-known lithography processes. The process generally involves coating, exposure, and development. If necessary, any additional steps may be added.


For example, the resist composition is first applied onto a substrate on which an integrated circuit is to be formed (e.g., Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, or organic antireflective coating) or a substrate on which a mask circuit is to be formed (e.g., Cr, CrO, CrON, MoSi2, or SiO2) by a suitable coating technique such as spin coating, roll coating, flow coating, dipping, spraying or doctor coating. The coating is prebaked on a hotplate at a temperature of 60 to 150° C. for 10 seconds to 30 minutes, preferably at 80 to 120° C. for 30 seconds to 20 minutes. The resulting resist film is generally 0.01 to 2 m thick.


Then the resist film is exposed patternwise to high-energy radiation. Examples of the high-energy radiation include UV, deep-UV, EB, EUV of wavelength 3 to 15 nm, x-ray, soft x-ray, excimer laser light, γ-ray or synchrotron radiation. On use of UV, deep UV, EUV, x-ray, soft x-ray, excimer laser, γ-ray or synchrotron radiation, the resist film is exposed through a mask having a desired pattern, preferably in a dose of about 1 to 200 mJ/cm2, more preferably about 10 to 100 mJ/cm2. On use of EB, a pattern may be written directly or through a mask having a desired pattern, preferably in a dose of about 0.1 to 100 μC/cm2, more preferably about 0.5 to 50 μC/cm2. The resist composition is suited for micropatterning using high-energy radiation such as KrF excimer laser, ArF excimer laser, EB, EUV, x-ray, soft x-ray, γ-ray or synchrotron radiation, especially EB or EUV.


After the exposure, the resist film may be baked (PEB) on a hotplate at 60 to 150° C. for 10 seconds to 30 minutes, preferably at 80 to 120° C. for 30 seconds to 20 minutes.


After the exposure or PEB, the resist film is developed with a developer in the form of an aqueous base solution for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes by conventional techniques such as dip, puddle and spray techniques. A typical developer is a 0.1 to 10 wt %, preferably 2 to 5 wt % aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), or tetrabutylammonium hydroxide (TBAH). The resist film in the exposed area is dissolved in the developer whereas the resist film in the unexposed area is not dissolved. In this way, the desired positive pattern is formed on the substrate. Inversely in the case of negative resist, the exposed area of resist film is insolubilized and the unexposed area is dissolved in the developer.


In an alternative embodiment, a negative pattern may be formed via organic solvent development using a positive resist composition comprising a base polymer having an acid labile group. The developer used herein is preferably selected from among 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate, and mixtures thereof.


At the end of development, the resist film is rinsed. As the rinsing liquid, a solvent which is miscible with the developer and does not dissolve the resist film is preferred. Suitable solvents include alcohols of 3 to 10 carbon atoms, ether compounds of 8 to 12 carbon atoms, alkanes, alkenes, and alkynes of 6 to 12 carbon atoms, and aromatic solvents. Specifically, suitable alcohols of 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, t-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol. Suitable ether compounds of 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di-s-pentyl ether, di-t-pentyl ether, and di-n-hexyl ether. Suitable alkanes of 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, and cyclononane. Suitable alkenes of 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene. Suitable alkynes of 6 to 12 carbon atoms include hexyne, heptyne, and octyne. Suitable aromatic solvents include toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene and mesitylene. The solvents may be used alone or in admixture.


Rinsing is effective for minimizing the risks of resist pattern collapse and defect formation. However, rinsing is not essential. If rinsing is omitted, the amount of solvent used may be reduced.


A hole or trench pattern after development may be shrunk by the thermal flow, RELACS® or DSA process. A hole pattern is shrunk by coating a shrink agent thereto, and baking such that the shrink agent may undergo crosslinking at the resist surface as a result of the acid catalyst diffusing from the resist layer during bake, and the shrink agent may attach to the sidewall of the hole pattern. The bake is preferably at a temperature of 70 to 180° C., more preferably 80 to 170° C., for a time of 10 to 300 seconds. The extra shrink agent is stripped and the hole pattern is shrunk.


EXAMPLES

Examples of the invention are given below by way of illustration and not by way of limitation. The abbreviation “pbw” is parts by weight.


Acid generators PAG 1 to PAG 20 used in resist compositions have the structure shown below. PAG 1 was synthesized by esterifying reaction of a p-hydroxyphenyldiphenylsulfonium salt with 2-iodoacetic chloride. PAG 2 to PAG 20 were synthesized by similar esterifying reaction.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Synthesis Example
Synthesis of Base Polymers (Polymers 1 to 4)

Base polymers were prepared by combining suitable monomers, effecting copolymerization reaction thereof in tetrahydrofuran (THF) solvent, pouring the reaction solution into methanol for crystallization, repeatedly washing with hexane, isolation, and drying. The resulting polymers, designated Polymers 1 to 4, were analyzed for composition by 1H-NMR spectroscopy, and for Mw and Mw/Mn by GPC versus polystyrene standards using THE solvent.




embedded image


Examples 1 to 23 and Comparative Examples 1 to 5
(1) Preparation of Resist Composition

Resist compositions were prepared by dissolving components in a solvent in accordance with the recipe shown in Tables 1 and 2, and filtering through a filter having a pore size of 0.2 μm. The solvent contained 100 ppm of surfactant PolyFox PF-636 (Omnova Solutions Inc.). The resist compositions of Examples 1 to 13, 15 to 23 and Comparative Examples 1 to 4 are of positive tone, and the resist compositions of Example 14 and Comparative Example 5 are of negative tone.


The components in Tables 1 and 2 are as identified below.


Organic Solvents:






    • PGMEA (propylene glycol monomethyl ether acetate)

    • GBL (γ-butyrolactone)

    • CyH (cyclohexanone)

    • PGME (propylene glycol monomethyl ether)

    • DAA (diacetone alcohol)


      Comparative acid generators: cPAG 1 to cPAG 4 of the following structural formulae







embedded image



Quenchers 1 to 3 of the following structural formulae




embedded image


(2) EUV Lithography Test

Each of the resist compositions in Tables 1 and 2 was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., Si content 43 wt %) and prebaked on a hotplate at 105° C. for 60 seconds to form a resist film of 50 nm thick. Using an EUV scanner NXE3300 (ASML, NA 0.33, σ0.9/0.6, quadrupole illumination), the resist film was exposed to EUV through a mask bearing a hole pattern at a pitch 46 nm (on-wafer size) and +20% bias. The resist film was baked (PEB) on a hotplate at the temperature shown in Tables 1 and 2 for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a pattern. In Examples 1 to 13, 15 to 23 and Comparative Examples 1 to 4, a hole pattern having a size of 23 nm was formed. In Example 14 and Comparative Example 5, a dot pattern having a size of 26 nm was formed.


The resist pattern was observed under CD-SEM (CG-5000, Hitachi High-Technologies Corp.). The exposure dose that provides a hole or dot pattern having a size of 23 nm or 26 nm is reported as sensitivity. The size of 50 holes or dots was measured, from which a size variation (3σ) was computed and reported as CDU.


The resist composition is shown in Tables 1 and 2 together with the sensitivity and CDU of EUV lithography.
















TABLE 1







Acid

Organic
PEB





Polymer
generator
Quencher
solvent
temp.
Sensitivity
CDU



(pbw)
(pbw)
(pbw)
(pbw)
(° C.)
(mJ/cm2)
(nm)























Example
1
Polymer 1
PAG 1
Quencher 1
PGMEA (400)
95
26
3.1




(100)
(28.1)
(5.00)
CyH (2,000)










PGME (100)






2
Polymer 1
PAG 2
Quencher 1
PGMEA (400)
95
27
3.0




(100)
(28.9)
(5.00)
CyH (2,000)










PGME (100)






3
Polymer 1
PAG 3
Quencher 1
PGMEA (2,000)
95
26
3.2




(100)
(37.5)
(5.00)
DAA (500)






4
Polymer 1
PAG 4
Quencher 1
PGMEA (2,000)
95
22
3.2




(100)
(30.3)
(5.00)
DAA (500)






5
Polymer 1
PAG 5
Quencher 1
PGMEA (2,000)
95
22
3.3




(100)
(38.6)
(5.00)
DAA (500)






6
Polymer 1
PAG 6
Quencher 1
PGMEA (2,000)
95
25
3.3




(100)
(8.3)
(5.00)
DAA (500)








PAG 4










(19.3)








7
Polymer 1
PAG 7
Quencher 1
PGMEA (2,000)
95
24
3.3




(100)
(9.3)
(5.00)
DAA (500)








PAG 4










(19.3)








8
Polymer 1
PAG 8
Quencher 1
PGMEA (2,000)
95
22
3.6




(100)
(37.8)
(5.00)
DAA (500)






9
Polymer 1
PAG 9
Quencher 1
PGMEA (2,000)
95
23
3.3




(100)
(33.6)
(5.00)
DAA (500)






10
Polymer 1
PAG 10
Quencher 1
PGMEA (2,000)
95
23
3.2




(100)
(35.6)
(5.00)
DAA (560)






11
Polymer 1
PAG 11
Quencher 1
PGMEA (2,000)
95
24
3.2




(100)
(29.8)
(5.00)
DAA (500)






12
Polymer 2
PAG 4
Quencher 2
PGMEA (2,000)
85
20
2.6




(100)
(12.9)
(4.72)
DAA (500)






13
Polymer 3
PAG 4
Quencher 3
PGMEA (2,200)
85
19
2.6




(100)
(12.9)
(6.60)
GBL (300)






14
Polymer 4
PAG 1
Quencher 1
PGMEA (2,000)
130
33
3.8




(100)
(14.0)
(5.00)
DAA (500)






15
Polymer 3
PAG 12
Quencher 3
PGMEA (2,200)
85
19
2.4




(100)
(13.2)
(6.60)
GBL (300)






16
Polymer 3
PAG 13
Quencher 3
PGMEA (2,000)
85
22
2.3




(100)
(12.7)
(6.60)
DAA (500)






17
Polymer 3
PAG 14
Quencher 3
PGMEA (2,000)
85
21
2.5




(100)
(12.8)
(6.60)
DAA (500)






18
Polymer 3
PAG 15
Quencher 3
PGMEA (2,000)
85
21
2.7




(100)
(13.0)
(6.60)
DAA (500)






19
Polymer 3
PAG 16
Quencher 3
PGMEA (2,000)
85
21
2.6




(100)
(13.3)
(6.60)
DAA (500)






20
Polymer 3
PAG 17
Quencher 3
PGMEA (2,000)
85
23
2.5




(100)
(13.2)
(6.60)
DAA (500)






21
Polymer 3
PAG 18
Quencher 3
PGMEA (2,000)
85
22
2.7




(100)
(11.7)
(6.60)
DAA (500)






22
Polymer 3
PAG 19
Quencher 3
PGMEA (2,000)
85
20
2.6




(100)
(11.9)
(6.60)
DAA (500)






23
Polymer 3
PAG 20
Quencher 3
PGMEA (2,000)
85
20
2.5




(100)
(11.9)
(6.60)
DAA (500)


























TABLE 2







Acid

Organic
PEB





Polymer
generator
Quencher
solvent
temp.
Sensitivity
CDU



(pbw)
(pbw)
(pbw)
(pbw)
(° C.)
(mJ/cm2)
(nm)























Comparative
1
Polymer 1
cPAG 1
Quencher 1
PGMEA (400)
95
34
4.6


Example

(100)
(23.0)
(5.00)
CyH (2,000)










PGME (100)






2
Polymer 1
cPAG 2
Quencher 1
PGMEA (400)
95
34
4.1




(100)
(23.4)
(5.00)
CyH (2,000)










PGME (100)






3
Polymer 1
cPAG 3
Quencher 1
PGMEA (400)
95
33
4.6




(100)
(25.6)
(5.00)
CyH (2,000)










PGME (100)






4
Polymer 1
cPAG 4
Quencher 1
PGMEA (400)
95
32
4.6




(100)
(26.8)
(5.00)
CyH (2,000)










PGME (100)






5
Polymer 1
cPAG 1
Quencher 1
PGMEA (2,000)
130
45
4.8




(100)
(15.3)
(5.00)
DAA (500)












It is demonstrated in Tables 1 and 2 that resist compositions comprising a sulfonium salt having formula (1) offer a high sensitivity and improved CDU.


Japanese Patent Application No. 2019-151743 is incorporated herein by reference.


Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

Claims
  • 1. A resist composition comprising an acid generator containing a sulfonium salt having the formula (1):
  • 2. The resist composition of claim 1 wherein the non-nucleophilic counter ion is a fluorinated sulfonate, fluorinated imide or fluorinated methide ion.
  • 3. The resist composition of claim 1, further comprising a base polymer.
  • 4. The resist composition of claim 3 wherein the base polymer comprises recurring units having the formula (a1) or recurring units having the formula (a2):
  • 5. The resist composition of claim 4 which is a chemically amplified positive resist composition.
  • 6. The resist composition of claim 3 wherein the base polymer is free of an acid labile group.
  • 7. The resist composition of claim 6 which is a chemically amplified negative resist composition.
  • 8. The resist composition of claim 3 wherein the base polymer comprises recurring units of at least one type selected from the formulae (f1) to (f3):
  • 9. The resist composition of claim 1, further comprising an organic solvent.
  • 10. The resist composition of claim 1, further comprising a quencher.
  • 11. The resist composition of claim 1, further comprising a surfactant.
  • 12. A process for forming a pattern comprising the steps of applying the resist composition of claim 1 onto a substrate to form a resist film thereon, exposing the resist film to high-energy radiation, and developing the exposed resist film in a developer.
  • 13. The process of claim 12 wherein the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm or KrF excimer laser radiation of wavelength 248 nm.
  • 14. The process of claim 12 wherein the high-energy radiation is an EB or an EUV of wavelength 3 to 15 nm.
Priority Claims (1)
Number Date Country Kind
2019-151743 Aug 2019 JP national
US Referenced Citations (19)
Number Name Date Kind
8785105 Ohsawa et al. Jul 2014 B2
9052592 Nakamura et al. Jun 2015 B2
9233919 Ohsawa et al. Jan 2016 B2
9766541 Yamazaki et al. Sep 2017 B2
10295904 Tatakeyama et al. May 2019 B2
10437147 Nagamine et al. Oct 2019 B2
10968175 Tatakeyama et al. Apr 2021 B2
11175580 Hatakeyama Nov 2021 B2
11181823 Hatakeyama Nov 2021 B2
20100075256 Joo et al. Mar 2010 A1
20140080062 Thackeray et al. Mar 2014 A1
20160349612 Fujiwara Dec 2016 A1
20170205709 Hatakeyama et al. Jul 2017 A1
20170369616 Hatakeyama et al. Dec 2017 A1
20180095364 LaBeaume et al. Apr 2018 A1
20180364574 Hatakeyama et al. Dec 2018 A1
20200089111 Hatakeyama et al. Mar 2020 A1
20210055652 Hatakeyama et al. Feb 2021 A1
20210149300 Hatakeyama et al. May 2021 A1
Foreign Referenced Citations (9)
Number Date Country
2001-194776 Jul 2001 JP
2002-226470 Aug 2002 JP
2002-363148 Dec 2002 JP
2012-107151 Jun 2012 JP
2013-166748 Aug 2013 JP
2017-15777 Jan 2017 JP
2018-118962 Aug 2018 JP
10-2018-0002022 Jan 2018 KR
2008066011 Jun 2008 WO
Non-Patent Literature Citations (5)
Entry
Office Action dated Feb. 5, 2022, issued in counterpart KR application No. 10-2020-0105244, with English Translation. (13 pages).
Non-Final Action dated Apr. 28, 2021, issued in U.S. Appl. No. 16/565,776.
Notice of Allowance dated Jul. 13, 2021, issued in U.S. Appl. No. 16/565,776.
Non-Final Action dated Sep. 9, 2022, issued in U.S. Appl. No. 17/157,011.
English Machine Translation of Matsuyama (JP2018118962A)(Year:2018); Cited in counterpart U.S. Office Action dated Apr. 28, 2022.
Related Publications (1)
Number Date Country
20210055652 A1 Feb 2021 US