Developments in shrinking sizes of semiconductor devices and electronic components make the integration of more devices and components into a given volume possible and lead to high integration density of various semiconductor devices and/or electronic components.
Aspects of the disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components, values, operations, materials, arrangements, or the like, are described below to simplify the disclosure. These are, of course, merely examples and are not intended to be limiting. Other components, values, operations, materials, arrangements, or the like, are contemplated. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
In addition, terms, such as “first”, “second”, “third”, “fourth”, and the like, may be used herein for ease of description to describe similar or different element(s) or feature(s) as illustrated in the figures, and may be used interchangeably depending on the order of the presence or the contexts of the description.
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and the disclosure, and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
Other features and processes may also be included. For example, testing structures may be included to aid in the verification testing of the 3D packaging or 3DIC devices. The testing structures may include, for example, test pads formed in a redistribution layer or on a substrate that allows the testing of the 3D packaging or 3DIC, the use of probes and/or probe cards, and the like. The verification testing may be performed on intermediate structures as well as the final structure. Additionally, the structures and methods disclosed herein may be used in conjunction with testing methodologies that incorporate intermediate verification of known good dies to increase the yield and decrease costs.
It should be appreciated that the following embodiment(s) of the disclosure provides applicable concepts that can be embodied in a wide variety of specific contexts. The embodiments are intended to provide further explanations but are not used to limit the scope of the disclosure. The specific embodiment(s) described herein is related to a semiconductor device (or a semiconductor structure) having a plurality of semiconductor dies integrated therein and a high performance carrier, where the plurality of semiconductor die are arranged into a die stack with multiple tiers and the high performance carrier is bonded to the die stack, and is not intended to limit the scope of the disclosure. Owing to the high performance carrier, during an operation of the semiconductor device or semiconductor structure, the heat generated by the plurality of semiconductor dies inside the die stack can be vertically drafted toward the high performance carrier and dissipated to an external environment, thereby the efficiency of a heat dissipation of the semiconductor device or semiconductor structure is improved. In addition, owing to the high performance carrier, the warpage of the semiconductor device or semiconductor structure can be controlled (or saying reduced) as the semiconductor device or semiconductor structure is further bonded to another electronical component (e.g., an external component or element such as a circuit board, an interposer, or the like) or as an thermal process is taken place in the manufacturing process of the semiconductor device or semiconductor structure.
Referring to
In some embodiments, the wafer W1 includes a plurality of device regions R1 arranged in a form of an array along a direction X and a direction Y, where each device region R1 is a pre-determined location for a semiconductor die or chip (e.g., semiconductor dies 100). The direction X, the direction Y and the direction Z may be different from each other. For example, the direction X is perpendicular to the direction Y, and the direction X and the direction Y are independently perpendicular to the direction Z, as shown in
The device regions R1 of the wafer W1 are physically connected to one another, as shown in
As shown in
In some embodiments, the semiconductor substrate 110 includes a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, other supporting substrate (e.g., quartz, glass, etc.), combinations thereof, or the like, which may be doped or undoped. In some embodiments, the semiconductor substrate 110 includes an elementary semiconductor (e.g., silicon or germanium in a crystalline, a polycrystalline, or an amorphous structure, etc.), a compound semiconductor (e.g., silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide, etc.), an alloy semiconductor (e.g., silicon-germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide (GaInP), etc.), combinations thereof, or other suitable materials. The compound semiconductor substrate may have a multilayer structure, or the substrate may include a multilayer compound semiconductor structure. The alloy SiGe may be formed over a silicon substrate. The SiGe substrate may be strained.
In some embodiments, the device layer 120 includes the semiconductor components formed on (and/or partially formed in) the semiconductor substrate 110, where the semiconductor components include active components (e.g., transistors, diodes, memory, etc.) and/or passive components (e.g., capacitors, resistors, inductors, jumper, etc.), or other suitable electrical components. The device layer 120 may be disposed at an active surface S110t of the semiconductor substrate 110 proximal to the interconnect structure 130, as shown in
The device layer 120 may include circuitry (not shown) formed in a front-end-of-line (FEOL), and the interconnect structure 130 may be formed in a back-end-of-line (BEOL). In some embodiments, the interconnect structure 130 includes an inter-layer dielectric (ILD) layer formed over the device layer 120, and an inter-metallization dielectric (IMD) layer formed over the ILD layer. In some embodiments, the ILD layer and the IMD layer are formed of a low-K dielectric material or an extreme low-K (ELK) material, such as an oxide, silicon dioxide, borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), fluorinated silicate glass (FSG), SiOxCy (where x>0, y>0), Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof, or the like. The ILD layer and the IMD layer may include any suitable number of dielectric material layers which is not limited thereto.
In some embodiments, the interconnect structure 130 includes one or more dielectric layers 132 and one or more metallization layers 134 in alternation. The metallization layers 134 may be embedded in the dielectric layers 132. In some embodiments, the interconnect structure 130 is electrically coupled to the semiconductor components of the device layer 120 to one another and to external components (e.g., test pads, bonding conductors, etc.) formed thereon. For example, the metallization layers 134 in the dielectric layers 132 route electrical signals between the semiconductor components of the device layer 120. In addition, the metallization layers 134 in the dielectric layers 132 route electrical signals to the semiconductor components of the device layer 120 from the conductive pillars 170 and/or the connecting vias 150 or from the semiconductor components of the device layer 120 to the conductive pillars 170 and/or the connecting vias 150. The semiconductor components of the device layer 120 and the metallization layers 134 are interconnected to perform one or more functions including memory structures (e.g., a memory cell), processing structures (e.g., a logic cell), input/output (I/O) circuitry (e.g., an I/O cell), or the like. An uppermost layer of the interconnect structure 130 may be a passivation layer made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics, polyimide (PI), combinations of these, or the like. In some embodiments, as shown in
The dielectric layers 132 may be PI, polybenzoxazole (PBO), benzocyclobutene (BCB), a nitride such as silicon nitride, an oxide such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), a combination thereof or the like, which may be patterned using a photolithography and/or etching process. The etching process may include a dry etching, a wet etching, or a combination thereof. In some embodiments, the dielectric layers 132 are formed by suitable fabrication techniques such as spin-on coating, chemical vapor deposition (CVD) such as plasma-enhanced chemical vapor deposition (PECVD), or the like.
The metallization layers 134 may be made of conductive materials formed by electroplating or deposition, such as copper, copper alloy, aluminum, aluminum alloy, or combinations thereof, which may be patterned using a photolithography and etching process. The etching process may include a dry etching, a wet etching, or a combination thereof. In some embodiments, the metallization layers 134 are patterned copper layers or other suitable patterned metal layers. For example, the metallization layers 134 may be metal lines, metal vias, metal pads, metal traces, etc. Throughout the description, the term “copper” is intended to include substantially pure elemental copper, copper containing unavoidable impurities, and copper alloys containing minor amounts of elements such as tantalum, indium, tin, zinc, manganese, chromium, titanium, germanium, strontium, platinum, magnesium, aluminum, or zirconium, etc. The numbers of the dielectric layers 132 and the number of the metallization layers 134 are not limited in the disclosure, and may be selected and designated based on demand and design layout.
In some embodiments, as illustrated in
In some embodiments, the connecting vias 150 are respectively disposed on and electrically connected to the connecting pads 140 for providing an external electrical connection to the circuitry and semiconductor components of the device layer 120. In one embodiment, the connecting vias 150 may be formed of conductive materials such as copper, gold, aluminum, the like, or combinations thereof, and may be formed by an electroplating process or the like. The connecting vias 150 may be bond vias, bond pads or bond bumps, or combinations thereof. The disclosure is not limited thereto. The connecting vias 150 may serve as bonding conductors for further electrical connection, and may be formed over the connecting pads 140 (serving as the conductive pads for further electrical connection). The connecting vias 150 may be electrically coupled to the semiconductor components of the device layer 120 through the interconnect structure 130 and the connecting pads 140.
Alternatively, both of the connecting pads 140 and the connecting vias 150 may be formed on the interconnect structure 130. For example, the connecting vias 150 are disposed on and electrically connected to the topmost layer of the metallization layer 134 of the interconnect structure 130 exposed by the passivation layer (e.g., the uppermost layer of the dielectric layers 132) of the interconnect structure 130. That is, the connecting vias 150 and the connecting pads 140 may all be disposed on the topmost layer of the metallization layer 134 of the interconnect structure 130 exposed by the passivation layer in a manner of side-by-side. In such embodiments, the connecting pads 140 may be testing pads for testing while the connecting vias 150 may be the bonding conductors for further electrical connection. The connecting vias 150 may be electrically coupled to the semiconductor components of the device layer 120 through the interconnect structure 130. The number of the connecting pads 140 and the number of the connecting vias 150 may be selected and designated based on the demand and design layout, and thus are not limited thereto.
In some embodiments, the protection layer 160 is formed on the interconnect structure 130 to cover the interconnect structure 130 and the connecting pads 140 exposed by the connecting vias 150 and at least laterally cover the connecting vias 150. That is to say, the protection layer 160 prevents any possible damage(s) occurring on the interconnect structure 130, the connecting pads 140 and the connecting vias 150 during the transfer of the wafer W1 including the semiconductor dies 100. In addition, in some embodiments, the protection layer 160 further acts as a passivation layer for providing better planarization and evenness. In some embodiments, top surfaces S150 of the connecting vias 150 are accessibly revealed by a top surface S160 of the protection layer 160, as shown in
The protection layer 160 may include one or more layers of dielectric materials, such as silicon nitride, silicon oxide, high-density plasma (HDP) oxide, tetra-ethyl-ortho-silicate (TEOS), undoped silicate glass (USG), silicon oxynitride, PBO, PI, silicon carbon, silicon carbon oxynitride, diamond like carbon (DLC), and the like, or a combination thereof. It should be appreciated that the protection layer 160 may include etch stop material layer(s) (not shown) interposed between the dielectric material layers depending on the process requirements. For example, the etch stop material layer is different from the overlying or underlying dielectric material layer(s). The etch stop material layer may be formed of a material having a high etching selectivity relative to the overlying or underlying dielectric material layer(s) so as to be used to stop the etching of layers of dielectric materials.
In some embodiments, the conductive pillars 170 are embedded in the semiconductor substrate 110. For example, the conductive pillars 170 are formed in the semiconductor substrate 110 and extended from the active surface S110t toward the bottom surface S1 along the stacking direction Z. As shown in
However, the disclosure is not limited thereto; alternatively, the conductive pillars 170 may not penetrate through the device layer 120, where top surfaces of the conductive pillars 170 may be substantially level with (and/or substantially coplanar to) the active surface S110t of the semiconductor substrate 110 to directly connect to the semiconductor component(s) of the device layer 120. In such cases, the conductive pillars 170 may be electrically connected to the interconnect structure 130 through the semiconductor component(s) of the device layer 120.
As shown in
In a cross-sectional view along the stacking direction Z, the shape of the conductive pillars 170 may depend on the demand and/or design layout/requirements, and is not intended to be limiting in the disclosure. For example, in the plane (top) view on an X-Y plane perpendicular to the stacking direction Z, the shape of the conductive pillars 170 is circular shape. However, depending on the design requirements, and the shape of the conductive pillars 170 may be an oval shape, a rectangular shape, a polygonal shape, or combinations thereof; the disclosure is not limited thereto. The number of the conductive pillars 170 is not limited to the drawings of the disclosure, which may be selected and designated based on the demand and design layout.
In some embodiments, each of the conductive pillars 170 is covered by the liner 180. For example, the liners 180 are formed between the conductive pillars 170 and the semiconductor substrate 110 and between the conductive pillars 170 and the device layer 120. In some embodiments, a sidewall of each of the conductive pillars 170 may be covered by the respective one liner 180. The liners 180 may be formed of a barrier material, such as TiN, Ta, TaN, Ti, or the like. In alternative embodiments, a dielectric liner (not shown) (e.g., silicon nitride, an oxide, a polymer, a combination thereof, etc.) may be further optionally formed between the liners 180 and the semiconductor substrate 110 and between the liners 180 and the device layer 120. In some embodiments, the conductive pillars 170, the liners 180 and the optional dielectric liner are formed by, but not limited to, forming recesses in the semiconductor substrate 110 and the device layer 120, respectively depositing the dielectric material, the barrier material, and the conductive material in the recesses, and removing excess materials on the device layer 120. For example, the recesses of the semiconductor substrate 110 and the device layer 120 are lined with the dielectric liner so as to laterally separate the liners 180 lining sidewalls and bottoms of the conductive pillars 170 from the semiconductor substrate 110 and the device layer 120. Alternatively, the liners 180 may be omitted. Or, alternatively, the conductive pillars 170 and the liners 180 may both be omitted.
The conductive pillars 170 are formed by using a via-first approach, in certain embodiments. The conductive pillars 170 may be formed prior to the formation of the interconnect structure 130. Alternatively, the conductive pillars 170 may be formed by using a via-last approach, and may be formed after the formation of interconnect structure 130.
In the alternative embodiments of which the conductive pillars 170 are accessibly revealed by the bottom surface S1 of the semiconductor substrate 110, the conductive pillars 170, the liners 180 and the optional dielectric liner are formed by, but not limited to, forming recesses in the semiconductor substrate 110 and the device layer 120, respectively depositing the dielectric material, the barrier material, and the conductive material in the recesses, removing excess materials on the device layer 120, and performing a patterning process to reveal the bottoms of the conductive pillars 170 from the semiconductor substrate 110. The patterning process may include a planarization process and/or etching process, the disclosure is not limited thereto.
For illustrative purposes, only four semiconductor dies 100 (also denoted as 100A, 100B, 100C, 100D, from left to right) are shown in
The semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) may be referred to as semiconductor dies or chips, independently, including a digital chip, an analog chip, or a mixed signal chip. In some embodiments, the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are, independently, a logic die such as a central processing unit (CPU), a graphics processing unit (GPU), a neural network processing unit (NPU), a deep learning processing unit (DPU), a tensor processing unit (TPU), a system-on-a-chip (SoC), an application processor (AP), and a microcontroller; a power management die such as a power management integrated circuit (PMIC) die; a wireless and radio frequency (RF) die; a baseband (BB) die; a sensor die such as a photo/image sensor chip; a micro-electro-mechanical-system (MEMS) die; a signal processing die such as a digital signal processing (DSP) die; a front-end die such as an analog front-end (AFE) dies; an application-specific die such as an application-specific integrated circuit (ASIC), a field-programmable gate array (FPGA); a combination thereof; or the like. In alternative embodiments, the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are, independently, a memory die with a controller or without a controller, where the memory die includes a single-form die such as a dynamic random access memory (DRAM) die, a static random access memory (SRAM) die, a resistive random-access memory (RRAM), a magnetoresistive random-access memory (MRAM), a NAND flash memory, or a wide I/O memory (WIO); a pre-stacked memory cube such as a hybrid memory cube (HMC) module, or a high bandwidth memory (HBM) module; a combination thereof; or the like. In further alternative embodiments, the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are, independently, an artificial intelligence (AI) engine such as an AI accelerator; a computing system such as an AI server, a high-performance computing (HPC) system, a high power computing device, a cloud computing system, a networking system, an edge computing system, a immersive memory computing system (ImMC), etc.; a combination thereof; or the like. In some other embodiments, the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are, independently, an electrical and/or optical input/output (I/O) interface die, an integrated passives die (IPD), a voltage regulator die (VR), a local silicon interconnect die (LSI) with or without deep trench capacitor (DTC) features, a local silicon interconnect die (LSI) with multi-tier functions such as electrical and/or optical network circuit interfaces, IPD, VR, DTC, or the like. The types of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) may be selected and designated based on the demand and design requirement, and thus are not specifically limited in the disclosure.
In accordance with some embodiments of the disclosure, the types of some of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are different from each other, while some of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are identical types. In alternative embodiments, the types of all of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are different. In further alternative embodiments, the types of all of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are identical. In accordance with some embodiments of the disclosure, the sizes of some of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are different from each other, while some of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are the same sizes. In alternative embodiments, the sizes of all of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are different. In further alternative embodiments, the sizes of all of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are the same. In accordance with some embodiments of the disclosure, the shapes of some of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are different from each other, while the shapes of some of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are identical. In alternative embodiments, the shapes of all of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are different. In further alternative embodiments, the shapes of all of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are identical. The types, sizes and shapes of each of the semiconductor dies 100 (e.g., 100A, 100B, 100C, 100D) are independent from each other, and may be selected and designed based on the demand and design layout, the disclosure is not limited thereto.
In some embodiments, the semiconductor dies 100 are arranged aside to each other along the direction X and/or the direction Y. The semiconductor dies 100 may be arranged in an array. For a non-limiting example, the semiconductor dies 100 are arranged in the form of a matrix, such as a N×N array or a N×M array (N, M>0, N may or may not be equal to M) along the direction X and the direction Y. In some embodiments, the semiconductor dies 100 arranged in immediately adjacent rows and/or columns are positioned in an alignment manner (e.g. an array form) on the X-Y plane. In some other embodiments, the semiconductor dies 100 arranged in immediately adjacent rows and/or columns are positioned in a staggered manner (e.g. a staggered form) on the X-Y plane.
Referring to
In some embodiments, the wafer W2 includes a plurality of device regions R2 arranged in a form of an array along the direction X and the direction Y, where each device region R2 is a pre-determined location for a semiconductor die or chip (e.g., semiconductor dies 200). The device regions R2 of the wafer W2 are physically connected to one another, as shown in
In some embodiments, top surfaces S250 of the connecting vias 250 are accessibly revealed by a top surface S260 of the protection layer 260, as shown in
The detail, formation and material of each of the semiconductor substrate 210, the device layer 220, the interconnect structure 230 (e.g., the dielectric layers 232 and the metallization layers 234), the connecting pads 240, the connecting vias 250 and the protection layer 260 of the wafer W2 are respectively similar to or substantially identical to the detail, formation and material of each of the semiconductor substrate 110, the device layer 120, the interconnect structure 130 (e.g., the dielectric layers 132 and the metallization layers 134), the connecting pads 140, the connecting vias 150 and the protection layer 160 of the wafer W1 previously described in FIG, 1, and thus are not repeated herein for brevity. In alternative embodiments, the wafer W2 may include optional conductive pillars embedded in the semiconductor substrate 210 to electrically connect to the device layer 220 and optional liners lining the optional conductive pillars to separate the optional conductive pillars from the semiconductor substrate 210. In addition, the optional dielectric liners may be formed only between the optional liners and the optional semiconductor substrate. The detail, formation and material of each of the optional conductive pillars, the optional liners and the optional dielectric liners of the wafer W2 are respectively similar to or substantially identical to the detail, formation and material of each of the conductive pillars 170, the liners 180 and the optional dielectric liners of the wafer W1 previously described in FIG, 1, and thus are not repeated herein for brevity.
For illustrative purposes, only four semiconductor dies 200 (also denoted as 200A, 200B, 200C, 200D, from left to right) are shown in
In accordance with some embodiments of the disclosure, the types of some of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are different from each other, while some of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are identical types. In alternative embodiments, the types of all of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are different. In further alternative embodiments, the types of all of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are identical. In accordance with some embodiments of the disclosure, the sizes of some of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are different from each other, while some of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are the same sizes. In alternative embodiments, the sizes of all of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are different. In further alternative embodiments, the sizes of all of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are the same. In accordance with some embodiments of the disclosure, the shapes of some of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are different from each other, while the shapes of some of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are identical. In alternative embodiments, the shapes of all of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are different. In further alternative embodiments, the shapes of all of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are identical. The types, sizes and shapes of each of the semiconductor dies 200 (e.g., 200A, 200B, 200C, 200D) are independent from each other, and may be selected and designed based on the demand and design layout, the disclosure is not limited thereto.
Referring to
Referring to
In some embodiments, the carrier 50 is coated with a debond layer 52 (as shown in
In an alternative embodiment, a buffer layer (not shown) is coated on the debond layer 52, where the debond layer 52 is sandwiched between the buffer layer and the carrier 50, and a top surface of the buffer layer may further provide a high degree of coplanarity. In some embodiments, the buffer layer may be a dielectric material layer. In some embodiments, the buffer layer may be a polymer layer which made of polyimide (PI), PBO, BCB, or any other suitable polymer-based dielectric material. In some embodiments, the buffer layer may be Ajinomoto Buildup Film (ABF), Solder Resist film (SRF), or the like. In other words, the buffer layer is an optional dielectric layer, and may be omitted based on the demand; the disclosure is not limited thereto. For example, the buffer layer may be formed by a suitable fabrication technique such as spin-coating, lamination, deposition, or the like.
In some embodiments, the wafer W1 is placed onto the debond layer 52 and over the carrier 50. For example, the bottom surfaces S1 of the semiconductor substrates 110 of the semiconductor dies 100 (e.g., 100A through 100D) are disposed on (e.g., in physical contact with) the debond layer 52 and over the carrier 50. As shown in
In some embodiments, the semiconductor dies 200 are arranged aside to each other along the direction X and/or the direction Y. The semiconductor dies 200 may be arranged in an array. For one non-limiting example, the semiconductor dies 200 are arranged in the form of a matrix, such as an N′×N′ array or an N′×M′ array (N′, M′>0, N′ may or may not be equal to M′) along the direction X and the direction Y. In some embodiments, the semiconductor dies 200 arranged in immediately adjacent rows and/or columns are positioned in an alignment manner (e.g. an array form) on the X-Y plane. In some other embodiments, the semiconductor dies 200 arranged in immediately adjacent rows and/or columns are positioned in a staggered manner (e.g. a staggered form) on the X-Y plane. In further other embodiments, the semiconductor dies 200 are arranged into a pre-determined pattern in a concentric manner, where at least one of the semiconductor dies 200 is located at the center (of the wafer W1 in a vertical projection along direction Z) and surrounded by the other semiconductor dies 200 on the X-Y plane, and the semiconductor dies 200 surrounding the at least one of the semiconductor dies 200 being located at the center are in radial arrangement.
After the placement of the semiconductor dies 200 over the wafer W1, a bonding process is performed to bond the semiconductor dies 200 to the wafer W1, in some embodiments. For example, the semiconductor dies 200 (e.g., 200A through 200D) each are electrically connected to and electrically communicated to one or more of the semiconductor dies 100 of the wafer W1. As shown in
For example, the semiconductor dies 200 are bonded to the semiconductor dies 100 by bonding process including both of a metal-to-metal bonding and a dielectric-to-dielectric bonding. As shown in
It should be noted that bonding methods described above are merely examples and are not intended to be limiting. An offset may present between sidewalls of the connecting vias 150 and a sidewall of the connecting vias 250 overlying thereto, see
However, the disclosure is not limited thereto; alternatively, the semiconductor dies 200 may be bonded to the semiconductor dies 100 by flip-chip (FC) bonding. In the alternative embodiments, a plurality of joints (not shown) are presented between the connecting vias 250 of the semiconductor dies 200 and the connecting vias 150 of the semiconductor dies 100 underlying thereto for mechanically connecting and electrically connecting the semiconductor dies 200 and the semiconductor dies 100. The joints may include micro-bumps, metal pillars, electroless nickel-electroless palladium-immersion gold (ENEPIG) formed bumps, controlled collapse chip connection (C4) bumps (for example, which may have, but not limited to, a size of about 80 μm), a ball grid array (BGA) bumps or balls (for example, which may have, but not limited to, a size of about 400 μm), solder balls, or the like. The disclosure is not limited thereto. When solder is used, the solder may include either eutectic solder or non-eutectic solder. The solder may include lead or be lead-free, and may include Sn—Ag, Sn—Cu, Sn—Ag—Cu, or the like. When solder is used, the joints may be referred to as solder joints or solder regions. In such alternative embodiments, an underfill (not show) may be optionally applied to wrap sidewalls of the joints to ensure the adhesion strength between the semiconductor dies 200 and the semiconductor dies 100 electrically connected thereto.
Referring to
Alternatively, the insulating encapsulation 300m may be a molding compound, a molding underfill, a resin (such as epoxy-based resin), or the like, which may be formed by a molding process. The molding process may include a compression molding process or a transfer molding process. The insulating encapsulation 300m may include polymers (such as epoxy resins, phenolic resins, silicon-containing resins, or other suitable resins) or other suitable materials. Alternatively, the insulating encapsulation 300m may include an acceptable insulating encapsulation material. In some embodiments, the insulating encapsulation 300m further includes inorganic filler or inorganic compound (e.g. silica, clay, and so on) which can be added therein to optimize coefficient of thermal expansion (CTE) of the insulating encapsulation 300m. The disclosure is not limited thereto. As an alternative, the insulating encapsulation 300m may be a multi-layered structure and include a plurality of stacked dielectric layers. The stacked dielectric layers may be formed from multiple layers of a single dielectric material. Or, the stacked dielectric layers may be formed from multiple layers of different dielectric materials arranged in alternation.
Referring to
In other words, during the planarization process of the insulating encapsulation 300m, the semiconductor substrates 210 of the semiconductor dies 200 may be thinned down, where a thickness T200 of each of the semiconductor substrates 210 of the semiconductor dies 200 may be approximately ranging from 6 μm to 100 μm after planarizing, although other suitable thickness may alternatively be utilized. As shown in
In some embodiments, after the planarization process, a cleaning step may be optionally performed to clean and remove the residue generated from the planarization process. However, the disclosure is not limited thereto, and the planarization process may be performed through any other suitable method.
Referring to
For example, the supporting structure 400A is pre-fabricated. The supporting structure 400A may be a single-layer structure, such as in a form of bulk structure, as shown in
In some embodiments, the supporting structure 400A has a Young's modulus (E) greater than 150 GPa. In a non-limiting example, the Young's modulus of the supporting structure 400A may be greater than 150 GPa and less than or substantially equal to 5000 GPa, greater than 150 GPa and less than or substantially equal to 4000 GPa, greater than 150 GPa and less than or substantially equal to 3000 GPa, greater than 150 GPa and less than or substantially equal to 2000 GPa, greater than 150 GPa and less than or substantially equal to 1000 GPa, greater than 150 GPa and less than or substantially equal to 900 GPa, greater than 150 GPa and less than or substantially equal to 800 GPa, greater than 150 GPa and less than or substantially equal to 700 GPa, greater than 150 GPa and less than or substantially equal to 600 GPa, greater than 150 GPa and less than or substantially equal to 500 GPa, greater than 150 GPa and less than or substantially equal to 400 GPa, greater than 150 GPa and less than or substantially equal to 300 GPa, although other suitable Young's modulus may alternatively be utilized. Owing to the supporting structure 400A (having the Young's modulus greater than those of the semiconductor substrates 210 of the semiconductor dies 200), the warpage of the semiconductor device 1000A can be suppressed during bonding the semiconductor device 1000A to an external component or element.
In some embodiments, the supporting structure 400A has a thermal conductivity greater than 150W/(m*K). In a non-limiting example, the thermal conductivity of the supporting structure 400A may be greater than greater than 150W/(m*K) and less than or substantially equal to 1000W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 950W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 900W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 850W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 800W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 750W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 700W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 650W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 600W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 550W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 500W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 450W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 400W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 350W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 300W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 250W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 200W/(m*K), although other suitable thermal conductivity may alternatively be utilized. Owing to the supporting structure 400A (having the thermal conductivity greater than those of the semiconductor substrates 210 of the semiconductor dies 200), the heat generated by the semiconductor dies 200 during the operation can be efficiently dissipating to the external environment through the supporting structure 400A.
A thickness T400A of the supporting structure 400A may be approximately ranging from 50 μm to 5 mm, although other suitable thickness may alternatively be utilized. For example, the thickness T400A of the supporting structure 400A is greater than or substantially equal to 50 μm and less than or substantially equal to 5 mm. In a non-limiting example, the thickness T400A of the supporting structure 400A may be greater than or substantially equal to 50 μm and less than or substantially equal to 4 mm. In another non-limiting example, the thickness T400A of the supporting structure 400A may be greater than or substantially equal to 50 μm and less than or substantially equal to 3 mm. In another non-limiting example, the thickness T400A of the supporting structure 400A may be greater than or substantially equal to 50 μm and less than or substantially equal to 2 mm. In another non-limiting example, the thickness T400A of the supporting structure 400A may be greater than or substantially equal to 50 μm and less than or substantially equal to 1 mm. In some embodiments, the shape and the size of the supporting structure 400A are similar or substantially identical to the shape and the size of the wafer W1 in the vertical projection along the direction Z. However, the disclosure is not limited thereto. In some embodiments, a material of the supporting structure 400A is different from a material of the semiconductor substrates 110 of the semiconductor dies 100. In some embodiments, a material of the supporting structure 400A is different from a material of the semiconductor substrates 210 of the semiconductor dies 200.
During the bonding process of the semiconductor dies 200 and the supporting structure 400A, bonds (e.g., covalent bonds) between the supporting structure 400A and the insulating encapsulation 300 (e.g., at the interface of the supporting structure 400A and the semiconductor dies 200) may be also established. That is, the supporting structure 400A is further bonded to the insulating encapsulation 300, for example.
Referring to
Referring to
For example, a surface S110b of the semiconductor substrate 110, surfaces S160 of the liners 160 and surfaces S170 of the conductive pillars 170 in each of the semiconductor dies 100 included in the wafer W1 are substantially level with each other. In some embodiments, the surface S110b of the semiconductor substrate 110, the surfaces S160 of the liners 160 and the surfaces S170 of the conductive pillars 170 of each of the semiconductor dies 100 included in the wafer W1 are substantially coplanar to each other. In the case, the surface S110b of the semiconductor substrate 110, the surfaces S160 of the liners 160 and the surfaces S170 of the conductive pillars 170 of each of the semiconductor dies 100 (e.g., 100A, 100B, 100C, and 100D) together constitute a back-side, rear-side, or non-active side S100b of each of the semiconductor dies 100, as shown in
Referring to
In some embodiments, the redistribution circuit structure 500 may be formed by, but not limited to: forming a blanket layer of first dielectric material over the wafer W1; patterning the first dielectric material blanket layer to form the dielectric layer 510A having a plurality of first openings (not labeled) penetrating there-through and accessibly revealing the conductive pillars 170 of the semiconductor dies 100 exposed by the back sides S100b of the semiconductor dies 100; forming a blanket layer of first seed layer material over the dielectric layer 510A, the first seed layer material blanket layer extending into the first openings to line the first openings and in contact with the conductive pillars 170 of the semiconductor dies 100 exposed by the back sides S100b of the semiconductor dies 100; forming a blanket layer of a first conductive material over the first seed layer material blanket layer; patterning the first conductive material blanket layer to form the patterned conductive layer 530A; using the patterned conductive layer 530A as etching mask to pattern the first seed layer material blanket layer and form the seed layer 520A; forming a blanket layer of second dielectric layer over the patterned conductive layer 530A, the seed layer 520A and the dielectric layer 510A; patterning the second dielectric material blanket layer to form the dielectric layer 510B having a plurality of second openings (not labeled) there-through and accessibly revealing an illustrated top surface of the patterned conductive layer 530A; forming a blanket layer of second seed layer material over the dielectric layer 510B, the second seed layer material blanket layer extending into the second openings to line the second openings and in contact with the exposed patterned conductive layer 530A; forming a blanket layer of a second conductive material over the second seed layer material blanket layer; patterning the second conductive material blanket layer to form the patterned conductive layer 530B; using the patterned conductive layer second 530B as etching mask to pattern the second seed layer material blanket layer and form the seed layer 520B; forming a blanket layer of third dielectric layer over the patterned conductive layer 530B, the seed layer 520B and the dielectric layer 510B; patterning the third dielectric material blanket layer to form a dielectric layer 510C having a plurality of third openings (not labeled) penetrating there-through and accessibly revealing an illustrated top surface of the patterned conductive layer 530B; forming a blanket layer of third seed layer material over the dielectric layer 510C, the third seed layer material blanket layer extending into the third openings to line the third openings and in contact with the exposed patterned conductive layer 530B; forming a blanket layer of a third conductive material over the third seed layer material blanket layer; patterning the third conductive material blanket layer to form the patterned conductive layer 530C; using the patterned conductive layer 530C as etching mask to pattern the third seed layer material blanket layer and form the seed layer 520C; forming a blanket layer of fourth dielectric layer over the patterned conductive layer 530C, the seed layer 520C and the dielectric layer 510C; and patterning the fourth dielectric material blanket layer to form a passivation layer 540 having a plurality of openings OP penetrating there-through and accessibly revealing an illustrated top surface S530t of the patterned conductive layer 530C. Up to here, the redistribution circuit structure 500 is manufactured. The redistribution circuit structure 500 may be formed on the semiconductor dies 100 by single or dual damascene process. The disclosure is not limited thereto.
The material of each of the dielectric layers 510A, 510B, 510C and the passivation layer 540 may be PI, PBO, BCB, a nitride such as silicon nitride, an oxide such as silicon oxide, PSG, BSG, BPS G, a combination thereof or the like, which may be patterned using a photolithography and/or etching process. The first, second, third, and/or fourth dielectric material blanket layer may be formed by suitable fabrication techniques such as spin-on coating, CVD (e.g., PECVD), or the like. In some embodiments, the materials of the dielectric layers 510A, 510B, 510C and the passivation layer 540 are the same to each other. Alternatively, the materials of the dielectric layers 510A, 510B, 510C and the passivation layer 540 may be different, in part or all.
The seed layers 520A, 520B and 520C individually are referred to as a metal layer, which can be a single layer or a composite layer including a plurality of sub-layers formed of different materials. For example, the seed layers 520A, 520B and 520C each may be or include a titanium layer and a copper layer over the titanium layer. The first, second, and/or third seed layer material blanket layers may be formed in a manner of a blanket layer made of metal or metal alloy materials, the disclosure is not limited thereto. The material of each of the first, second, and/or third seed layer material blanket layers may include titanium, copper, molybdenum, tungsten, titanium nitride, titanium tungsten, combinations thereof, or the like, which may be formed using, for example, sputtering, physical vapor deposition (PVD), or the like. The first, second, and/or third seed layer material blanket layers may be patterned by etching, such as a dry etching process, a wet etching process, or a combination thereof; the disclosure is not limited thereto. In some embodiments, the materials of the seed layers 520A, 520B and 520C are the same to each other. Alternatively, the materials of the seed layers 520A, 520B and 520C may be different, in part or all.
The material of each of the first, second, and/or third conductive material blanket layers for forming the patterned conductive layers 530A, 530B and 530C may be made of conductive materials formed by electroplating or deposition, such as copper, copper alloy, aluminum, aluminum alloy, or combinations thereof, which may be patterned to form a plurality of conductive patterns/segments using a photolithography and etching process. In some embodiments, the conductive patterns/segments each include the line portion 530t extending along a horizontal direction (e.g., the direction X and/or Y) and/or the line portion 530v extending along a horizontal direction (e.g., the direction X and/or Y) in addition to the via portion 530v connecting to the line portion 530t and extending along a vertical direction (e.g., the direction Z). In one embodiment, the materials of the patterned conductive layers 530A, 530B and 530C are the same to each other. Alternatively, the materials of the patterned conductive layers 530A, 530B and 530C may be different, in part or all.
As shown in
Referring to
In some embodiments, the UBM patterns 600 are physically connected to and electrically connected to the redistribution circuit structure 500. In some embodiments, the conductive terminals 700 are electrically coupled to the redistribution circuit structure 500 through the UBM patterns 600. In some embodiments, the conductive terminals 700 are electrically coupled to the wafer W1 through the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100A through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100B through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100C through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100D through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200A through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor die 100A. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200B through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor die 100B. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200C through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor dies 100B, 100C. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200D through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor die 100D.
In some embodiments, the UBM patterns 600 are made of a metal layer including a single layer or a metallization layer including a composite layer with a plurality of sub-layers formed of different materials. In some embodiments, the UBM patterns 600 include copper, nickel, molybdenum, titanium, tungsten, titanium nitride, titanium tungsten, combinations thereof, or the like. The UBM patterns 600 may include a titanium layer and a copper layer over the titanium layer. The UBM patterns 600 may be formed using electroplating, sputtering, PVD, or the like. For example, the UBM patterns 600 are conformally formed on the passivation layer 540 by sputtering to extend on an outermost surface of the passivation layer 540 and further extend into the openings OP formed in the passivation layer 540 and thus are in physical contact with the surface S530t of the patterned conductive layer 530C exposed by the openings OP formed in the passivation layer 540. The UBM patterns 600 are electrically isolated from one another. The number of the UBM patterns 600 may not be limited in this disclosure, and may correspond to the number of the portions of the patterned conductive layer 530C exposed by the openings OP formed in the passivation layer 540.
In some embodiments, the conductive terminals 700 are physically connected to and electrically connected to the UBM patterns 600, and are electrically coupled to the redistribution circuit structure 500 through the UBM patterns 600. In some embodiments, the conductive terminals 700 are disposed on the UBM patterns 600 by ball placement process or reflow process. For example, the conductive terminals 700 includes micro-bumps, metal pillars, ENEPIG formed bumps, C4 bumps (for example, which may have, but not limited to, a size of about 80 μm), a BGA bumps or balls (for example, which may have, but not limited to, a size of about 400 μm), solder balls, or the like. The disclosure is not limited thereto. The number of the conductive terminals 700 is not limited to the drawings of the embodiments, and may be selected and designated based on the demand and design layout. The number of the conductive terminals 700 may be controlled by adjusting the number of the UBM patterns 600. When solder is used, the solder may include either eutectic solder or non-eutectic solder. The solder may include lead or be lead-free, and may include Sn—Ag, Sn—Cu, Sn—Ag—Cu, or the like. The conductive terminals 700 may be solder free. The conductive terminals 700 may be referred to as conductors, input/output (I/O) terminals, conductive connectors, or conductive I/O terminals of the semiconductor device 1000A for electrical connection with the external component or element (e.g., an additional semiconductor package/device, a circuit substrate, an interposer, an capacitor, a power source, or the like, etc.).
However, the disclosure is not limited thereto. In some alternative embodiments, the UBM patterns 600 may be omitted. In such alternative embodiments, the conductive terminals 700 may be directly connected to (e.g., in physical contact with) the redistribution circuit structure 500 (e.g., the portions of the patterned conductive layer 530C exposed by the openings OP). In further alternative embodiments, the conductive terminals 700 may be omitted.
In some embodiments, after forming the conductive terminals 700, a dicing (or singulation) process is sequentially performed to cut through the redistribution circuit structure 500, the wafer W1, the insulating encapsulation 300, and the supporting structure 400 so to form individual and separated semiconductor devices 1000A. Up to here, the semiconductor device 1000A is manufactured. In some embodiments, a sidewall of the semiconductor device 1000A includes a sidewall SW500 of the redistribution circuit structure 500, a sidewall SW100 of the semiconductor dies 100 (being connected to each other), a sidewall SW300 of the insulating encapsulation 300, and a sidewall SW400A of the supporting structure 400A. For example, as shown in
In addition, on the X-Y plane, a projection area of a positioning location of each semiconductor die included in the second tier T2 independently may be less than, greater than or substantially equal to a projection area of a positioning location of a respectively one semiconductor die included in the first tier T1 overlapped therewith in the direction Z. For the semiconductor device 1000A in
The disclosure is not limited thereto. In one non-limiting example, on the X-Y plane, a projection area of a positioning location of each semiconductor die included in the second tier T2 all may be less than a projection area of a positioning location of a respectively one semiconductor die included in the first tier T1 overlapped therewith in the direction Z. Alternatively, on the X-Y plane, a projection area of a positioning location of each semiconductor die included in the second tier T2 all may be greater than a projection area of a positioning location of a respectively one semiconductor die included in the first tier T1 overlapped therewith in the direction Z. Or alternatively, on the X-Y plane, a projection area of a positioning location of each semiconductor die included in the second tier T2 all may be substantially equal to a projection area of a positioning location of a respectively one semiconductor die included in the first tier T1 overlapped therewith in the direction Z. In one non-limiting example, on the X-Y plane, a projection area of a positioning location of each semiconductor die included in the second tier T2 independently may be less than or substantially equal to a projection area of a positioning location of a respectively one semiconductor die included in the first tier T1 overlapped therewith in the direction Z. Alternatively, on the X-Y plane, a projection area of a positioning location of each semiconductor die included in the second tier T2 independently may be greater than or substantially equal to a projection area of a positioning location of a respectively one semiconductor die included in the first tier T1 overlapped therewith in the direction Z. Or alternatively, on the X-Y plane, a projection area of a positioning location of each semiconductor die included in the second tier T2 independently may be less than or greater than a projection area of a positioning location of a respectively one semiconductor die included in the first tier T1 overlapped therewith in the direction Z.
In one embodiment, the dicing process is a wafer dicing process including mechanical blade sawing or laser cutting. The disclosure is not limited thereto. Before the dicing (or singulation) process, for example, the structure depicted in
As illustrated in
However, the disclosure is not limited thereto; in some alternative embodiments, the semiconductor dies 200 included in the first tier Ti are bonded to the semiconductor dies 100 included in the second tier T2 through a wafer-on-wafer bonding process, see
For example, the semiconductor device 1000B of
The semiconductor device 1000B may be formed by, but not limited to, providing a wafer W1 including a plurality of semiconductor dies 100 (e.g., over the carrier 50) (similar to the processes of
In other alternative embodiments, the semiconductor dies 200 included in the first tier T1 are bonded to the semiconductor dies 100 included in the second tier T2 through a wafer-on-chip bonding process, see
For example, the semiconductor device 1000C of
The semiconductor device 1000C may be formed by, but not limited to, providing a wafer W1 including a plurality of semiconductor dies 100 (similar to the process of
In further alternative embodiments, the semiconductor dies 200 included in the first tier T1 are bonded to the semiconductor dies 100 included in the second tier T2 through a chip-on-chip bonding process, see
For example, the semiconductor device 1000D of
The semiconductor device 1000D may be formed by, but not limited to, providing a wafer W1 including a plurality of semiconductor dies 100 (similar to the process of
In above embodiments of the semiconductor devices 1000A, 1000B, 1000C and 1000D, there is no conductive pillars presented in and penetrating through the second tier T2 to electrically connect the redistribution circuit structure 500 and at least one of semiconductor dies 200 in the first tier T1. However, the disclosure is not limited thereto. In some alternative embodiments, one or more conductive pillars are included in the second tier T2 to provide additional electrical connections between the redistribution circuit structure 500 and at least one of semiconductor dies 200 in the first tier T1, see
As illustrated in
The formation of the semiconductor device 1000E of
In embodiments where the conductive pillars 900 may be formed over the carrier 50 prior to the placement of the semiconductor dies 100 over the carrier 50 and prior to laterally encapsulating the semiconductor dies 100 in the insulating encapsulation 800, the formation of the conductive pillars 900 may include, but not limited to, forming a photo resist (not shown) on the debond layer 52 and over the carrier 50; patterning the photo resist to form a plurality of openings (not shown) penetrating the photo resist and exposing at least portions of debond layer 52 over the carrier 50 corresponding to (e.g., overlapped with) predetermined locations of the conductive pillars 900; forming a conductive material (not shown) in the openings to form the conductive pillars 900 (e.g., by deposition, plating (such as electroplating or electroless plating), or the like) on the debond layer 52 over the carrier 50; and removing the patterned photo resist (e.g., by acceptable ashing process and/or photoresist stripping process (such as using an oxygen plasma or the like)). A seed layer (not shown) may be further formed prior to forming the photo resist and is exposed by the openings of the patterned photo resist to facilitate the formation of the conductive material in the openings of the patterned photo resist, where the seed layer is patterned using the conductive material formed thereon as an etching mask, and the patterned seed layer and the conductive material formed thereon together considered as the conductive pillars 900. The formation and material of the seed layer is similar to or identical to the seed layers 520 as described in
The photo resist may be formed by spin coating or the like, and may be exposed to light for patterning. In some embodiments, a material of the photo resist includes a positive resist material or a negative resist material, that is suitable for a patterning process such as a photolithography process with a mask or a mask-less photolithography process (such as an electron-beam (e-beam) writing or an ion-beam writing). In the disclosure, the photo resist may be referred to as a photoresist layer or a resist layer. In some embodiments, the pattern of the photo resist is corresponding to the positioning locations of the conductive pillars 900. In alternative embodiments, the conductive pillars 900 may be pre-fabricated structures through other processes and are mounted over the debond layer 52 over the carrier 50 by any suitable method, after or before the semiconductor dies 100 are placed on the debond layer 520.
However, the disclosure is not limited thereto. In alternative embodiments, the semiconductor device 1000C may also adopt one or more conductive pillars 900 in the second tier T2 thereof.
In above embodiments of the semiconductor devices 1000A, 1000B, 1000C, 1000D and 1000E, the semiconductor dies 200 (e.g., 200A, 200B, 200C and 200D) included in the first tier T1 are bonded to the semiconductor dies 100 (e.g., 100A, 100B, 100C and 100D) included in the second tier T2 through a manner of face-to-face configuration. However, the disclosure is not limited thereto. In some alternative embodiments, the semiconductor dies 200 included in the first tier T1 are bonded to the semiconductor dies 100 included in the second tier T2 through a manner of face-to-back configuration, see
For example, the semiconductor dies 200 in the first tier Ti are bonded to the semiconductor dies 100 in the second tier T2 through physically connecting (e.g., directly contacting) the protection layer 260 and the semiconductor substrate 110 and physically connecting (e.g., directly contacting) the connecting vias 250 and the conductive pillars 170, with or without physically connecting (e.g., directly contacting) the protection layer 260 and the conductive pillars 170 and/or physically connecting (e.g., directly contacting) the connecting vias 250 and the semiconductor substrate 110. In other words, as shown in
The semiconductor device 1000F may be formed by, but not limited to, providing a wafer W1 including a plurality of semiconductor dies 100 (e.g., over the carrier 50) (similar to the processes of
However, the disclosure is not limited thereto. As alternatives, in the disclosure, the semiconductor dies 200 may be bonded to the semiconductor dies 100 in a manner of face-to-back configuration, through a wafer-on-wafer bonding process (as discussed in the semiconductor device 1000B of
In above embodiments of the semiconductor devices 1000A, 1000B, 1000C, 1000D, 1000E, 1000F and 1000G, there are a die stack of two tiers T1 and T2 being interposed between the supporting structure 400A and the redistribution circuit structure 500. However, the disclosure is not limited thereto. As an alternative, in the semiconductor device of the disclosure, a die stack of more than two tiers may be interposed between the supporting structure 400A and the redistribution circuit structure 500, see
In some embodiments, the additional tier includes the third tier T3, where the third tier T3 is disposed between the second tier T2 and the redistribution circuit structure 500. As shown in
In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100A′ in the third tier T3 through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100B′ in the third tier T3 through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100C′ in the third tier T3 through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100D′ in the third tier T3 through some of the UBM patterns 600 and the redistribution circuit structure 500. In some embodiments, some of the conductive terminals 700 are electrically coupled to the conductive pillars 900 in the third tier T3 through some of the UBM patterns 600 and the redistribution circuit structure 500.
In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100A in the second tier T2 through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor die 100A′ in the third tier T3. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100B in the second tier T2 through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor die 100B′ in the third tier T3. In addition, some of the conductive terminals 700 may be electrically coupled to the semiconductor die 100B in the second tier T2 through some of the UBM patterns 600, the redistribution circuit structure 500 and the conductive pillars 900 in the third tier T3. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100C in the second tier T2 through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor die 100C′ in the third tier T3. In addition, some of the conductive terminals 700 may be electrically coupled to the semiconductor die 100C in the second tier T2 through some of the UBM patterns 600, the redistribution circuit structure 500 and the conductive pillars 900 in the third tier T3. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 100D in the second tier T2 through some of the UBM patterns 600, the redistribution circuit structure 500 and the semiconductor die 100D′ in the third tier T3.
In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200A through some of the UBM patterns 600, the redistribution circuit structure 500, the semiconductor die 100A′ in the third tier T3 and the semiconductor die 100A in the second tier T2. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200B through some of the UBM patterns 600, the redistribution circuit structure 500, the semiconductor die 100B′ in the third tier T3 and the semiconductor die 100B in the second tier T2. In addition, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200B through some of the UBM patterns 600, the redistribution circuit structure 500, the conductive pillars 900 in the third tier T3 and the semiconductor die 100B in the second tier T2. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200C through some of the UBM patterns 600, the redistribution circuit structure 500, the semiconductor die 100B′ in the third tier T3 and the semiconductor die 100B in the second tier T2. In addition, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200C through some of the UBM patterns 600, the redistribution circuit structure 500, the conductive pillars 900 in the third tier T3 and the semiconductor die 100B in the second tier T2. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200C through some of the UBM patterns 600, the redistribution circuit structure 500, the semiconductor die 100C′ in the third tier T3 and the semiconductor die 100C in the second tier T2. In addition, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200C through some of the UBM patterns 600, the redistribution circuit structure 500, the conductive pillars 900 in the third tier T3 and the semiconductor die 100C in the second tier T2. In some embodiments, some of the conductive terminals 700 are electrically coupled to the semiconductor die 200D through some of the UBM patterns 600, the redistribution circuit structure 500, the semiconductor die 100D′ in the third tier T3 and the semiconductor die 100D in the second tier T2.
As illustrated in
For example, the semiconductor dies 100 in the third tier T3 are bonded to the semiconductor dies 100 in the second tier T2 through physically connecting (e.g., directly contacting) the semiconductor substrate 110 in the third tier T3 and the semiconductor substrate 110 in the second tier T2 and physically connecting (e.g., directly contacting) the conductive pillars 170 in the third tier T3 and the conductive pillars 170 in the second tier T2, with or without physically connecting (e.g., directly contacting) the semiconductor substrate 110 in the third tier T3 and the conductive pillars 170 in the second tier T2 and/or physically connecting (e.g., directly contacting) the semiconductor substrate 110 in the second tier T2 and the conductive pillars 170 in the third tier T3. In other words, as shown in
The semiconductor device 1000H may be formed by, but not limited to, forming the conductive pillars 900 over the carrier 500 (similar to the process previously described in
As shown in
In some embodiments, the supporting structure 400A of a single-layer structure in the semiconductor devices 1000A, 1000B, 1000C, 1000D, 1000E, 1000F, 1000G, 1000H and modifications thereof may be substituted with a supporting structure 400B of a multi-layer structure.
Referring to
In some embodiments, the supporting structure 400B is disposed on (e.g., in physical contact with) the semiconductor dies 200 and the insulating encapsulation 300 laterally encapsulating the semiconductor dies 200. For example, the supporting structure 400B is bonded to the semiconductor dies 200 by performing a thermal process to establish bonds (e.g., covalent bonds) between the supporting structure 400B and the semiconductor dies 200 (e.g., at the interface of the supporting structure 400B and the semiconductor dies 200). The supporting structure 400B may be bonded to the semiconductor dies 200 by fusion bonding. In the case, an interface IFS is between the supporting structure 400B and the semiconductor dies 200. The interface IFS may be a fusion bonding interface (or a fusion bonding region). In some embodiments, the supporting structure 400B is thermally coupled to the semiconductor dies 200. In some embodiments, the supporting structure 400B is thermally coupled to and electrically isolated from the semiconductor dies 200. In the disclosure, the supporting structure 400B may also be referred to as a supporting structure, a high performance carrier, or a high performance supporting structure.
For example, the supporting structure 400B is pre-fabricated. The supporting structure 400B may be a multi-layer structure, such as in a form of composite structure, as shown in
In some embodiments, the supporting structure 400B has a CTE approximately ranging from 2 ppm/K to 4 ppm/K. In a non-limiting example, the CTE of the supporting structure 400B may be about 2.0 ppm/K, 2.1 ppm/K, 2.2 ppm/K, 2.3 ppm/K, 2.4 ppm/K, 2.5 ppm/K, 2.6 ppm/K, 2.7 ppm/K, 2.8 ppm/K, 2.9 ppm/K, 3.0 ppm/K, 3.1 ppm/K, 3.2 ppm/K, 3.3 ppm/K, 3.4 ppm/K, 3.5 ppm/K, 3.6 ppm/K, 3.7 ppm/K, 3.8 ppm/K, 3.9 ppm/K, or 4.0 ppm/K, although other suitable CTE value may alternatively be utilized. Owing to the supporting structure 400B (having the CTE value closer to those of the semiconductor substrates 210 of the semiconductor dies 200), the warpage of the semiconductor device 2000 during the manufacture thereof can be suppressed.
In some embodiments, the supporting structure 400B has a Young's modulus (E) greater than 150 GPa. In a non-limiting example, the Young's modulus of the supporting structure 400B may be greater than 150 GPa and less than or substantially equal to 5000 GPa, greater than 150 GPa and less than or substantially equal to 4000 GPa, greater than 150 GPa and less than or substantially equal to 3000 GPa, greater than 150 GPa and less than or substantially equal to 2000 GPa, greater than 150 GPa and less than or substantially equal to 1000 GPa, greater than 150 GPa and less than or substantially equal to 900 GPa, greater than 150 GPa and less than or substantially equal to 800 GPa, greater than 150 GPa and less than or substantially equal to 700 GPa, greater than 150 GPa and less than or substantially equal to 600 GPa, greater than 150 GPa and less than or substantially equal to 500 GPa, greater than 150 GPa and less than or substantially equal to 400 GPa, greater than 150 GPa and less than or substantially equal to 300 GPa, although other suitable Young's modulus may alternatively be utilized. Owing to the supporting structure 400B (having the Young's modulus greater than those of the semiconductor substrates 210 of the semiconductor dies 200), the warpage of the semiconductor device 2000 can be suppressed during bonding the semiconductor device 2000 to an external component or element.
In some embodiments, the supporting structure 400B has a thermal conductivity greater than 150W/(m*K). In a non-limiting example, the thermal conductivity of the supporting structure 400B may be greater than greater than 150W/(m*K) and less than or substantially equal to 1000W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 950W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 900W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 850W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 800W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 750W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 700W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 650W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 600W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 550W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 500W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 450W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 400W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 350W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 300W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 250W/(m*K), greater than 150W/(m*K) and less than or substantially equal to 200W/(m*K), although other suitable thermal conductivity may alternatively be utilized. Owing to the supporting structure 400B (having the thermal conductivity greater than those of the semiconductor substrates 210 of the semiconductor dies 200), the heat generated by the semiconductor dies 200 during the operation can be efficiently dissipating to the external environment through the supporting structure 400B.
A thickness T400B of the supporting structure 400B may be approximately ranging from 50 μm to 5 mm, although other suitable thickness may alternatively be utilized. For example, the thickness T400B of the supporting structure 400B is greater than or substantially equal to 50 μm and less than or substantially equal to 5 mm. In a non-limiting example, the thickness T400B of the supporting structure 400B may be greater than or substantially equal to 50 μm and less than or substantially equal to 4 mm. In another non-limiting example, the thickness T400B of the supporting structure 400B may be greater than or substantially equal to 50 μm and less than or substantially equal to 3 mm. In another non-limiting example, the thickness T400B of the supporting structure 400B may be greater than or substantially equal to 50 μm and less than or substantially equal to 2 mm. In another non-limiting example, the thickness T400B of the supporting structure 400B may be greater than or substantially equal to 50 μm and less than or substantially equal to 1 mm. In some embodiments, a material of the supporting structure 400B is different from a material of the semiconductor substrates 110 of the semiconductor dies 100. In some embodiments, a material of the supporting structure 400B is different from a material of the semiconductor substrates 210 of the semiconductor dies 200.
In addition, bonds (e.g., covalent bonds) between the supporting structure 400B and the insulating encapsulation 300 (e.g., at the interface of the supporting structure 400B and the semiconductor dies 200) may be also established. That is, the supporting structure 400B is further bonded to the insulating encapsulation 300, for example.
In some embodiments, a sidewall of the semiconductor device 2000 includes a sidewall SW500 of the redistribution circuit structure 500, a sidewall SW100 of the semiconductor dies 100 (being connected to each other), a sidewall SW300 of the insulating encapsulation 300, and a sidewall SW400B of the supporting structure 400B. For example, as shown in
The semiconductor devices 1000A, 1000B, 1000C, 1000D, 1000E, 1000F, 1000G, 1000H, 2000 and modifications thereof may be referred to as System-on-Integrate-Chips (SoICs). In some embodiments, the semiconductor devices 1000A, 1000B, 1000C, 1000D, 1000E, 1000F, 1000G, 1000H, 2000 and modifications thereof may be further mounted onto another electronical component.
Referring to
In alternative embodiments, the semiconductor devices 1000A, 1000B, 1000C, 1000D, 1000E, 1000F, 1000G, 1000H, 2000 and/or modifications thereof may be or may be part of an integrated Fan-Out (InFO) package, an InFO package having a Package-on-Package (PoP) structure, a chip-on-wafer-on-substrate (CoWoS) package, a flip chip package of an InFO package, or the like. The disclosure is not limited thereto. Owing to the supporting structure 400A or 400B in the semiconductor device of the disclosure, a reduction (e.g., by more than 5% or about 5%) in the maximum temperature during operating is observed, as compared to a conventional semiconductor device without using the supporting structure 400A or 400B of the disclosure. On the other hand, owing to the supporting structure 400A or 400B in the semiconductor device of the disclosure, a reduction (e.g., by more than 60% or about 60%) in the warpage is observed, as compared to a conventional semiconductor device without using the supporting structure 400A or 400B of the disclosure.
In accordance with some embodiments, a semiconductor device includes a supporting structure, a die stack, and a redistribution circuit structure. The die stack is disposed over the supporting structure and includes a first semiconductor die comprising a substrate and a second semiconductor die, where the first semiconductor die is between the second semiconductor die and the supporting structure, and a material of the supporting structure is different from a material of the substrate of the first semiconductor die. The redistribution circuit structure is disposed over the die stack and electrically coupled to the first semiconductor die and the second semiconductor die.
In accordance with some embodiments, a semiconductor device includes at least one first die, a supporting carrier, a routing structure, and a plurality of terminals. The at least one first die includes a substrate and has a first back side and a first front side opposite to the first back side. The supporting carrier is disposed on the first back side of the at least one first die, where a thermal conductivity of the supporting carrier is greater than a thermal conductivity of the substrate of the at least one first die. The routing structure is disposed on and electrically coupled to the at least one first die. The plurality of terminals are disposed over and electrically coupled to the routing structure.
In accordance with some embodiments, a method of manufacturing a semiconductor device includes the following steps: bonding at least one first die to at least one second die, the at least one first die comprising a substrate and having a first back side and a first front side opposite to the first back side, and the at least one second die being mounted to the first front side of the at least one first die; bonding a supporting carrier to the first back side of the at least one first die, a material of the supporting carrier is different from a material of the substrate of the at least one first die; forming a routing structure on and electrically coupled to the at least one second die; and disposing a plurality of terminals over and electrically coupled to the routing structure, the routing structure being disposed between the plurality of terminals and the at least one second die.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the disclosure. Those skilled in the art should appreciate that they may readily use the disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the disclosure.