Semiconductor device having work-function metal and method of forming the same

Information

  • Patent Grant
  • 11929289
  • Patent Number
    11,929,289
  • Date Filed
    Monday, October 3, 2022
    a year ago
  • Date Issued
    Tuesday, March 12, 2024
    2 months ago
Abstract
In a semiconductor device, a first active area, a second active area, and a third active area are formed on a substrate. A first gate electrode is formed on the first active area, a second gate electrode is formed on the second active area, and a third gate electrode is formed on the third active area. The first gate electrode has a first P-work-function metal layer, a first capping layer, a first N-work-function metal layer, a first barrier metal layer, and a first conductive layer. The second gate electrode has a second capping layer, a second N-work-function metal layer, a second barrier metal layer, and a second conductive layer. The third gate electrode has a second P-work-function metal layer, a third capping layer, a third N-work-function metal layer, and a third barrier metal layer. The third gate electrode does not have the first and second conductive layers.
Description
BACKGROUND
Field

Example embodiments of the inventive concepts relate to a semiconductor device having a work-function metal.


Description of Related Art

Widths of gate electrodes are being gradually decreased by requiring highly integrated semiconductor devices. A uniform electrical characteristic may be required for the semiconductor devices formed in memory cell areas and high current driving capability may be required for the semiconductor devices formed in logic areas. When gate electrodes for implementing the uniform electrical characteristic and the gate electrodes for implementing the high current driving capability in a single semiconductor chip are formed, there are a variety of difficulties to be faced.


SUMMARY

Example embodiments of the inventive concepts provide a semiconductor device having an improved electrical characteristic while simplifying a process.


Example embodiments of the inventive concepts provide a method of forming a semiconductor device having an improved electrical characteristic while simplifying a process.


The technical objectives of the inventive concepts are not limited to the above disclosure; other objectives may become apparent to those of ordinary skill in the art based on the following descriptions.


In accordance with example embodiments of the inventive concepts, a semiconductor device includes a substrate having a memory cell area and a logic area, a first active area and a second active area in the memory cell area on the substrate, a third active area in the logic area on the substrate, an insulating layer on the substrate and configured to cover the first, second and third active areas, a first gate electrode configured to pass through the insulating layer, cover a side surface of the first active area, and cross the first active area, a second gate electrode configured to pass through the insulating layer, cover a side surface of the second active area, and cross the second active area, and a third gate electrode configured to pass through the insulating layer, cover a side surface of the third active area, cross the third active area, having a width smaller than the first gate electrode and the second gate electrode, and not having the first and second conductive layers. The first gate electrode includes a first P-work-function metal layer in the first active area, a first capping layer on the first P-work-function metal layer, a first N-work-function metal layer on the first capping layer, a first barrier metal layer on the first N-work-function metal layer, and a first conductive layer on the first barrier metal layer and having a different material from the first barrier metal layer. The second gate electrode includes a second capping layer in the second active area, a second N-work-function metal layer on the second capping layer, a second barrier metal layer on the second N-work-function metal layer, and a second conductive layer on the second barrier metal layer and having a different material from the second barrier metal layer. The third gate electrode includes a second P-work-function metal layer in the third active area, a third capping layer on the second P-work-function metal layer, a third N-work-function metal layer on the third capping layer, and a third barrier metal layer on the third N-work-function metal layer.


The first N-work-function metal layer, the second N-work-function metal layer, and the third N-work-function metal layer may be thicker than the first P-work-function metal layer and the second P-work-function metal layer.


The first barrier metal layer, the second barrier metal layer, and the third barrier metal layer may be thicker than the first N-work-function metal layer, the second N-work-function metal layer, and the third N-work-function metal layer.


The first P-work-function metal layer and the second P-work-function metal layer may include titanium nitride (TiN).


The first capping layer, the second capping layer, and the third capping layer may include TiN.


The first N-work-function metal layer, the second N-work-function metal layer, and the third N-work-function metal layer may include one of titanium aluminum carbide (TiAlC) and titanium aluminide (TiAl).


The first barrier metal layer, the second barrier metal layer, and the third barrier metal layer may include TiN.


The first conductive layer and the second conductive layer may include tungsten (W).


The device may further include a gate dielectric layer between the first active area and the first gate electrode, between the second active area and the second gate electrode, and between the third active area and the third gate electrode, wherein an upper surface of the gate dielectric layer and an upper surface of the first, second and third gate electrodes are at a same level.


The first P-work-function metal layer, the second capping layer, and the second P-work-function metal layer may directly contact the gate dielectric layer.


The second gate electrode may not have the first P-work-function metal layer and the second P-work-function metal layer.


Upper surfaces of the insulating layer, the first P-work-function metal layer, the second P-work-function metal layer, the first capping layer, the second capping layer, the third capping layer, the first N-work-function metal layer, the second N-work-function metal layer, the third N-work-function metal layer, the first barrier metal layer, the second barrier metal layer, the third barrier metal layer, the first conductive layer, and the second conductive layer may be at a same level.


The device may further include a first source/drain on the first active area and having an upper portion adjacent to an outer sidewall of the first gate electrode, the upper portion having an upper surface at a level higher than a lower surface of the first gate electrode, a second source/drain on the second active area and having an upper portion adjacent to an outer sidewall of the second gate electrode, the upper portion having an upper surface at a level higher than a lower surface of the second gate electrode, and a third source/drain on the third active area and having an upper portion adjacent to an outer sidewall of the third gate electrode, the upper portion having an upper surface at a level higher than a lower surface of the third gate electrode, wherein the upper surface of the upper portion of the second source/drain is at a different level than the upper surface of the upper portion of the first source/drain and the upper surface of the upper portion of the third source/drain.


The upper surface of the upper portion of the second source/drain may be at a level from the upper surface of the upper portion of the first source/drain and the upper surface of the upper portion of the third source/drain.


The first source/drain and the third source/drain may include silicon-germanium (SiGe). The second source/drain may include one of silicon carbide (SIC), silicon (Si), and a combination thereof.


The device may further include a fourth active area in the logic area on the substrate, and a fourth gate electrode configured to pass through the insulating layer, cover a side surface of the fourth active area, and cross the fourth active area, the fourth gate electrode having a width smaller than the first gate electrode and the second gate electrode and not having the first and second conductive layers. The fourth gate electrode may include a fourth capping layer in the fourth active area, a fourth N-work-function metal layer on the fourth capping layer, and a fourth barrier metal layer on the fourth N-work-function metal layer.


The first gate electrode may include the first barrier metal layer surrounding side surfaces and a bottom surface of the first conductive layer, the first N-work-function metal layer surrounding side surfaces and a bottom surface of the first barrier metal layer, the first capping layer surrounding side surfaces and a bottom surface of the first N-work-function metal layer, and the first P-work-function metal layer surrounding side surfaces and a bottom surface of the first capping layer. The second gate electrode may include the second barrier metal layer surrounding side surfaces and a bottom surface of the second conductive layer, the second N-work-function metal layer surrounding side surfaces and a bottom surface of the second barrier metal layer, and the second capping layer surrounding side surfaces and a bottom surface of the second N-work-function metal layer. The third gate electrode may include the third N-work-function metal layer surrounding side surfaces and a bottom surface of the third barrier metal layer, the third capping layer surrounding side surfaces and a bottom surface of the third N-work-function metal layer, and the second P-work-function metal layer surrounding side surfaces and a bottom surface of the third capping layer.


In accordance with example embodiments of the inventive concepts, a semiconductor device includes a substrate having a memory cell area and a logic area, a first active area in the memory cell area on the substrate, a second active area in the logic area on the substrate, an insulating layer on the substrate, the insulating layer configured to cover the first and second active areas, a first gate electrode configured to pass through the insulating layer, cover a side surface of the first active area, and cross the first active area, and a second gate electrode configured to pass through the insulating layer, cover a side surface of the second active area, and cross the second active area, the second gate electrode having a width smaller than the first gate electrode and not having the first conductive layer. The first gate electrode includes a first work-function metal layer in the first active area, a first barrier metal layer on the first work-function metal layer, and a conductive layer on the first barrier metal layer, the conductive layer having a different material from the first barrier metal layer. The second gate electrode includes a second first work-function metal layer in the second active area, and a second barrier metal layer on the second first work-function metal layer.


In accordance with example embodiments of the inventive concepts, a semiconductor device includes a substrate having a memory cell area and a logic area, a first active area and a second active area in the memory cell area on the substrate, a third active area and a fourth active area in the logic area on the substrate, an insulating layer on the substrate, the insulating layer configured to cover the first to fourth active areas, a first gate electrode configured to pass through the insulating layer, cover a side surface of the first active area, and cross the first active area, a second gate electrode configured to pass through the insulating layer, cover a side surface of the second active area, and cross the second active area, a third gate electrode configured to pass through the insulating layer, cover a side surface of the third active area, cross the third active area, and have a width smaller than the first gate electrode and the second gate electrode, and a fourth gate electrode configured to pass through the insulating layer, cover a side surface of the fourth active area, and cross the fourth active area, the fourth gate electrode having a width smaller than the first gate electrode and the second gate electrode and not having the first and second conductive layers. The first gate electrode includes a first P-work-function metal layer in the first active area, a first capping layer on the first P-work-function metal layer, a first N-work-function metal layer on the first capping layer, a first barrier metal layer on the first N-work-function metal layer, and a first conductive layer on the first barrier metal layer, the first conductive layer having a different material from the first barrier metal layer. The second gate electrode includes a second capping layer in the second active area, a second N-work-function metal layer on the second capping layer, a second barrier metal layer on the second N-work-function metal layer, and a second conductive layer on the second barrier metal layer, the second conductive layer having a different material from the second barrier metal layer. The third gate electrode includes a second P-work-function metal layer in the third active area, a third capping layer on the second P-work-function metal layer, a third N-work-function metal layer on the third capping layer, and a third barrier metal layer on the third N-work-function metal layer. The fourth gate electrode includes a fourth capping layer in the fourth active area, a fourth N-work-function metal layer on the fourth capping layer, a fourth barrier metal layer on the fourth N-work-function metal layer, and a third conductive layer on the fourth barrier metal layer, the third conductive layer having a different material from the fourth barrier metal layer.


In accordance with example embodiments of the inventive concepts, a method of forming a semiconductor device includes preparing a substrate having a memory cell area and a logic area, forming a first active area and a second active area in the memory cell area on the substrate, forming a third active area in the logic area on the substrate, forming an insulating layer on the substrate to cover the first, second and third active areas, forming a first trench configured to pass through the insulating layer and cross the first active area, a second trench configured to pass through the insulating layer and cross the second active area, and a third trench configured to pass through the insulating layer and cross the third active area, the third trench having a horizontal width smaller than a horizontal width of the first trench and the second trench, forming a P-work-function metal layer on bottom surfaces and side surfaces of the first trench and the third trench, forming a capping layer on bottom surfaces and side surfaces of the first, second and third trenches such that the P-work-function metal layer remains between the first active area and the capping layer, and between the third active area and the capping layer, forming an N-work-function metal layer on the capping layer in the first, second and third trenches, forming a barrier metal layer on the N-work-function metal layer in the first, second and third trenches, the barrier metal layer completely filling the third trench, and forming a conductive layer on the barrier metal layer in the first and the second trenches, the conductive layer having a different material from the barrier metal layer.


The N-work-function metal layer may be thicker than the P-work-function metal layer.


The barrier metal layer may be thicker than the N-work-function metal layer.


A gate dielectric layer may be formed between the first active area and the P-work-function metal layer, between the second active area and the capping layer, and between the third active area and the P-work-function metal layer.


The capping layer may directly contact the gate dielectric layer in the second trench. The P-work-function metal layer may directly contact the gate dielectric layer in the first and third trenches.


Upper surfaces of the insulating layer, the P-work-function metal layer, the capping layer, the N-work-function metal layer, the barrier metal layer, and the conductive layer may be at a same level.


The method may further include forming a first source/drain on the first active area adjacent to an outer sidewall of the first trench such that an upper portion of the first source/drain has an upper surface at a level higher than a lower surface of the P-work-function metal layer, forming a second source/drain on the second active area adjacent to an outer sidewall of the second trench such that an upper portion of the second source/drain has an upper surface at a level higher than a lower surface of the capping layer, and forming a third source/drain on the third active area adjacent to an outer sidewall of the third trench such that an upper portion of the third source/drain has an upper surface at a level higher than a lower surface of the P-work-function metal layer, wherein the upper surface of the upper portion of the second source/drain may be at a different level than the upper surface of the upper portion of the first source/drain and the upper surface of the upper portion of the third source/drain.


The upper surface of the upper portion of the second source/drain may be at a level higher than the upper surface of the upper portion of the first source/drain and the upper surface of the upper portion of the third source/drain.


In accordance with example embodiments of the inventive concepts, a semiconductor device includes a substrate having a memory cell area and a logic area, a first well in the memory cell area on the substrate, a second well in the logic area on the substrate, an insulating layer on the substrate and configured to cover the first and second wells, a first metal structure on the first well and configured to penetrate the insulating layer, the first metal structure including a plurality of first metal layers, the plurality of first metal layers including a work-function metal, and a second metal structure on the second well and configured to penetrate the insulating layer, the second metal structure having a different width from than the first metal structure, the second metal structure including a plurality of second metal layers, the plurality of second metal layers including the work-function metal.


The width of the second metal structure may be smaller than the width of the first metal structure.


The work-function metal may be one of titanium nitride (TIN), titanium aluminum carbide (TiAlC) and titanium aluminide (TiAl).


The device may further include a gate dielectric layer between the first well and the first metal structure, and between the second well and the second metal structure, wherein an upper surface of the gate dielectric layer and an upper surface of the first and second metal structures may be at a same level.


The device may further include a first source/drain on the first well, the first source/drain having an upper portion adjacent to an outer sidewall of the first metal structure, the upper portion having an upper surface at a level higher than a lower surface of the first metal structure, and a second source/drain on the second well, the second source/drain having an upper portion adjacent to an outer sidewall of the second metal structure, the upper portion having an upper surface at a level higher than a lower surface of the second metal structure, wherein the first source/drain and the second source/drain may include silicon-germanium (SiGe).


Details of other embodiments are included in detailed explanations and the drawings.





BRIEF DESCRIPTION OF THE DRAWINGS

The foregoing and other features and advantages of the inventive concepts will be apparent from the more particular description of example embodiments of the inventive concepts, as illustrated in the accompanying drawings in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the inventive concepts. In the drawings:



FIGS. 1A to 1D are cross-sectional views for describing a semiconductor device in accordance with example embodiments of the inventive concepts:



FIGS. 2A to 2D are partially enlarged views showing parts of FIGS. 1A to 1D in detail:



FIG. 3 is a layout for describing a semiconductor device in accordance with example embodiments of the inventive concepts. FIG. 1A is a cross-sectional view taken along line I-I′ of FIG. 3, FIG. 1B is a cross-sectional view taken along line III-III′ of FIG. 3, FIG. 1C is a cross-sectional view taken along line III-III′ of FIG. 3, and FIG. 1D is a cross-sectional view taken along line IV-IV′ of FIG. 3:



FIG. 4 is a cross-sectional view taken along lines V-V′ and VI-VI′ of FIG. 3:



FIG. 5 is a cross-sectional view taken along lines VII-VII′ and VIII-VIII′ of FIG. 3:



FIG. 6 is a cross-sectional view taken along line IX-IX′ of FIG. 3:



FIG. 7 is a cross-sectional view taken along line X-X′ of FIG. 3:



FIG. 8 is a cross-sectional view taken along line XI-XI′ of FIG. 3;



FIG. 9 is a cross-sectional view taken along line XII-XII′ of FIG. 3:



FIGS. 10 to 15 are cross-sectional views for describing semiconductor devices according to example embodiments of the inventive concepts:



FIGS. 16 to 27 are cross-sectional views taken along lines I-I′, II-II′, III-III′, and IV-IV′ of FIG. 3 for describing a method of forming a semiconductor device according to example embodiments of the inventive concepts; and



FIGS. 28 and 29 are system block diagrams of electronic devices according to example embodiments of the inventive concepts.





DETAILED DESCRIPTION

Advantages and features of the inventive concepts and methods of accomplishing them will be made apparent with reference to the accompanying drawings and some embodiments to be described below. The inventive concepts may, however, be embodied in various different forms, and should be construed as limited, not by the example embodiments set forth herein, but only by the accompanying claims. Rather, these example embodiments are provided so that this disclosure is thorough and complete and fully conveys the inventive concepts to those skilled in the art.


The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting of the present inventive concepts. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.


It will be understood that when an element or layer is referred to as being “on,” “connected to,” or “coupled to” another element or layer, it can be directly on, connected or coupled to the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.


Spatially relative terms, such as “beneath.” “below,” “lower,” “above,” “upper,” and the like, may be used herein for ease of description in describing one element's or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may be interpreted accordingly.


Example embodiments of the inventive concepts will be described with reference to cross-sectional views and/or plan views, which are ideal example views. Thicknesses of layers and areas are exaggerated for effective description of the technical contents in the drawings. Forms of the embodiments may be modified by the manufacturing technology and/or tolerance. Therefore, example embodiments of the inventive concepts are not intended to be limited to illustrated specific forms, and include modifications of forms generated according to manufacturing processes. For example, an etching area illustrated at a right angle may be round or have a predetermined or given curvature. Therefore, areas illustrated in the drawings have overview properties, and shapes of the areas are illustrated special forms of the areas of a device, and are not intended to be limited to the scope of the inventive concepts.


Like numbers refer to like elements throughout. Thus, the same or similar numbers may be described with reference to other drawings even if they are neither mentioned nor described in the corresponding drawing. Also, elements that are not denoted by reference numbers may be described with reference to other drawings.


Terms such as “front side,” and “back side” may be used in a relative sense herein to facilitate easier understanding of the inventive concepts. Accordingly, “front side.” and “back side” may not refer to any specific direction, location, or component, and may be used interchangeably. For example. “front side” may be interpreted as “back side” and vice versa. Also, “front side” may be expressed as “first side.” and “back side” may be expressed as “second side.” and vice versa. However, “front side,” and “back side” cannot be used interchangeably in the same example embodiment.


The term “near” is intended to mean that one among two or more components is located within relatively close proximity of a certain other component. For example, it should be understood that when a first end is near a first side, the first end may be closer to the first side than a second end, or the first end may be closer to the first side than to a second side.



FIGS. 1A to 1D are cross-sectional views for describing a semiconductor device in accordance with example embodiments of the inventive concepts.


Referring to FIGS. 1A to 1D, a first well 11, a second well 12, a third well 13, a fourth well 14, a first fin active area 15, a second fin active area 16, a third fin active area 17, a fourth fin active area 18, first sources/drains 21, second sources/drains 22, third sources/drains 23, fourth sources/drains 24, an etch stop layer 25, a lower insulating layer 26, spacers 29, a first trench 35, a second trench 36, a third trench 37, a fourth trench 38, a gate dielectric layer 43, a first P-work-function metal layer 45A, a second P-work-function metal layer 45C, a first capping layer 49A, a second capping layer 49B, a third capping layer 49C, a fourth capping layer 49D, a first N-work-function metal layer 51A, a second N-work-function metal layer 51B, a third N-work-function metal layer 51C, a fourth N-work-function metal layer 51D, a first barrier metal layer 53A, a second barrier metal layer 53B, a third barrier metal layer 53C, a fourth barrier metal layer 53D, a first conductive layer 55A, a second conductive layer 55B, and an upper insulating layer 66 may be formed on a substrate 10.


The first P-work-function metal layer 45A, the first capping layer 49A, the first N-work-function metal layer 51A, the first barrier metal layer 53A, and the first conductive layer 55A may configure a first gate electrode 61. The second capping layer 49B, the second N-work-function metal layer 51B, the second barrier metal layer 53B, and the second conductive layer 55B may configure a second gate electrode 62. The second P-work-function metal layer 45C, the third capping layer 49C, the third N-work-function metal layer 51C, and the third barrier metal layer 53C may configure a third gate electrode 63. The fourth capping layer 49D, the fourth N-work-function metal layer 51D, and the fourth barrier metal layer 53D may configure a fourth gate electrode 64.


The substrate 10 may include a memory cell area and a logic area. Each of the memory cell area and the logic area may include a PMOS area and an NMOS area. The gate dielectric layer 43 may include a lower gate dielectric layer 41 and an upper gate dielectric layer 42.


The first trench 35 may have a first width L1. The second trench 36 may have a second width L2. The third trench 37 may have a third width L3. The fourth trench 38 may have a fourth width L4. The third width L3 may be smaller than the first width L1 and the second width L2. The fourth width L4 may be smaller than the first width L1 and the second width L2. The second width L2 may be substantially the same as the first width L1. The fourth width L4 may be substantially the same as the third width L3.


The first well 11, the first fin active area 15, the first sources/drains 21, and the first trench 35 may be formed in the PMOS area of the memory cell area. The gate dielectric layer 43 and the first gate electrode 61 may be formed in the first trench 35. The gate dielectric layer 43 may surround side surfaces and a bottom of the first gate electrode 61. The upper gate dielectric layer 42 may directly contact a bottom and side surfaces of the first P-work-function metal layer 45A. The first P-work-function metal layer 45A may surround side surfaces and a bottom of the first capping layer 49A. The first capping layer 49A may surround side surfaces and a bottom of the first N-work-function metal layer 51A. The first N-work-function metal layer 51A may surround side surfaces and a bottom of the first barrier metal layer 53A. The first barrier metal layer 53A may surround side surfaces and a bottom of the first conductive layer 55A. The first conductive layer 55A may completely fill the first trench 35.


The second well 12, the second fin active area 16, the second sources/drains 22, and the second trench 36 may be formed in the NMOS area of the memory cell area. The gate dielectric layer 43 and the second gate electrode 62 may be formed in the second trench 36. The gate dielectric layer 43 may surround side surfaces and a bottom of the second gate electrode 62. The upper gate dielectric layer 42 may directly contact a bottom and side surfaces of the second capping layer 49B. The second capping layer 49B may surround side surfaces and a bottom of the second N-work-function metal layer 51B. The second N-work-function metal layer 51B may surround side surfaces and a bottom of the second barrier metal layer 53B. The second barrier metal layer 53B may surround side surfaces and a bottom of the second conductive layer 55B. The second conductive layer 55B may completely fill the second trench 36.


The third well 13, the third fin active area 17, the third sources/drains 23, and the third trench 37 may be formed in the PMOS area of the logic area. The gate dielectric layer 43 and the third gate electrode 63 may be formed in the third trench 37. The gate dielectric layer 43 may surround side surfaces and a bottom of the third gate electrode 63. The upper gate dielectric layer 42 may directly contact a bottom and side surfaces of the second P-work-function metal layer 45C. The second P-work-function metal layer 45C may surround side surfaces and a bottom of the third capping layer 49C. The third capping layer 49C may surround side surfaces and a bottom of the third N-work-function metal layer 51C. The third N-work-function metal layer 51C may surround side surfaces and a bottom of the third barrier metal layer 53C. The third barrier metal layer 53C may completely fill the third trench 37.


The fourth well 14, the fourth fin active area 18, the fourth sources/drains 24, and the fourth trench 38 may be formed in the NMOS area of the logic area. The gate dielectric layer 43 and the fourth gate electrode 64 may be formed in the fourth trench 38. The gate dielectric layer 43 may surround side surfaces and a bottom of the fourth gate electrode 64. The upper gate dielectric layer 42 may directly contact a bottom and side surfaces of the fourth capping layer 49D. The fourth capping layer 49D may surround side surfaces and a bottom of the fourth N-work-function metal layer 51D. The fourth N-work-function metal layer 51D may surround side surfaces and a bottom of the fourth barrier metal layer 53D. The fourth barrier metal layer 53D may completely fill the fourth trench 38.


Upper ends of the lower insulating layer 26, the etch stop layer 25, the spacers 29, the upper gate dielectric layer 42, the first P-work-function metal layer 45A, the first capping layer 49A, the first N-work-function metal layer 51A, the first barrier metal layer 53A, the first conductive layer 55A, the second capping layer 49B, the second N-work-function metal layer 51B, the second barrier metal layer 53B, the second conductive layer 55B, the second P-work-function metal layer 45C, the third capping layer 49C, the third N-work-function metal layer 51C, the third barrier metal layer 53C, the fourth capping layer 49D, the fourth N-work-function metal layer 51D, and the fourth barrier metal layer 53D may be formed to have substantially the same plane.


The first P-work-function metal layer 45A and the second P-work-function metal layer 45C may include the same material simultaneously formed using the same thin film formation process. The first capping layer 49A, the second capping layer 49B, the third capping layer 49C, and the fourth capping layer 49D may include the same material simultaneously formed using the same thin film formation process. The first N-work-function metal layer 51A, the second N-work-function metal layer 51B, the third N-work-function metal layer 51C, and the fourth N-work-function metal layer 51D may include the same material simultaneously formed using the same thin film formation process. The first barrier metal layer 53A, the second barrier metal layer 53B, the third barrier metal layer 53C, and the fourth barrier metal layer 53D may include the same material simultaneously formed using the same thin film formation process. The first conductive layer 55A and the second conductive layer 55B may include the same material simultaneously formed using the same thin film formation process. The first conductive layer 55A and the second conductive layer 55B are not present in the third trench 37 and the fourth trench 38.


The first sources/drains 21 may be formed in the first fin active area 15. The first sources/drains 21 may be aligned outside the first gate electrode 61. Upper ends of the first sources/drains 21 may protrude to a level higher than an adjacent part of a lower surface of the first gate electrode 61. The second sources/drains 22 may be formed in the second fin active area 16. The second sources/drains 22 may be aligned outside the second gate electrode 62. Upper ends of the second sources/drains 22 may protrude to a level higher than an adjacent part of a lower surface of the second gate electrode 62. The upper ends of the second sources/drains 22 may be formed at a level higher than the upper ends of the first sources/drains 21.


The third sources/drains 23 may be formed in the third fin active area 17. The third sources/drains 23 may be aligned outside the third gate electrode 63. Upper ends of the third sources/drains 23 may protrude to a level higher than an adjacent part of a lower surface of the third gate electrode 63. The fourth sources/drains 24 may be formed in the fourth fin active area 18. The fourth sources/drains 24 may be aligned outside the fourth gate electrode 64. Upper ends of the fourth sources/drains 24 may protrude to a level higher than an adjacent part of a lower surface of the fourth gate electrode 64. The upper ends of the fourth sources/drains 24 may be formed at a level higher than the upper ends of the third sources/drains 23.



FIGS. 2A to 2D are partially enlarged views showing parts of FIGS. 1A to 1D in detail.


Referring to FIGS. 2A to 2D, each of the first and second P-work-function metal layers 45A and 45C may have a first thickness d1. Each of the first to fourth capping layers 49A, 49B, 49C, and 49D may have a second thickness d2. Each of the first to fourth N-work-function metal layers 51A, 51B, 51C, and 51D may have a third thickness d3. The third thickness d3 may be greater than the first thickness d1. The third thickness d3 may be greater than the second thickness d2. Each of the first and second barrier metal layers 53A and 53B may have a fourth thickness d4. The fourth thickness d4 may be greater than the third thickness d3.



FIG. 3 is a layout for describing a semiconductor device in accordance with example embodiments of the inventive concepts. FIG. 1A is a cross-sectional view taken along line I-I′ of FIG. 3. FIG. 1B is a cross-sectional view taken along line II-II′ of FIG. 3, FIG. 1C is a cross-sectional view taken along line II-III′ of FIG. 3, and FIG. 1D is a cross-sectional view taken along line IV-IV′ of FIG. 3.


Referring to FIG. 3, a substrate 10 may include a memory cell area and a logic area. Each of the memory cell area and the logic area may include a PMOS area and an NMOS area.


A first well 11 may be formed in the PMOS area of the memory cell area on the substrate 10. First fin active areas 15 may be formed on the first well 11. The first fin active areas 15 may be parallel to each other. First trenches 35 may cross the first fin active areas 15. The first trenches 35 may be parallel to each other. First gate electrodes 61 may be formed in the first trenches 35. The first gate electrodes 61 may be parallel to each other. The first gate electrodes 61 may cross the first fin active areas 15.


A second well 12 may be formed in the NMOS area of the memory cell area on the substrate 10. Second fin active areas 16 may be formed on the second well 12. The second fin active areas 16 may be parallel to each other. Second trenches 36 may cross the second fin active areas 16. The second trenches 36 may be parallel to each other. Second gate electrodes 62 may be formed in the second trenches 36. The second gate electrodes 62 may be parallel to each other. The second gate electrodes 62 may cross the second fin active areas 16.


A third well 13 may be formed in the PMOS area of the logic area on the substrate 10. Third fin active areas 17 may be formed on the third well 13. The third fin active areas 17 may be parallel to each other. Third trenches 37 may cross the third fin active areas 17. The third trenches 37 may be parallel to each other. Third gate electrodes 63 may be formed in the third trenches 37. The third gate electrodes 63 may be parallel to each other. The third gate electrodes 63 may cross the third fin active areas 17.


A fourth well 14 may be formed in the NMOS area of the logic area on the substrate 10. Fourth fin active areas 18 may be formed on the fourth well 14. The fourth fin active areas 18 may be parallel to each other. Fourth trenches 38 may cross the fourth fin active areas 18. The fourth trenches 38 may be parallel to each other. Fourth gate electrodes 64 may be formed in the fourth trenches 38. The fourth gate electrodes 64 may be parallel to each other. The fourth gate electrodes 64 may cross the fourth fin active areas 18.



FIG. 4 is a cross-sectional view taken along lines V-V and VI-VI′ of FIG. 3, and FIG. 5 is a cross-sectional view taken along lines VII-VII′ and VIII-VIII′ of FIG. 3.


Referring to FIGS. 3, 4, and 5, each of first fin active areas 15 may have a height greater than a width. Upper ends of the first fin active areas 15 may be roundly formed. An isolation layer 19 may be formed between the first fin active areas 15 and on side surfaces of the first fin active areas 15. An upper end of the isolation layer 19 may be formed at a level lower than the upper ends of the first fin active areas 15. A first gate electrode 61 may cover upper parts and the side surfaces of the first fin active areas 15 and extend on the isolation layer 19. The first gate electrode 61 may extend between the first fin active areas 15. A lower end of the first gate electrode 61 may be formed at a level lower than the upper ends of the first fin active areas 15. The isolation layer 19 may include silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof.


Each of second fin active areas 16 may have a height greater than a width. Upper ends of the second fin active areas 16 may be roundly formed. The isolation layer 19 may be formed between the second fin active areas 16 and on side surfaces of the second fin active areas 16. The upper end of the isolation layer 19 may be formed at a level lower than the upper ends of the second fin active areas 16. A second gate electrode 62 may cover upper parts and the side surfaces of the second fin active areas 16 and extend on the isolation layer 19. The second gate electrode 62 may extend between the second fin active areas 16. A lower end of the second gate electrode 62 may be formed at a level lower than the upper ends of the second fin active areas 16.


Each of third fin active areas 17 may have a height greater than a width. Upper ends of the third fin active areas 17 may be roundly formed. The isolation layer 19 may be formed between the third fin active areas 17 and on side surfaces of the third fin active areas 17. The upper end of the isolation layer 19 may be formed at a level lower than the upper ends of the third fin active areas 17. A third gate electrode 63 may cover upper parts and the side surfaces of the third fin active areas 17 and extend on the isolation layer 19. The third gate electrode 63 may extend between the third fin active areas 17. A lower end of the third gate electrode 63 may be formed at a level lower than the upper ends of the third fin active areas 17.


Each of fourth fin active areas 18 may have a height greater than a width. Upper ends of the fourth fin active areas 18 may be roundly formed. The isolation layer 19 may be formed between the fourth fin active areas 18 and on side surfaces of the fourth fin active areas 18. The upper end of the isolation layer 19 may be formed at a level lower than the upper ends of the fourth fin active areas 18. A fourth gate electrode 64 may cover upper parts and the side surfaces of the fourth fin active areas 18 and extend on the isolation layer 19. The fourth gate electrode 64 may extend between the fourth fin active areas 18. A lower end of the fourth gate electrode 64 may be formed at a level lower than the upper ends of the fourth fin active areas 18.



FIG. 6 is a cross-sectional view taken along line IX-IX′ of FIG. 3.


Referring to FIGS. 3 and 6, the first fin active areas 15 may be defined by the isolation layer 19 on the first well 11. The first gate electrodes 61 may cross the first fin active areas 15 and extend on the isolation layer 19. The first sources/drains 21 may be formed on the first fin active areas 15 between the first gate electrodes 61. Bottoms of the first sources/drains 21 may be formed at a level lower than the upper ends of the first fin active areas 15. Upper ends of the first sources/drains 21 may be formed at a level higher than the upper ends of the first fin active areas 15.



FIG. 7 is a cross-sectional view taken along line X-X′ of FIG. 3.


Referring to FIGS. 3 and 7, the second fin active areas 16 may be defined by the isolation layer 19 on the second well 12. The second gate electrodes 62 may cross the second fin active areas 16 and extend on the isolation layer 19. The second sources/drains 22 may be formed on the second fin active areas 16 between the second gate electrodes 62. Bottoms of the second sources/drains 22 may be formed at a level lower than the upper ends of the second fin active areas 16. Upper ends of the second sources/drains 22 may be formed at a level higher than the upper ends of the second fin active areas 16.



FIG. 8 is a cross-sectional view taken along line XI-XI′ of FIG. 3.


Referring to FIGS. 3 and 8, the third fin active areas 17 may be defined by the isolation layer 19 on the third well 13. The third gate electrodes 63 may cross the third fin active areas 17 and extend on the isolation layer 19. The third sources/drains 23 may be formed on the third fin active areas 17 between the third gate electrodes 63. Bottoms of the third sources/drains 23 may be formed at a level lower than the upper ends of the third fin active areas 17. Upper ends of the third sources/drains 23 may be formed at a level higher than the upper ends of the third fin active areas 17.



FIG. 9 is a cross-sectional view taken along line XII-XII′ of FIG. 3.


Referring to FIGS. 3 and 9, the fourth fin active areas 18 may be defined by the isolation layer 19 on the fourth well 14. The fourth gate electrodes 64 may cross the fourth fin active areas 18 and extend on the isolation layer 19. The fourth sources/drains 24 may be formed on the fourth fin active areas 18 between the fourth gate electrodes 64. Bottoms of the fourth sources/drains 24 may be formed at a level lower than the upper ends of the fourth fin active areas 18. Upper ends of the fourth sources/drains 24 may be formed at a level higher than the upper ends of the fourth fin active areas 18.



FIGS. 10 to 15 are cross-sectional views for describing semiconductor devices according to example embodiments of the inventive concepts.


Referring to FIG. 10, side surfaces of first sources/drains 21 may have an inclined profile. An etch stop layer 25 may cover the first sources/drains 21 and an isolation layer 19.


Referring to FIG. 11, side surfaces of second sources/drains 22 may have an inclined profile. The etch stop layer 25 may cover the second sources/drains 22 and the isolation layer 19.


Referring to FIG. 12, side surfaces of third sources/drains 23 may have an inclined profile. The etch stop layer 25 may cover the third sources/drains 23 and the isolation layer 19.


Referring to FIG. 13, side surfaces of fourth sources/drains 24 may have an inclined profile. The etch stop layer 25 may cover the fourth sources/drains 24 and the isolation layer 19.


Referring to FIG. 14, a fourth gate electrode 64B may include a fourth capping layer 49D, a fourth N-work-function metal layer 51D, a fourth barrier metal layer 53D, and a third conductive layer 55D. The fourth barrier metal layer 53D may surround side surfaces and a bottom of the third conductive layer 55D. The third conductive layer 55D may completely fill a fourth trench 38. The third conductive layer 55D may include the same material simultaneously formed using the same thin film formation process as the first conductive layer 55A and the second conductive layer 55B.


Referring to FIG. 15, a first gate electrode 61A may include a first P-work-function metal layer 45A, a first barrier metal layer 53A, and a first conductive layer 55A. A second gate electrode 62A may include a second N-work-function metal layer 51B, a second barrier metal layer 53B, and a second conductive layer 55B. A third gate electrode 63A may include a second P-work-function metal layer 45C and a third barrier metal layer 53C. A fourth gate electrode 64A may include a fourth N-work-function metal layer 51D and a fourth barrier metal layer 53D. The first P-work-function metal layer 45A, the second N-work-function metal layer 51B, the second P-work-function metal layer 45C, and the fourth N-work-function metal layer 51D may directly contact a gate dielectric layer 43.



FIGS. 16 to 27 are cross-sectional views taken along lines I-I′, II-II′, III-III′, and IV-IV′ of FIG. 3 for describing a method of forming a semiconductor device in accordance with example embodiments of the inventive concepts.


Referring to FIGS. 3 and 16, a first well 11, a second well 12, a third well 13, a fourth well 14, first fin active areas 15, second fin active areas 16, third fin active areas 17, fourth fin active areas 18, first sources/drains 21, second sources/drains 22, third sources/drains 23, fourth sources/drains 24, an etch stop layer 25, a lower insulating layer 26, spacers 29, a buffer layer 31, first sacrificial patterns 32A, second sacrificial patterns 32B, third sacrificial patterns 32C, and fourth sacrificial patterns 32D may be formed on a substrate 10. The substrate 10 may include a memory cell area and a logic area. Each of the memory cell area and the logic area may include a PMOS area and a NMOS area.


The substrate 10 may be a semiconductor substrate, e.g., a silicon wafer or a silicon on insulator (SOI) wafer. For example, the substrate 10 may be a single crystal silicon wafer having P-type impurities. The first well 11 may be formed in the PMOS area of the memory cell area on the substrate 10. For example, the first well 11 may include single crystal silicon having N-type impurities. The second well 12 may be formed in the NMOS area of the memory cell area on the substrate 10. For example, the second well 12 may include single crystal silicon having P-type impurities. The third well 13 may be formed in the PMOS area of the logic area on the substrate 10. For example, the third well 13 may include single crystal silicon having N-type impurities. The fourth well 14 may be formed in the NMOS area of the logic area on the substrate 10. For example, the fourth well 14 may include single crystal silicon having P-type impurities. In example embodiments of the inventive concepts, the second well 12 and the fourth well 14 may be omitted.


The first fin active areas 15 may be formed on the first well 11. The first fin active areas 15 may be formed in the PMOS area of the memory cell area. The first fin active areas 15 may be parallel to each other. The first fin active areas 15 may include the same material as the first well 11. For example, the first fin active areas 15 may include single crystal silicon having N-type impurities. The second fin active areas 16 may be formed on the second well 12. The second fin active areas 16 may be formed in the NMOS area of the memory cell area. The second fin active areas 16 may be parallel to each other. The second fin active areas 16 may include the same material as the second well 12. For example, the second fin active areas 16 may include single crystal silicon having the P-type impurities.


The third fin active areas 17 may be formed on the third well 13. The third fin active areas 17 may be parallel to each other. The third fin active areas 17 may be formed in the PMOS area of the logic area. The third fin active areas 17 may include the same material as the third well 13. For example, the third fin active areas 17 may include single crystal silicon having the N-type impurities. The fourth fin active areas 18 may be formed on the fourth well 14. The fourth fin active areas 18 may be formed in the NMOS area of the logic area. The fourth fin active areas 18 may be parallel to each other. The fourth fin active areas 18 may include the same material as the fourth well 14. For example, the fourth fin active areas 18 may include single crystal silicon having the P-type impurities.


Each of the first sacrificial patterns 32A may cross the first fin active areas 15. Each of the second sacrificial patterns 32B may cross the second fin active areas 16. Each of the third sacrificial patterns 32C may cross the third fin active areas 17. Each of the fourth sacrificial patterns 32D may cross the fourth fin active areas 18. The buffer layer 31 may be formed between the first sacrificial patterns 32A and the first fin active areas 15, between the second sacrificial patterns 32B and the second fin active areas 16, between the third sacrificial patterns 32C and the third fin active areas 17, and between the fourth sacrificial patterns 32D and the fourth fin active areas 18. The spacers 29 may be formed on side surfaces of the first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, and the fourth sacrificial patterns 32D.


The spacers 29 and the buffer layer 31 may include a material having an etch selectivity with respect to the first fin active areas 15, the second fin active areas 16, the third fin active areas 17, and the fourth fin active areas 18. The first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, and the fourth sacrificial patterns 32D may include a material having an etch selectivity with respect to the spacers 29. For example, the buffer layer 31 may include silicon oxide. The first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, and the fourth sacrificial patterns 32D may include polysilicon. The spacers 29 may include silicon nitride.


The first sources/drains 21 may be formed in the first fin active areas 15. The first sources/drains 21 may be aligned outside the first sacrificial patterns 32A. Upper ends of the first sources/drains 21 may protrude to a level higher than upper ends of the first fin active areas 15. The second sources/drains 22 may be formed in the second fin active areas 16. The second sources/drains 22 may be aligned outside the second sacrificial patterns 32B. Upper ends of the second sources/drains 22 may protrude to a level higher than upper ends of the second fin active areas 16. The upper ends of the second sources/drains 22 may be formed at a level higher than the upper ends of the first sources/drains 21.


The third sources/drains 23 may be formed in the third fin active areas 17. The third sources/drains 23 may be aligned outside the third sacrificial patterns 32C. Upper ends of the third sources/drains 23 may protrude to a level higher than upper ends of the third fin active areas 17. The fourth sources/drains 24 may be formed in the fourth fin active areas 18. The fourth sources/drains 24 may be aligned outside the fourth sacrificial patterns 32D. Upper ends of the fourth sources/drains 24 may protrude to a level higher than upper ends of the fourth fin active areas 18. The upper ends of the fourth sources/drains 24 may be formed at a level higher than the upper ends of the third sources/drains 23.


The first sources/drains 21, the second sources/drains 22, the third sources/drains 23, and the fourth sources/drains 24 may include a crystal growth material. For example, the first sources/drains 21 and the third sources/drains 23 may include SiGe, Si, or a combination thereof formed by a selective epitaxial growth (SEG) method. The first sources/drains 21 and the third sources/drains 23 may include P-type impurities. The second sources/drains 22 and the fourth sources/drains 24 may include SIC, Si, or a combination thereof formed by an SEG method. The second sources/drains 22 and the fourth sources/drains 24 may include N-type impurities.


The etch stop layer 25 may cover the first sources/drains 21, the second sources/drains 22, the third sources/drains 23, and the fourth sources/drains 24. The etch stop layer 25 may cover side surfaces of the spacers 29. The lower insulating layer 26 may cover the etch stop layer 25. The etch stop layer 25 may include a material having an etch selectivity with respect to the lower insulating layer 26. For example, the lower insulating layer 26 may include silicon oxide and the etch stop layer 25 may include silicon nitride.


Upper ends of the lower insulating layer 26, the etch stop layer 25, the spacers 29, the first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, and the fourth sacrificial patterns 32D may be exposed on substantially the same plane.


In example embodiments of the inventive concepts, the buffer layer 31 may be omitted. In example embodiments of the inventive concepts, the first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, and the fourth sacrificial patterns 32D may include a plurality of different material layers. The first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, and the fourth sacrificial patterns 32D may each include a TIN layer and an amorphous silicon layer formed on the TIN layer.


Referring to FIGS. 3 and 17, first trenches 35, second trenches 36, third trenches 37, and fourth trenches 38 may be formed by removing the first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, the fourth sacrificial patterns 32D, and the buffer layer 31. Each of the first trenches 35 may have a first width L1. Each of the second trenches 36 may have a second width L2. Each of the third trenches 37 may have a third width L3. Each of the fourth trenches 38 may have a fourth width L4. The third width L3 may be smaller than the first width L1 and the second width L2. The fourth width L4 may be smaller than the first width L1 and the second width L2. The second width L2 may be substantially the same as the first width L1. The fourth width L4 may be substantially the same as the third width L3.


The first fin active areas 15 and the spacers 29 may be exposed in the first trenches 35. The second fin active areas 16 and the spacers 29 may be exposed in the second trenches 36. The third fin active areas 17 and the spacers 29 may be exposed in the third trenches 37. The fourth fin active areas 18 and the spacers 29 may be exposed in the fourth trenches 38. Each of the first trenches 35 may cross the first fin active areas 15. Each of the second trenches 36 may cross the second fin active areas 16. Each of the third trenches 37 may cross the third fin active areas 17. Each of the fourth trenches 38 may cross the fourth fin active areas 18.


Referring to FIGS. 3 and 18, a gate dielectric layer 43 may be formed. The gate dielectric layer 43 may include a lower gate dielectric layer 41 and an upper gate dielectric layer 42. The lower gate dielectric layer 41 may include a chemical oxide formed by a cleaning process. The lower gate dielectric layer 41 may include silicon oxide formed by a reaction of H2O2 and Si. The lower gate dielectric layer 41 may be referred to as an interfacial oxide. The upper gate dielectric layer 42 may include a high-k dielectric. The upper gate dielectric layer 42 may include HfO, HfSiO, or a combination thereof.


The lower gate dielectric layer 41 may directly contact the first fin active areas 15, the second fin active areas 16, the third fin active areas 17, and the fourth fin active areas 18. The upper gate dielectric layer 42 may be formed on the lower gate dielectric layer 41. The upper gate dielectric layer 42 may conformally cover inner walls of the first trenches 35, the second trenches 36, the third trenches 37, and the fourth trenches 38. The upper gate dielectric layer 42 may cover the lower insulating layer 26 and the etch stop layer 25.


In example embodiments of the inventive concepts, the gate dielectric layer 43 may be formed before the first sacrificial patterns 32A, the second sacrificial patterns 32B, the third sacrificial patterns 32C, the fourth sacrificial patterns 32D, and the buffer layer 31 are formed. Upper ends of the lower insulating layer 26, the etch stop layer 25, the spacers 29, and the gate dielectric layer 43 may be exposed on substantially the same plane.


In example embodiments of the inventive concepts, the gate dielectric layer 43 may include different layers between the upper gate dielectric layer 42 and the lower gate dielectric layer 41, or between the lower gate dielectric layer 41 and the first to fourth fin active areas 15, 16, 17, and 18. For example, the gate dielectric layer 43 may include a LaO layer between the upper gate dielectric layer 42 and the lower gate dielectric layer 41, or between the lower gate dielectric layer 41 and the first to fourth fin active areas 15, 16, 17, and 18.


Referring to FIGS. 3 and 19, a P-work-function metal layer 45 may be formed. The P-work-function metal layer 45 may have a first thickness d1. The P-work-function metal layer 45 may directly contact the gate dielectric layer 43. The P-work-function metal layer 45 may conformally cover the inner walls of the first trenches 35, the second trenches 36, the third trenches 37, and the fourth trenches 38. For example, the P-work-function metal layer 45 may include a TIN layer having a thickness in a range of 1 nm to 2 nm. The gate dielectric layer 43 may contact side surfaces and a bottom of the P-work-function metal layer 45.


Referring to FIGS. 3 and 20, a first mask pattern 47 and a second mask pattern 48 may be formed. The second mask pattern 48 may cover the first mask pattern 47. For example, the second mask pattern 48 may include a photoresist and the first mask pattern 47 may include a bottom anti-reflective coating (BARC) layer.


The PMOS area of the memory cell area and the PMOS area of the logic area may be covered by the first mask pattern 47 and the second mask pattern 48, and the NMOS area of the memory cell area and the NMOS area of the logic area may be exposed. The P-work-function metal layer 45 formed in the NMOS area of the memory cell area and the NMOS area of the logic area may be exposed. The P-work-function metal layer 45 formed in the second trenches 36 and the fourth trenches 38 may be exposed.


Referring to FIGS. 3 and 21, the P-work-function metal layer 45 in the NMOS area may be removed. The gate dielectric layer 43 may be exposed in the second trenches 36 and the fourth trenches 38. The P-work-function metal layer 45 may remain in the first trenches 35 and the third trenches 37.


Referring to FIGS. 3 and 22, the first mask pattern 47 and the second mask pattern 48 may be removed. The P-work-function metal layer 45 may be exposed in the first trenches 35 and the third trenches 37.


Referring to FIGS. 3 and 23, a capping layer 49 may be formed. The capping layer 49 may have a second thickness d2. For example, the capping layer 49 may include a TIN layer having a thickness in a range of 1 nm to 2 nm. The capping layer 49 may conformally cover the inner walls of the first trenches 35, the second trenches 36, the third trenches 37, and the fourth trenches 38. The capping layer 49 may directly contact the P-work-function metal layer 45 included in the first trenches 35 and the third trenches 37. The capping layer 49 may directly contact the gate dielectric layer 43 included in the second trenches 36 and the fourth trenches 38.


Referring to FIGS. 3 and 24, an N-work-function metal layer 51 may be formed on the capping layer 49. The N-work-function metal layer 51 may have a third thickness d3. The third thickness d3 may be greater than the first thickness d1. The third thickness d3 may be greater than the second thickness d2. The N-work-function metal layer 51 may include TiAlC, TiAl, or a combination thereof. For example, the N-work-function metal layer 51 may include a TiAlC layer having a thickness in a range of 3 nm to 5 nm.


The N-work-function metal layer 51 may conformally cover the inner walls of the first trenches 35, the second trenches 36, the third trenches 37, and the fourth trenches 38. The N-work-function metal layer 51 may directly contact the capping layer 49.


Referring to FIGS. 3 and 25, a barrier metal layer 53 may be formed on the N-work-function metal layer 51. The barrier metal layer 53 may have a fourth thickness d4. The fourth thickness d4 may be greater than the third thickness d3. For example, the barrier metal layer 53 may include a TiN layer having a thickness in a range of 5 nm to 7 nm. The barrier metal layer 53 may directly contact the N-work-function metal layer 51. The barrier metal layer 53 may conformally cover the inner walls of the first trenches 35 and the second trenches 36. The barrier metal layer 53 may completely fill insides of the third trenches 37 and the fourth trenches 38.


Referring to FIGS. 3 and 26, a conductive layer 55 may be formed on the barrier metal layer 53. The conductive layer 55 may include W, Al, or a combination thereof. The conductive layer 55 may completely fill insides of the first trenches 35 and the second trenches 36.


Referring to FIGS. 3 and 27, a first P-work-function metal layer 45A, a second P-work-function metal layer 45C, a first capping layer 49A, a second capping layer 49B, a third capping layer 49C, a fourth capping layer 49D, a first N-work-function metal layer 51A, a second N-work-function metal layer 51B, a third N-work-function metal layer 51C, a fourth N-work-function metal layer 51D, a first barrier metal layer 53A, a second barrier metal layer 53B, a third barrier metal layer 53C, a fourth barrier metal layer 53D, a first conductive layer 55A, and a second conductive layer 55B may be formed by planarizing the conductive layer 55, the barrier metal layer 53, the N-work-function metal layer 51, the capping layer 49, and the P-work-function metal layer 45. The gate dielectric layer 43 may remain in the first trenches 35, the second trenches 36, the third trenches 37, and the fourth trenches 38.


The first P-work-function metal layer 45A, the first capping layer 49A, the first N-work-function metal layer 51A, the first barrier metal layer 53A, and the first conductive layer 55A may configure a first gate electrode 61. The second capping layer 49B, the second N-work-function metal layer 51B, the second barrier metal layer 53B, and the second conductive layer 55B may configure a second gate electrode 62. The second P-work-function metal layer 45C, the third capping layer 49C, the third N-work-function metal layer 51C, and the third barrier metal layer 53C may configure a third gate electrode 63. The fourth capping layer 49D, the fourth N-work-function metal layer 51D, and the fourth barrier metal layer 53D may configure a fourth gate electrode 64.


The upper ends of the lower insulating layer 26, the etch stop layer 25, the spacers 29, the gate dielectric layer 43, the first gate electrode 61, the second gate electrode 62, the third gate electrode 63, and the fourth gate electrode 64 may be exposed on substantially the same plane.



FIGS. 28 and 29 are system block diagrams of electronic devices according to example embodiments of the inventive concepts.


Referring to FIG. 28, the semiconductor devices similar to those described with reference to FIGS. 1 to 27 may be applied to an electronic system 2100. The electronic system 2100 may include a body 2110, a microprocessor 2120, a power supply 2130, a function unit 2140, and a display controller 2150. The body 2110 may be a mother board formed by a printed circuit board (PCB). The microprocessor unit 2120, the power supply 2130, the function unit 2140, and the display controller 2150 may be mounted on the body 2110. A display 2160 may be disposed inside the body 2110 or outside the body 2110. For example, the display 2160 may be disposed on a surface of the body 2110, and then may display an image processed by the display controller 2150.


The power supply 2130 may receive a constant voltage from an external power supply, divide the voltage into various voltages levels, and supply those voltages to the microprocessor 2120, the function unit 2140 and/or the display controller 2150. The microprocessor unit 2120 may receive a voltage from the power supply 2130 to control the function unit 2140 and the display 2160. The function unit 2140 may perform various functions of the electronic system 2100. For example, when the electronic system 2100 is a smart phone, the function unit 2140 may include various components which perform mobile phone functions, e.g., dialing, outputting an image to the display 2160, or outputting a voice to a speaker through communication with an external apparatus 2170, and when a camera is included, the function unit 2140 may serve as a camera image processor.


In example embodiments of the inventive concepts, when the electronic system 2100 is connected to a memory card to expand the capacity thereof, the function unit 2140 may be a memory card controller. The function unit 2140 may exchange signals with the external apparatus 2170 through a wired or wireless communication unit 2180. Furthermore, when the electronic system 2100 requires a Universal Serial Bus (USB) to expand the functions thereof, the function unit 2140 may serve as an interface controller. In addition, the function unit 2140 may include a mass storage device.


The semiconductor devices similar to those described with reference to FIGS. 1 to 27 may be applied to the function unit 2140 or the microprocessor 2120.


Referring to FIG. 29, an electronic system 2400 may include at least one of the semiconductor devices in accordance with various example embodiments of the inventive concepts. The electronic system 2400 may be applied to a mobile device or a computer. For example, the electronic system 2400 may include a memory system 2412, a microprocessor 2414, a RAM 2416, a bus 2420, and a user interface 2418. The microprocessor 2414, the memory system 2412, and the user interface 2418 may be connected to each other through the bus 2420. The user interface 2418 may be used to input data to the electronic system 2400, or output data from the electronic system 2400. The microprocessor 2414 may program and control the electronic system 2400. The RAM 2416 may be used as an operational memory of the microprocessor 2414. The microprocessor 2414, the RAM 2416, and/or other components may be assembled within a single package. The memory system 2412 may store operational codes of the microprocessor 2414, data processed by the microprocessor 2414, or data received from the outside. The memory system 2412 may include a controller and a memory.


The semiconductor devices similar to those described with reference to FIGS. 1 to 27 may be applied to the microprocessor 2414, the RAM 2416, or the memory system 2412.


According to example embodiments of the inventive concepts, first and the second trenches having a relatively large width are formed in a memory cell area, and third and fourth trenches having a relatively small width are formed in a logic area. First and the second gate electrodes are formed in the first and the second trenches to have a work-function metal layer, a barrier layer, and a conductive layer which are sequentially stacked. Third and fourth gate electrodes are formed in the third and fourth trenches to have a work-function metal layer and a barrier layer which are sequentially stacked. The first and the second gate electrodes can be advantageous to realize a uniform electrical characteristic of transistors. The third and the fourth gate electrodes can be advantageous to realize high current driving capability of the transistors. While simplifying a process, a semiconductor device having an improved electrical characteristic can be implemented.


Although a few example embodiments have been described with reference to the accompanying drawings, those skilled in the art will readily appreciate that many modifications are possible in example embodiments without departing from the scope of the inventive concepts and without changing essential features. Therefore, the above-described example embodiments should be considered in a descriptive sense only and not for purposes of limitation.

Claims
  • 1. A semiconductor device comprising: a substrate;a first fin active area and a second fin active area on the substrate;a first gate dielectric layer on the first fin active area;a first gate electrode on the first gate dielectric layer, the first gate electrode including a first layer on the first gate dielectric and including TiN,a second layer on the first layer and including TiAlC and TiN,a third layer on the second layer and including TiN, anda fourth layer on the third layer and including W;a first spacer on a sidewall of the first gate dielectric layer and the first gate electrode;a second gate dielectric layer on the second fin active area;a second gate electrode on the second gate dielectric layer, the second gate electrode including a fifth layer on the second gate dielectric layer and including TiAlC and TiN,a sixth layer on the fifth layer and including TiN, anda seventh layer on the sixth layer and including W;a second spacer on a sidewall of the second gate dielectric layer and the second gate electrode; andwherein a second width of the seventh layer is greater than a first width of the fourth layer,wherein upper surfaces of the first gate dielectric layer, the first gate electrode, and the first spacer are coplanar, andwherein upper surfaces of the second gate dielectric layer, the second gate electrode, and the second spacer are coplanar.
  • 2. The semiconductor device of claim 1, wherein the upper surfaces of the first gate dielectric layer, the second gate dielectric layer, the first gate electrode, the second gate electrode, the first spacer, and the second spacer are coplanar.
  • 3. The semiconductor device of claim 1, wherein a number of the layers in the first gate electrode is larger than a number of the layers in the second gate electrode.
  • 4. The semiconductor device of claim 1, wherein a first distance from a top surface of the first fin active area to a bottom surface of the fourth layer is greater than a second distance from a top surface of the second fin active area to a bottom surface of the seventh layer in a vertical view.
  • 5. The semiconductor device of claim 1, wherein a fourth distance from a bottom surface of the seventh layer to a top surface of the seventh layer is greater than a third distance from a bottom surface of the fourth layer to a top surface of the fourth layer in a vertical view.
  • 6. The semiconductor device of claim 1, further comprising; a first etch stop layer on a sidewall of the first spacer; anda first lower insulating layer on a sidewall of the first etch stop layer;wherein the upper surfaces of the first gate dielectric layer, the first gate electrode, the first spacer and upper surfaces of the first etch stop layer and the first lower insulating layer are coplanar.
  • 7. The semiconductor device of claim 1, further comprising; a second etch stop layer on a sidewall of the second spacer; anda second lower insulating layer on a sidewall of the second etch stop layer;wherein the upper surfaces of the second gate dielectric layer, the second gate electrode, and the second spacer and upper surfaces of the second etch stop layer and the second lower insulating layer are coplanar.
  • 8. The semiconductor device of claim 1, wherein a PMOS area includes the first fin active area, the first gate dielectric layer, and the first gate electrode, and wherein a NMOS area includes the second fin active area, the second gate dielectric layer, and the second gate electrode.
  • 9. A semiconductor device comprising: a substrate;a first fin active area and a second fin active area on the substrate;a first gate dielectric layer on the first fin active area;a first gate electrode on the first gate dielectric layer, the first gate electrode including a first layer on the first gate dielectric and including TiN,a second layer on the first layer and including TiAlC and TIN,a third layer on the second layer and including TiN, anda fourth layer on the third layer and including W;a first spacer on a sidewall of the first gate dielectric layer and the first gate electrode;a second gate dielectric layer on the second fin active area;a second gate electrode on the second gate dielectric layer, the second gate electrode including a fifth layer on the second gate dielectric layer and including TiAlC and TiN,a sixth layer on the fifth layer and including TiN, anda seventh layer on the sixth layer and including W;a second spacer on a sidewall of the second gate dielectric layer and the second gate electrode; andan upper insulating layer on the first gate electrode and the second gate electrode; andwherein a second width of the seventh layer is greater than a first width of the fourth layer,wherein a lower surface of the upper insulating layer is in contact with upper surfaces of the first gate electrode, the second gate electrode, the first gate dielectric layer, the second gate dielectric layer, the first spacer, and the second spacer.
  • 10. The semiconductor device of claim 9, wherein the upper surfaces of the first gate dielectric layer, the second gate dielectric layer, the first gate electrode, the second gate electrode, the first spacer, and the second spacer are coplanar.
  • 11. The semiconductor device of claim 9 wherein a number of the layers in the first gate electrode is larger than a number of the layers in the second gate electrode.
  • 12. The semiconductor device of claim 9, wherein a first distance from a top surface of the first fin active area to a bottom surface of the fourth layer is greater than a second distance from a top surface of the second fin active area to a bottom surface of the seventh layer in a vertical view.
  • 13. The semiconductor device of claim 9, wherein a fourth distance from a bottom surface of the seventh layer to a top surface of the seventh layer is greater than a third distance from a bottom surface of the fourth layer to a top surface of the fourth layer in a vertical view.
  • 14. The semiconductor device of claim 9, further comprising; a first etch stop layer on a sidewall of the first spacer; anda first lower insulating layer on a sidewall of the first etch stop layer;wherein the upper surfaces of the first gate dielectric layer, the first gate electrode, and the first spacer and upper surfaces of the first etch stop layer and the first lower insulating layer are coplanar.
  • 15. The semiconductor device of claim 14, wherein upper surfaces of the first etch stop layer and the first lower insulating layer are in contact with the lower surface of the upper insulating layer.
  • 16. The semiconductor device of claim 9, further comprising; a second etch stop layer on a sidewall of the second spacer; anda second lower insulating layer on a sidewall of the second etch stop layer;wherein the upper surfaces of the second gate dielectric layer, the second gate electrode, and the second spacer and upper surfaces of the second etch stop layer and the second lower insulating layer are coplanar.
  • 17. The semiconductor device of claim 9, wherein a PMOS area includes the first fin active area, the first gate dielectric layer, and the first gate electrode, and wherein a NMOS area includes the second fin active area, the second gate dielectric layer, and the second gate electrode.
  • 18. A semiconductor device comprising: a substrate;a first fin active area and a second fin active area on the substrate;a first gate dielectric layer on the first fin active area;a first gate electrode on the first gate dielectric layer, the first gate electrode including a first layer on the first gate dielectric and including TiN,a second layer on the first layer and including TiAlC and TiN,a third layer on the second layer and including TiN, anda fourth layer on the third layer and including W;a first spacer on a sidewall of the first gate dielectric layer and the first gate electrode;a second gate dielectric layer on the second fin active area;a second gate electrode on the second gate dielectric layer, the second gate electrode including a fifth layer on the second gate dielectric layer and including TiAlC and TiN,a sixth layer on the fifth layer and including TiN, anda seventh layer on the sixth layer and including W;a second spacer on a sidewall of the second gate dielectric layer and the second gate electrode; andan upper insulating layer on the first gate electrode and the second gate electrode; andwherein a second width of the seventh layer is greater than a first width of the fourth layer,wherein the upper surfaces of the first gate dielectric layer, the second gate dielectric layer, the first gate electrode, the second gate electrode, the first spacer, and the second spacer are coplanar, andwherein a lower surface of the upper insulating layer is in contact with upper surfaces of the first gate electrode, the second gate electrode, the first gate dielectric layer, the second gate dielectric layer, the first spacer, and the second spacer.
  • 19. The semiconductor device of claim 18, wherein a PMOS area includes the first fin active area, the first gate dielectric layer, and the first gate electrode, wherein a NMOS area includes the second fin active area, the second gate dielectric layer, and the second gate electrode, andwherein a number of the layers in the first gate electrode is larger than a number of the layers in the second gate electrode.
  • 20. The semiconductor device of claim 18, further comprising; a first etch stop layer on a sidewall of the first spacer;a first lower insulating layer on a sidewall of the first etch stop layer;a second etch stop layer on a sidewall of the second spacer;a second lower insulating layer on a sidewall of the second etch stop layer; andwherein the upper surfaces of the first gate dielectric layer, the second gate dielectric layer, the first gate electrode, the second gate electrode, the first spacer, and the second spacer and upper surfaces of the first etch stop layer, the second etch stop layer, the first lower insulating layer, and the second lower insulating layer are coplanar.
Priority Claims (1)
Number Date Country Kind
10-2015-0014418 Jan 2015 KR national
CROSS-REFERENCE TO RELATED APPLICATION

This application is a continuation of U.S. application Ser. No. 17/335,174, filed Jun. 1, 2021, which is a continuation of U.S. application Ser. No. 16/921,037, filed Jul. 6, 2020, which is a continuation of U.S. application Ser. No. 16/459,889, filed on Jul. 2, 2019, which is a continuation of U.S. application Ser. No. 15/468,631, filed on Mar. 24, 2017, which is a divisional of U.S. application Ser. No. 14/972,704, filed on Dec. 17, 2015, which claims priority under 35 U.S.C. § 119 to Korean Patent Application No. 10-2015-0014418 filed on Jan. 29, 2015, the entire contents of each of the above-referenced applications are hereby incorporated by reference.

US Referenced Citations (255)
Number Name Date Kind
5448090 Geissler et al. Sep 1995 A
6800512 Itonaga et al. Oct 2004 B1
7265042 Nam Sep 2007 B2
7699926 Huang Apr 2010 B2
7700926 Tartaglia et al. Apr 2010 B2
7701131 Gerhard et al. Apr 2010 B2
7704918 Adzic et al. Apr 2010 B2
7713431 Ukei et al. May 2010 B2
7723636 Ochiai et al. May 2010 B2
7726246 Williams Jun 2010 B2
7727592 Cedergren et al. Jun 2010 B2
7731862 Nakagawa et al. Jun 2010 B2
7732874 Zhu et al. Jun 2010 B2
7740682 Ragan et al. Jun 2010 B2
7757778 Calderwood Jul 2010 B2
7759173 DeVries et al. Jul 2010 B2
7767330 Merzougui et al. Aug 2010 B2
7767777 Buesing et al. Aug 2010 B2
7768052 Carns et al. Aug 2010 B1
7770664 Laird et al. Aug 2010 B2
7771117 Kim et al. Aug 2010 B2
7776622 Nagai Aug 2010 B2
7776765 Forbes et al. Aug 2010 B2
7781352 Fukazawa et al. Aug 2010 B2
7791005 Mize et al. Sep 2010 B2
7791784 Giron et al. Sep 2010 B2
7794651 Beguinot et al. Sep 2010 B2
7795097 Pas Sep 2010 B2
7795792 Arnold et al. Sep 2010 B2
7799678 Kropewnicki et al. Sep 2010 B2
7809115 Allen et al. Oct 2010 B2
7812320 Yun et al. Oct 2010 B2
7814997 Aliko et al. Oct 2010 B2
7820305 Schulte et al. Oct 2010 B2
7828993 Roth et al. Nov 2010 B2
7829449 Regnier et al. Nov 2010 B2
7836615 Winter Nov 2010 B2
7838356 Kirkpatrick et al. Nov 2010 B2
7838912 Niwa et al. Nov 2010 B2
7839138 Garcia Nov 2010 B2
7851692 Sakurada et al. Dec 2010 B2
7851988 Braune et al. Dec 2010 B2
7862126 Hall et al. Jan 2011 B2
7862902 Zidar Jan 2011 B2
7862904 Vestweber et al. Jan 2011 B2
7867790 Yoo et al. Jan 2011 B2
7871508 Carson et al. Jan 2011 B2
7875156 Gunji et al. Jan 2011 B2
7875927 Inoue Jan 2011 B2
7879221 Putter et al. Feb 2011 B2
7879475 Toyoda et al. Feb 2011 B2
7882639 Powers Feb 2011 B2
7888800 Landis Feb 2011 B2
7892962 Su Feb 2011 B2
7897939 Chandler et al. Mar 2011 B2
7899571 Basim et al. Mar 2011 B2
7900861 Sharp Mar 2011 B2
7913806 Pabon et al. Mar 2011 B2
7914904 Morra et al. Mar 2011 B2
7918298 Cook Apr 2011 B2
7922604 Roach et al. Apr 2011 B2
7923838 Lavoie et al. Apr 2011 B2
7934315 Milleville May 2011 B2
7935883 Sakurada et al. May 2011 B2
7942219 Keshavan et al. May 2011 B2
7943988 Pham et al. May 2011 B2
7947594 Louis May 2011 B2
7955919 Pritchard et al. Jun 2011 B2
7956017 Gatlin et al. Jun 2011 B2
7959409 Guo et al. Jun 2011 B2
7965031 Brunner et al. Jun 2011 B2
7967457 Rabinowitz Jun 2011 B2
7975785 Drivdahl et al. Jul 2011 B2
7981522 Vestweber et al. Jul 2011 B2
7982315 Chen et al. Jul 2011 B2
8003227 Vestweber et al. Aug 2011 B2
8006785 Oldham et al. Aug 2011 B2
8012771 Pullini et al. Sep 2011 B2
8013368 Bohr Sep 2011 B2
8017475 Carns et al. Sep 2011 B1
8022116 Coppens et al. Sep 2011 B2
8030644 Shin et al. Oct 2011 B2
8034846 Koyama et al. Oct 2011 B2
8039388 Ng et al. Oct 2011 B1
8057571 Pauty et al. Nov 2011 B2
8059057 Mason et al. Nov 2011 B2
8062966 Mehrad et al. Nov 2011 B2
8080505 Ernur et al. Dec 2011 B2
8083519 Douglas Dec 2011 B2
8084370 Forbes et al. Dec 2011 B2
8093117 Tsau et al. Jan 2012 B2
8093120 Yeh et al. Jan 2012 B2
8097207 Beguinot et al. Jan 2012 B2
8101485 Bohr Jan 2012 B2
8105086 Asgary Jan 2012 B2
8129795 Datta et al. Mar 2012 B2
8138533 Koike et al. Mar 2012 B2
8148227 Helneder Apr 2012 B2
8162515 Graham Apr 2012 B2
RE43387 Leverenz et al. May 2012 E
8168009 Mesquita et al. May 2012 B2
8168056 Datta et al. May 2012 B2
8168468 Mathew et al. May 2012 B2
8176849 Gold et al. May 2012 B1
8178439 Tohnoe et al. May 2012 B2
8178477 Skala et al. May 2012 B2
8183305 Neffgen et al. May 2012 B2
8183644 Chuang et al. May 2012 B1
8192256 Andrews et al. Jun 2012 B2
8193430 Papadimitrakopoulos et al. Jun 2012 B2
8196719 Mayrhofer Jun 2012 B2
8197547 Higham et al. Jun 2012 B2
8198151 Lin et al. Jun 2012 B2
8202627 Reath et al. Jun 2012 B2
8225890 Scott Jul 2012 B2
8261858 Atkins et al. Sep 2012 B1
8273626 Hareland et al. Sep 2012 B2
8304115 Petkov et al. Nov 2012 B1
8304840 Teo et al. Nov 2012 B2
8309410 Pham et al. Nov 2012 B2
8314494 Nopper et al. Nov 2012 B2
8324095 Chung et al. Dec 2012 B2
8337604 St. Amant et al. Dec 2012 B1
8337763 St. Amant et al. Dec 2012 B1
8342486 Smith Jan 2013 B2
8343867 Ng et al. Jan 2013 B2
8349678 Chuang et al. Jan 2013 B2
8354049 Wakamura et al. Jan 2013 B2
8372263 Hayasaka Feb 2013 B2
8372703 Kirkpatrick et al. Feb 2013 B2
8377547 Noguchi et al. Feb 2013 B2
8397840 Downie et al. Mar 2013 B2
8410423 Bartlett et al. Apr 2013 B2
8410699 Yu Apr 2013 B2
8415186 Cai et al. Apr 2013 B2
8420491 Utomo et al. Apr 2013 B2
8435887 Kelly et al. May 2013 B2
8439117 Schultz et al. May 2013 B2
8444028 Rasa et al. May 2013 B2
8459382 Aliko et al. Jun 2013 B2
8465177 Yu Jun 2013 B2
8470898 Huang Jun 2013 B2
8476369 Helling Jul 2013 B2
8487300 Parham et al. Jul 2013 B2
8497535 Lee et al. Jul 2013 B2
8500093 Haines et al. Aug 2013 B2
8501032 Grotjahn Aug 2013 B2
8501587 Chen et al. Aug 2013 B2
8518368 Abdur-Rashid et al. Aug 2013 B2
8518787 Ding et al. Aug 2013 B2
8536040 Park Sep 2013 B1
8551840 Hwang Oct 2013 B2
8557632 Or-Bach et al. Oct 2013 B1
8562761 Gunnarsson et al. Oct 2013 B2
8564768 Schroeder et al. Oct 2013 B2
8569129 Ma et al. Oct 2013 B2
8569943 Mitsuishi et al. Oct 2013 B2
8578511 Liu et al. Nov 2013 B2
8580108 Novak et al. Nov 2013 B2
8595861 Liu et al. Nov 2013 B2
8609546 Lee et al. Dec 2013 B2
8617723 Stoessel Dec 2013 B2
8624327 Hung et al. Jan 2014 B2
8632537 McNall, III et al. Jan 2014 B2
8637359 Chang et al. Jan 2014 B2
8640942 Ozbaysal et al. Feb 2014 B1
8642403 Cohen et al. Feb 2014 B1
8646311 Moseley Feb 2014 B1
8647938 Baars et al. Feb 2014 B1
8647972 Ando et al. Feb 2014 B1
8663550 Valls Angles Mar 2014 B2
8673237 Schalkhammer Mar 2014 B2
8673731 Chang et al. Mar 2014 B2
8680306 Chahen et al. Mar 2014 B2
8680307 Chahen et al. Mar 2014 B2
8690971 Hundley et al. Apr 2014 B2
8691400 Stoessel et al. Apr 2014 B2
8692229 Cohen et al. Apr 2014 B2
8697518 Ji et al. Apr 2014 B2
8704134 Branagan et al. Apr 2014 B2
8704280 Xu Apr 2014 B2
8706198 Mangiardi Apr 2014 B2
8715106 Seluga et al. May 2014 B1
8716695 Cohen et al. May 2014 B2
8722485 Tong et al. May 2014 B1
8722491 Park et al. May 2014 B2
8722500 Scheiper et al. May 2014 B2
8728349 Liu et al. May 2014 B2
8729470 Adler May 2014 B2
8741771 Gambino et al. Jun 2014 B2
8759172 Li et al. Jun 2014 B2
8765546 Hung et al. Jul 2014 B1
8772165 Kim et al. Jul 2014 B2
8776719 Radoiu et al. Jul 2014 B2
8779529 Sen et al. Jul 2014 B2
8784766 Uppireddi et al. Jul 2014 B1
8789625 Mirchandani et al. Jul 2014 B2
8796084 Tsai et al. Aug 2014 B2
8796128 Edge et al. Aug 2014 B2
8796135 Oganesian et al. Aug 2014 B2
8806785 Brufau Guinovart et al. Aug 2014 B2
8814968 Jiang et al. Aug 2014 B2
8828144 Kumon et al. Sep 2014 B2
8846464 Liu et al. Sep 2014 B1
8846922 Hoge et al. Sep 2014 B2
8852451 Shimada et al. Oct 2014 B2
8859800 Ignatyev et al. Oct 2014 B2
8865321 Stoessel et al. Oct 2014 B2
8865595 Chang Oct 2014 B2
8871877 Thieuleux et al. Oct 2014 B2
8875814 Atkins et al. Nov 2014 B2
8969878 Kim Mar 2015 B2
9219124 Chuang et al. Dec 2015 B2
9230795 Wang et al. Jan 2016 B1
9240453 Oh Jan 2016 B2
9627500 Kim Apr 2017 B2
10388574 Kim Aug 2019 B2
10734288 Kim Aug 2020 B2
11043430 Kim Jun 2021 B2
11462442 Kim Oct 2022 B2
20020138813 Teh et al. Sep 2002 A1
20030087499 Lane et al. May 2003 A1
20050224800 Lindert et al. Oct 2005 A1
20050239242 Zhu et al. Oct 2005 A1
20060138463 Kim et al. Jun 2006 A1
20060214212 Horita et al. Sep 2006 A1
20080113480 Nishida et al. May 2008 A1
20080157212 Lavoie et al. Jul 2008 A1
20080224235 Lavoie et al. Sep 2008 A1
20080315281 Park Dec 2008 A1
20090218632 Cheng Sep 2009 A1
20120094475 Tsau Apr 2012 A1
20120306026 Guo et al. Dec 2012 A1
20120319179 Huang et al. Dec 2012 A1
20130026578 Tsau Jan 2013 A1
20130026579 Lu et al. Jan 2013 A1
20130062701 Lee et al. Mar 2013 A1
20130105919 Jiang et al. May 2013 A1
20130126977 Chuang et al. May 2013 A1
20130137256 Tsai et al. May 2013 A1
20130149830 Rhee et al. Jun 2013 A1
20130161754 Su et al. Jun 2013 A1
20130249003 Oh et al. Sep 2013 A1
20130277749 Kura et al. Oct 2013 A1
20130277750 Lai et al. Oct 2013 A1
20130299914 Kim Nov 2013 A1
20130299918 Kim et al. Nov 2013 A1
20140001543 Kim et al. Jan 2014 A1
20140103441 Kim et al. Apr 2014 A1
20140187010 Lii Jul 2014 A1
20140239407 Manabe et al. Aug 2014 A1
20140367761 Park Dec 2014 A1
20140374840 Lee et al. Dec 2014 A1
20150093864 Perera Apr 2015 A1
20150187766 Basker et al. Jul 2015 A1
Foreign Referenced Citations (12)
Number Date Country
1767156 May 2006 CN
101188212 May 2008 CN
101546772 Sep 2009 CN
102347330 Feb 2012 CN
102903741 Jan 2013 CN
102956556 Mar 2013 CN
103426928 Dec 2013 CN
103515425 Jan 2014 CN
104241367 Dec 2014 CN
2013-0079010 Jul 2013 KR
2013-0127257 Nov 2013 KR
2015-0000267 Jan 2015 KR
Non-Patent Literature Citations (5)
Entry
Office Action for corresponding Chinese Application No. 201610019041.4 dated Dec. 13, 2018.
Office Action dated Mar. 23, 2020, issued in corresponding Chinese Patent Application No. 201710805103.9.
Office Action dated Oct. 25, 2020, issued in corresponding Korean Patent Application No. 2015-14418.
Office Action dated Apr. 26, 2022, issued in corresponding Chinese Patent Application No. 201810567463.4.
Notice of Allowance dated Dec. 27, 2023, issued in corresponding Chinese Patent Application No. 201810567463.4.
Related Publications (1)
Number Date Country
20230029263 A1 Jan 2023 US
Divisions (1)
Number Date Country
Parent 14972704 Dec 2015 US
Child 15468631 US
Continuations (4)
Number Date Country
Parent 17335174 Jun 2021 US
Child 17958805 US
Parent 16921037 Jul 2020 US
Child 17335174 US
Parent 16459889 Jul 2019 US
Child 16921037 US
Parent 15468631 Mar 2017 US
Child 16459889 US