Semiconductor device, method for manufacturing the same, and plating solution

Abstract
The present invention relates to relates to a semiconductor device and a method for manufacturing the same having an embedded interconnect structure in which an electric conductor, such as copper or silver, is embedded in fine recesses for interconnects formed in the surface of a semiconductor substrate, and having a protective film formed on the surface of the interconnects to protect the interconnects. The semiconductor device having an embedded interconnect structure, including a protective film formed selectively on the surface of exposed interconnects, wherein the protective film has a flattened surface.
Description


BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention


[0002] This invention relates to a semiconductor device and a method for manufacturing the same, and more particularly to a semiconductor device and a method for manufacturing the same having an embedded interconnect structure in which an electric conductor, such as copper or silver, is embedded in fine recesses for interconnects formed in the surface of a semiconductor substrate, and having a protective film formed on the surface of the interconnects to protect the interconnects.


[0003] The invention also relates to a plating solution useful for forming embedded interconnects by embedding an electric conductor in fine recesses for interconnects provided in the surface of a substrate, such as a semiconductor substrate, or for forming a protective film for protecting the surface of embedded interconnects.


[0004] 2. Description of the Related Art


[0005] As a process for forming interconnects in a semiconductor device, the so-called “damascene process”, which comprises embedding a metal (electric conductor) into trenches for interconnects and contact holes, is coming into practical use. According to this process, aluminum or, more recently a metal such as copper or silver, is embedded into trenches for interconnects and contact holes previously formed in the interlayer dielectric film of a semiconductor substrate. Thereafter, an extra metal is removed by chemical mechanical polishing (CMP) so as to flatten the surface of the substrate.


[0006] In recent years, instead of aluminum or aluminum alloys generally used as a material for forming interconnection circuits on a semiconductor substrate, there is an eminent movement towards using copper. This is because the electric resistivity of copper, which is 1.72 μΩm, is about 40% lower than the electric resistivity of aluminum, and therefore copper interconnects less suffer from the signal delay phenomenon. Further, copper has a much higher electromigration resistance than aluminum, and is easier for use in dual-damascene processes. Thus, the use of copper offers a higher possibility of providing a complicated, fine multilayer interconnection structure at a relatively low production cost.


[0007] In the case of interconnects formed by such a process, the embedded interconnects have an exposed surface after the flattening processing. When an additional embedded interconnect structure is formed on such an interconnects-exposed surface of a semiconductor substrate, the following problems may be encountered. For example, during the formation of anew SiO2 insulating interlayer in the next process for forming an interlayer dielectric film, the exposed surface of the pre-formed interconnects is likely to be oxidized. Further, upon etching of the SiO2 layer for formation of via holes, the pre-formed interconnects exposed on the bottoms of the via holes can be contaminated with an etchant, a peeled resist, etc.


[0008] In order to avoid such problems, it has conventionally been conducted to form a protective film of SiN or the like not only on the circuit-formed region of a semiconductor substrate where the surfaces of the interconnects are exposed, but on the whole surface of the substrate, thereby preventing the contamination of the exposed interconnects with an etchant, etc.


[0009] However, the provision of a protective film of SiN or the like on the whole surface of a semiconductor substrate, in a semiconductor device having an embedded interconnect structure, increases the dielectric constant of the interlayer dielectric film, thus inducing delayed interconnection even when a low-resistivity material such as copper or silver is employed for interconnects, whereby the performance of the semiconductor device may be impaired.


[0010] In view of this, it has been proposed to selectively cover the surface of the exposed interconnects with a protective film of Co (Cobalt), a Co alloy, Ni (Nickel) or a Ni alloy, having a good adhesion to an interconnect material such as copper or silver and having a low resistivity (ρ), for example an alloy film which is obtained by electroless plating. In particular, by selectively covering the surface of interconnects with a protective film composed of a Co—W alloy, such as a Co—W—B or Co—W—P alloy, obtained by electroless plating, the surface contamination and also thermal diffusion of the interconnects can be prevented.


[0011] However, as shown in FIG. 32, for example, when copper is embedded in trenches 4 for interconnects formed in an insulating film 2 of SiO2, followed by a CMP processing to form copper interconnects 8, and a protective film 20 composed of e.g. a Ni—B is formed by electroless plating selectively on the exposed surface of copper interconnects 8 to protect the exposed surface of copper interconnects 8, it is difficult to equalize the film thickness of the protective film 20, and the film thickness of the protective film 20 is likely to vary widely. The wide variation of film thickness of the protection film 20 leads to the problem that when an interlayer dielectric film is laminated on a protective film 20 in the production of multi-layer interconnects, the interlayer dielectric film cannot have a sufficiently flat surface. Further, depending upon the pattern density of interconnects, there is undesirably a case in which the protective film overhangs the insulating film 2 in a high-pattern density region.


[0012] Furthermore, depending upon the pattern density and the width of interconnects, there is a case in which a plating material for forming the protective film is deposited also on the insulating film, besides on the interconnects. For example, in the case of copper interconnects which have been formed by embedding copper in recesses for interconnects formed in the insulating film, the elemental level of copper is generally high. Especially in a high-pattern density region, due to copper contamination of the surface of the insulating film, a plating material of electroless plating can react with the copper on the insulating film, resulting in the deposition thereon of the plating material.


[0013] An interconnects-protective film (cap material) is required to have a high electromigration resistance. Electromigration is considered to be caused by Joulean heat generated due to concentration of electric current, and occurs from a thin portion or a pinhole in an interconnects-protective film. In order to meet this requirement, therefore, it is desired to uniformly cover the surface of exposed interconnects with an interconnects-protective film which is a thin, continuously film having a uniform film thickness of generally not more than 50 nm, preferably 10 to 30 nm and which is free from a locally thin portion and a pinhole.


[0014] However, as shown in FIG. 44, when an interconnects-protective film (thin film) 20 composed of e.g. a Co—W—B alloy with a crystalline phase, having a film thickness of not more than 50 nm, is formed by electroless plating on the surface of copper interconnects 8 which have been formed by embedding copper in the insulating film 10 of e.g. SiO2, the interconnects-protective film 20 has the following problems:


[0015] The copper interconnects 8 are a polycrystal film having a plurality of crystal orientations. Under the influence of the crystal orientation, a Co—W—B alloy crystal 20a e.g. with plane direction (111) grows (epitaxially) on a copper crystal 8a with plane direction (111), and a Co—W—B alloy crystal 20b with plane direction (222) grows (epitaxially) on a copper crystal 8b with plane direction (222). The Co—W—B alloy crystals 20a and 20b, with the different plane directions, are different in the growth rate, making it difficult to obtain a continuous interconnects-protective film (thin film) having a uniform film thickness.


[0016] Thus, when an interconnects-protective film (cap material) having a crystalline phase is grown on the surface of copper, the protective film must be consistent with the crystal planes of the underlying copper, meaning difficulty in obtaining a uniform and continuous film, that is, difficulty in obtaining a sufficient electromigration resistance.


[0017] Further, when the surface of interconnects is selectively covered with an interconnects-protective film composed of a Co alloy or a Ni alloy, obtained by electroless plating, to protect the interconnects, since a Co alloy or a Ni alloy is generally a magnetic material, the magnetism of the interconnects-protective film can deteriorate the semiconductor properties.


[0018] Moreover, when the surface of embedded interconnects is selectively covered with a protective film (cap material) composed of a W (tungsten)-containing alloy such as a Co—W—B or Co—W—P alloy, obtained by electroless plating, to protect the interconnects, the protective film can effectively prevent thermal diffusion of the interconnects (i.e. has excellent thermal diffusion preventing effect). However, as shown in FIG. 55, the plating rate decreases with an increase in W concentration (W content by percentage) of the plating solution, and is thus generally lowered. In addition, the film thickness of the plated film is sensitively influenced by the quality or state of the underlying interconnects. For example, a variation in crystal orientation of the underlying interconnects can produce a remarkable variation in film thickness of the plated film and, in some cases, a uniform plated film cannot be formed on submicron interconnects.


[0019] On the other hand, when the surface of embedded interconnects is selectively covered with a plated protective film (cap material) composed of a Co alloy not containing W, such as an amorphous Co—B alloy, to protect the interconnects, the plated film can be formed at a high plating rate without being influenced by the quality or state of the underlying interconnects. Accordingly, a plated film having a uniform film thickness can be formed even on submicron interconnects. The plated film (protective film), however, cannot effectively prevent thermal diffusion of the interconnects (i.e. has poor thermal diffusion preventing effect).


[0020] It has thus been difficult to successfully combine the requirement of using a protective film having an excellent effect of preventing thermal diffusion of interconnects with the requirement of equalizing the film thickness of a protective film. The protective film herein refers to a film having a function of preventing thermal diffusion of the underlying interconnect material and a function of preventing oxidation of the underlying interconnect material in an oxidizing atmosphere upon formation of laminated interconnects, and also having an adequate resistance to etchants.


[0021] Copper interconnects, formed by solely using copper as an interconnect material, have enhanced electromigration resistance and stress migration resistance as compared to aluminum interconnects. With the trend toward high-speed, highly-integrated semiconductor devices, however, there is a demand for interconnects having further enhanced electromigration resistance and stress migration resistance but not having an increased electric resistivity. With regard to the protective film of Co or a Co alloy, which is obtained by electroless plating, for selective covering and protection of interconnects, Co or a Co alloy has a higher resistivity (ρ) than copper. A demand therefore exists for decreasing the resistivity (ρ) of the protective film.



SUMMARY OF THE INVENTION

[0022] The present invention has been made in view of the above situation in the related art. It is therefore a first object of the present invention to provide a semiconductor device and a method for manufacturing the same which eliminate unevenness in film thickness of a protective film that covers and protects the exposed surface of embedded interconnects, thereby ensuring a sufficient surface flatness of an interlayer dielectric film to be formed on the protective film in the formation of a multi-layer interconnect structure.


[0023] It is a second object of the present invention to provide a semiconductor device and a method for manufacturing the same which can prevent a plating material for forming a protective film from being deposited on an insulating film and selectively form a protective film only on the exposed surface of interconnects.


[0024] It is a third object of the present invention to provide a semiconductor device and a method for manufacturing the same which can selectively cover the exposed surface of embedded interconnects with a continuous interconnects-protective film (thin film) having a uniform film thickness to protect the interconnects, and can prevent the protective film, which protects the interconnects, from deteriorating the semiconductor properties.


[0025] It is a forth object of the present invention to provide a semiconductor device and a method for manufacturing the same which can selectively cover only the surface of embedded interconnects with a protective film having a uniform film thickness and having excellent effect of preventing thermal diffusion of interconnects, thereby effectively preventing thermal diffusion of interconnects.


[0026] It is a fifth object of the present invention to provide a plating solution which is useful for forming interconnects having enhanced electromigration resistance and stress migration resistance but not having increased electric resisivity or for forming a protective film having a low resistivity which can selectively cover and protect the surface of interconnects, a semiconductor device formed by using the plating solution, and a method for manufacturing the semiconductor device.


[0027] In order to achieve the above objects, the present invention provides a semiconductor device having an embedded interconnect structure, comprising a protective film formed selectively on the surface of exposed interconnects, wherein the protective film has a flattened surface. By flattening the surface of a protective film, with which it is generally difficult to equalize the film thickness, unevenness of the film thickness can be eliminated and an overhanging portion of the film can be removed.


[0028] The protective film may be composed of at least one of Co, a Co alloy, Ni or a Ni alloy. Examples of the Co alloy may include Co—W—P, Co—W—B, Co—P and Co—B alloys; and examples of the Ni alloy may include Ni—B, Ni—P, Ni—W—P and Ni—W—B alloys.


[0029] The present invention also provides another semiconductor device having an embedded interconnect structure, comprising an interconnects-protective film formed selectively on the surface of exposed interconnects, wherein the protective film has an amorphous phase. The surface of exposed interconnects can be selectively covered and protected with a uniform and continuous interconnects-protective film (cap material) having an amorphous phase. In this regard, as shown in FIG. 32, when an interconnects-protective film (thin film) 20 composed of e.g. a Co—W—B alloy with a film thickness of not more than 50 nm, having an amorphous phase, is formed e.g. by electroless plating on the surface of interconnects (copper interconnects) 8 which have been formed by embedding copper in an insulating film 10 of e.g. SiO2, Co—W—B alloy 20c grows uniformly over e.g. copper crystal 8a with plane direction (111) and copper crystal 8b with plane direction (222) without being influenced by the crystal orientation of copper interconnects 8 which are comprised of a polycrystal film having a plurality of crystal orientations. A continuous interconnects-protective film (thin film) 20 having a uniform film thickness can thus be obtained.


[0030] The interconnects-protective film may be composed of a Ni alloy, a Co alloy or a Cu alloy. The Cu alloy may be a Cu—B alloy or the like. As an interconnect material, a low-resistivity material, such as copper, a copper alloy, silver or a silver alloy, may be used for speedup and densification of the semiconductor device.


[0031] The present invention also provides still another semiconductor device having an embedded interconnect structure, comprising an interconnects-protective film formed selectively on the surface of exposed interconnects, wherein the interconnects-protective film is a nonmagnetic film. The above-described interconnects-protective film having an amorphous phase, unlike a crystal, has an amorphous structure with no three-dimensional regularity. An alloy of an amorphous structure is generally nonmagnetic (non-ferromagnetic). Thus, an interconnects-protective film can be made nonmagnetic for various alloy compositions by making the protective film an amorphous film.


[0032] The present invention also provides still another semiconductor device having an embedded interconnect structure, comprising a protective film formed selectively on the surface of exposed interconnects, wherein the protective film is obtained by a process comprising carrying out a pretreatment of the surface of exposed interconnects, and then forming the protective film selectively on the pretreated surface of exposed interconnects. By thus carrying out a pretreatment of the surface of exposed interconnects, e.g. to uniformize in advance the quality of the interconnects which will underlie a protective film, it becomes possible to form a protective film (cap material) of e.g. a W-containing alloy, such as a Co—W—B alloy, having excellent thermal diffusion preventing effect, with a uniform film thickness, thereby effectively preventing thermal diffusion of the embedded interconnects.


[0033] For example, a seed layer, which acts as a catalyst in electroless plating in the next step, can be formed by the pretreatment selectively on the surface of exposed interconnects, and a protective film (cap material) with a uniform film thickness, having excellent thermal diffusion preventing effect, can be formed selectively on the surface of the seed layer acting as a catalyst.


[0034] The seed layer may be composed of e.g. an amorphous Co—B alloy, and the protective film may be composed of e.g. an amorphous Co—W—B alloy or an amorphous Co—W—P alloy. This makes it possible to first form a seed layer of a uniform film equality, composed of an amorphous Co—B alloy, with a uniform film thickness without being influenced by the crystal orientation of the underlying interconnects, and then form a protective film composed of an amorphous Co—W—B or Co—W—P alloy, having excellent thermal diffusion preventing effect, with a uniform thickness on the surface of the seed layer.


[0035] The present invention provides a semiconductor device comprising interconnects composed of a copper alloy, said interconnects having been formed by embedding the copper alloy in fine recesses for interconnects provided in the surface of a substrate, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper. Owing to the use of the specific copper alloy as an interconnect material, the semiconductor device can have interconnects with enhanced electromigration resistance and stress migration resistance but without an increase in electric resistivity as compared to the sole use of copper as an interconnect material. The “copper alloy of copper and a metal in which the metal does not form a solid solution with copper” herein refers to a copper alloy, such as a copper-silver alloy, in which the alloy components (e.g. copper and silver) do not form a single or mutual crystal lattice (i.e. the alloy components, e.g. copper and silver, do not form a mixed crystal), but the respective crystals of the alloy components (e.g. copper crystal and silver crystal) are co-present.


[0036] The protective film may have a thickness in a range of 0.1 to 500 nm. The copper alloy may be a copper-silver alloy, a copper-cobalt alloy or a copper-tin alloy. It is preferred that the copper content of the copper alloy is in a range of 90 to 99.99 at %.


[0037] The present invention further provides a semiconductor device having an embedded interconnect structure, comprising a protective film composed of a copper alloy formed selectively on the surface of exposed interconnects, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper. The semiconductor device is thus provided with a protective film composed of the specific copper alloy, i.e. the copper alloy of copper and a metal in which the metal does not form a solid solution with copper, having a strong adhesion to silver or copper and having a low resistivity (ρ). The interconnects of the semiconductor device can be selectively covered and protected with the protective film without an increase of interconnect resistivity.


[0038] The present invention also provides a method for manufacturing a semiconductor device, comprising: forming a protective film by electroless plating selectively on the surface of exposed interconnects in a semiconductor device having an embedded interconnect structure; and flattening the surface of the semiconductor device having the protective film thus formed. When a protective film is formed by electroless plating, it is generally difficult to equalize the film thickness. By thus flattening the surface of the protective film after electroless plating, unevenness in film thickness of the protective film can be eliminated and the overhanging portion of the protective film can be removed.


[0039] In one embodiment, the method comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by polishing; forming a protective film by electroless plating selectively on the surface of exposed interconnects; and flattening the surface of the semiconductor device by polishing. The polishing after the formation of the protective film may be carried out, for example, by using a polishing pad of a nonwoven fabric, a sponge or a resin material such as foamed polyurethane while supplying a slurry containing an oxidizing agent and abrasive grains. The protective film can be polished by the abrasive grains contained in the slurry, which have been oxidized by the oxidizing agent. The polishing may also be carried out by using a grinding stone containing abrasive grains.


[0040] Before the electroless plating to selectively form the protective film, if necessary, a pre-plating treatment may be carried out. The pre-plating treatment may be a catalyst-imparting treatment for imparting e.g. a Pd catalyst or an oxide film removal treatment for removing an oxide film adhering to the surface of exposed interconnects.


[0041] In another embodiment, the method comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a substrate; heat-treating the electric conductor; flattening the surface of the heat-treated substrate by polishing; cleaning the flattened surface of the substrate; and forming a protective film by electroless plating selectively on the surface of exposed interconnects in the cleaned substrate. Upon the cleaning, it is preferred that the upper surface of an insulating film in the surface of the substrate be cleaned so that an electric conductor contamination on the insulating film is reduced to 5×105 atoms/cm2 or less. This can prevent a plating material from reacting with copper on the insulating film upon the later electroless plating for selectively forming the protective film, and thereby depositing on the insulating film. After the cleaning of the substrate surface, the plating may preferably be initiated while the upper surface of the insulating film is still clean, e.g. within 5 minutes.


[0042] The present invention also provides another method for manufacturing a semiconductor device, comprising carrying out electroless plating of the surface of a semiconductor device having an embedded interconnect structure to form an interconnects-protective film selectively on the surface of exposed interconnects, wherein the protective film has an amorphous phase.


[0043] In one embodiment, the method comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by chemical mechanical polishing; and forming an interconnects-protective film, having an amorphous phase by electroless plating selectively on the surface of exposed interconnects in the semiconductor device.


[0044] The present invention also provides still another method for manufacturing a semiconductor device, comprising carrying out electroless plating of the surface of a semiconductor device having an embedded interconnect structure to form an interconnects-protective film selectively on the surface of exposed interconnects, wherein the protective film is a nonmagnetic film.


[0045] In one embodiment, the method comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by chemical mechanical polishing; and forming an interconnects-protective film, which is a nonmagnetic film, by electroless plating selectively on the surface of exposed interconnects in the semiconductor device.


[0046] The present invention also provides still another method for manufacturing a semiconductor device, comprising carrying out a selective pretreatment of the surface of exposed interconnects in a semiconductor device having an embedded interconnect structure, and forming a protective film by electroless plating selectively on the pretreated surface of interconnects.


[0047] By the pretreatment, a seed layer composed of e.g. an amorphous Co—B alloy, which acts as a catalyst in a Co alloy plating, e.g. a Co—W—B or Co—W—P alloy plating, may be formed selectively on the surface of exposed interconnects. A protective film composed of e.g. a Co—W—B or Co—W—P alloy may be formed selectively on the seed layer.


[0048] In one embodiment, the method comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by chemical mechanical polishing; carrying out a pretreatment of the surface of exposed surface in the semiconductor device; and forming a protective film by electroless plating selectively on the pretreated surface of interconnects.


[0049] The present invention also provides still another method for manufacturing a semiconductor device, comprising plating the surface of a substrate to embed a copper alloy in fine recesses for interconnects provided in the surface of the substrate, thereby forming interconnects, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper.


[0050] The present invention also provides still another method for manufacturing a semiconductor device, comprising plating the surface of a semiconductor device having an embedded interconnect structure, thereby forming a protective film composed of a copper alloy selectively on at least part of exposed interconnects, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper.


[0051] The present invention also provides an apparatus for manufacturing a semiconductor device, comprising: a first plating unit for embedding an electric conductor in recesses for interconnects provided in the surface of a substrate; a first polishing unit for polishing the surface of the substrate in which the electric conductor is embedded; a second plating unit for forming a protective film selectively on the surface of exposed interconnects after the polishing; and a second polishing unit for polishing the surface of the substrate in which the protective film is formed.


[0052] The apparatus may also comprise a heat treatment unit for heat-treating the electric conductor embedded in the substrate. The apparatus may further comprise a third plating unit for forming a seed layer, which acts as a catalyst in the plating in the second plating unit, selectively on the surface of interconnects prior to forming the protective film selectively on the surface of interconnects in the second plating unit.


[0053] Further, the apparatus may be provided with a cleaning unit for cleaning the surface of the substrate which has been flattened by the polishing in the first polishing unit. It is preferred that the substrate surface be cleaned so that an electric conductor contamination on an insulating film in the surface of the substrate is reduced to 5×105 atoms/cm2 or less.


[0054] The present invention also provides a plating solution for use in plating of the copper alloy of copper and a metal in which the metal does not form a solid solution with copper, said plating solution comprising copper ions, metal ions of a metal, said metal being capable of forming with copper a copper alloy in which said metal does not form a solid solution with copper, a complexing agent, and a reducing agent containing no alkali metal. Plating with the use of the plating solution can produce a plated film, composed of a copper alloy of copper and a metal in which the metal does not form a solid solution with copper, having almost the same electric resistivity as copper and having enhanced electromigration resistance and stress migration resistance as compared to copper.


[0055] The metal ions may be silver ions, cobalt ions or tin ions. The reducing agent may be an alkylamine borane. The use as a reducing agent of an alkylamine borane, which does not contain sodium, can prevent alkali metal contamination of semiconductor devices. Specific examples of the alkylamine borane may include dimethylamine borane, diethylamine borane, and trimethylamine borane.


[0056] The plating solution may further include at least one of a stabilizer selected from one or more kinds of sulfur compounds, nitrogen compounds and heavy metal compounds, and a surfactant.


[0057] It is preferred that a pH of the plating solution is adjusted in a range of 5 to 14 using a pH adjusting agent free from alkali metal. By thus adjusting the pH of the plating solution with an alkali metal-free pH adjusting agent, inclusion of an alkali metal, such as sodium, in the plating solution can be prevented. Examples of such pH adjusting agents include ammonia water and tetramethylammonium hydroxide (TMAH). The pH of the plating solution is preferably adjusted to 7-13.







BRIEF DESCRIPTION OF THE DRAWINGS

[0058]
FIGS. 1A through 1C illustrate, in a sequence of process steps, an example of forming copper interconnects in a semiconductor device until CMP processing;


[0059]
FIGS. 2A through 2C illustrate, in a sequence of process steps, an embodiment of forming copper interconnects in a semiconductor device after CMP processing according to the present invention;


[0060]
FIG. 3 is a block diagram showing, in a sequence of process steps, an embodiment of a plating method according to the present invention;


[0061]
FIG. 4 is a plan layout view showing an embodiment of an apparatus for manufacturing a semiconductor device according to the present invention;


[0062]
FIG. 5 is a plan layout view showing another embodiment of an apparatus for manufacturing a semiconductor device according to the present invention;


[0063]
FIG. 6 is a flow diagram of the substrate in the apparatus for manufacturing the semiconductor device showing in FIG. 4 or 5;


[0064]
FIG. 7 is a cross-sectional view showing a whole structure of an electroplating apparatus as a first plating unit, at the time of plating process;


[0065]
FIG. 8 is a diagram showing a flow of a plating solution in a electroplating apparatus shown in FIG. 7


[0066]
FIG. 9 is a cross-sectional view showing a whole structure of the electroplating apparatus shown in FIG. 7, at the time of non-plating process (at the time of transfer of a substrate);


[0067]
FIG. 10 is a cross-sectional view showing a whole structure of the electroplating apparatus shown in FIG. 7, at the time of maintenance;


[0068]
FIG. 11 is a cross-sectional view explanatory of a relationship among a housing, a pressing ring, and a substrate of the electroplating apparatus shown in FIG. 7, at the time of transfer of a substrate;


[0069]
FIG. 12 is an enlarged view showing a part of FIG. 11;


[0070]
FIGS. 13A through 13D are schematic views explanatory of the flow of a plating solution of the electroplating apparatus shown in FIG. 7, at the time of plating process and at the time of non-plating process;


[0071]
FIG. 14 is an enlarged cross-sectional view showing a centering mechanism of the electroplating apparatus shown in FIG. 7;


[0072]
FIG. 15 is a cross-sectional view showing a feeding contact (probe) of the electroplating apparatus shown in FIG. 7;


[0073]
FIG. 16 is a plan view showing another example of an electroplating apparatus as a first plating unit;


[0074]
FIG. 17 is a sectional view taken along the line A-A of FIG. 16;


[0075]
FIG. 18 is a cross-sectional view of a substrate holding portion and a cathode portion of the electroplating apparatus shown in FIG. 16;


[0076]
FIG. 19 is a cross-sectional view of an electrode arm portion of the electroplating apparatus shown in FIG. 16;


[0077]
FIG. 20 is a plan view showing the electrode arm portion, from which a housing is removed, of the electroplating apparatus shown in FIG. 16;


[0078]
FIG. 21 is a schematic view of an anode and a plating solution impregnated material of the electroplating apparatus shown in FIG. 16;


[0079]
FIG. 22 is a view showing a schematic constitution of an example of an electroless plating apparatus used as a first plating unit and/or a second plating unit;


[0080]
FIG. 23 is a view showing a schematic constitution of another example of an electroless plating apparatus used as a first plating unit and/or a second plating unit;


[0081]
FIG. 24 is a view showing a schematic constitution of an example of a polishing apparatus;


[0082]
FIG. 25 is a schematic front view of the neighborhood of a reversing machine of a copper film thickness inspection unit;


[0083]
FIG. 26 is a plan view of a reversing arm portion of the copper film thickness inspection unit;


[0084]
FIG. 27 is a view showing a schematic constitution of an example of a cleaning unit;


[0085]
FIG. 28 is a vertical sectional view of an example of an annealing unit;


[0086]
FIG. 29 is a transverse sectional view of FIG. 28;


[0087]
FIG. 30 is a diagram illustrating an SEM photograph of the sample when copper interconnects are protected by a protective film and the surface of the protective film is polished;


[0088]
FIG. 31 is a diagram illustrating an SEM photograph of the sample when copper interconnects are protected by a protective film;


[0089]
FIG. 32 is a diagram showing in such a state that a thin film composed of alloy, having an amorphous phase, is formed (epitaxially) on the surface of copper interconnects;


[0090]
FIG. 33 is a cross-sectional view of another embodiment of a semiconductor device according to the present invention;


[0091]
FIG. 34 is a block diagram showing, in a sequence of process steps, another embodiment of a plating method according to the present invention;


[0092]
FIG. 35 is a whole layout view of another embodiment of a plating apparatus for performing the electroless plating to form an interconnects-protective film according to the present invention;


[0093]
FIG. 36 is a view showing a plan layout constitution of still another embodiment of a plating apparatus for forming an interconnects-protective film according to the present invention;


[0094]
FIG. 37 is a view showing airflow in the plating apparatus shown in FIG. 36;


[0095]
FIG. 38 is a view showing airflows among areas in the plating apparatus shown in FIG. 36;


[0096]
FIG. 39 is a perspective view of the plating apparatus shown in FIG. 36, which is placed in a clean room;


[0097]
FIGS. 40A and 40B are SEM photographs of the sample before and after plating obtained in Example 2;


[0098]
FIG. 41 is X-ray diffractometry data on the plated sample obtained in Example 2, showing the relationship between the diffraction intensity and 2e;


[0099]
FIGS. 42A and 42B are SEM photographs of the sample before and after plating obtained in Comparative Example 2;


[0100]
FIG. 43 is X-ray diffractometry data on the plated sample obtained in Comparative Example 1, showing the relationship between the diffraction intensity and 2e;


[0101]
FIG. 44 is a cross-sectional view showing graphically in such a state that a thin film composed an alloy, having a crystalline phase, is formed (epitaxially) on the surface of copper interconnects;


[0102]
FIGS. 45A through 45C illustrate, in a sequence of process in a semiconductor device after CMP processing according to the present invention;


[0103]
FIG. 46 is a plan layout view of still another embodiment of an apparatus for manufacturing a semiconductor device;


[0104]
FIG. 47 is a block diagram showing, in a sequence of process steps, still another embodiment of a plating method according to the present invention;


[0105]
FIG. 48 is a diagram illustrating an SEM photograph of the sample used Example 3, Comparative Example 2 and Comparative Example 3;


[0106]
FIG. 49 is a diagram illustrating SEM photographs of the sample obtained in Example 3


[0107]
FIG. 50 is a diagram illustrating SEM photographs of the sample obtained in Comparative Example 2;


[0108]
FIG. 51 is a diagram illustrating SEM photographs of the sample obtained in Comparative Example 3;


[0109]
FIG. 52 is a diagram showing illustrating secondary ion mass spectrometry data on the plated sample, which is analyzed before and after annealing, of Example 3;


[0110]
FIG. 53 is a diagram showing illustrating secondary ion mass spectrometry data on the plated sample, which is analyzed before and after annealing, of Comparative Example 2;


[0111]
FIG. 54 is a diagram showing illustrating secondary ion mass spectrometry data on the plated sample, which is analyzed before and after annealing, of Comparative Example 3;


[0112]
FIG. 55 is a graph showing the relationship between the plating rate and W concentration when the plating is performed using Co alloy plating solution containing tungsten (W);


[0113]
FIGS. 56A through 56C illustrate, in a sequence of process steps, still another embodiment of forming copper alloy interconnects in a semiconductor device according to the present invention; and


[0114]
FIGS. 57A through 57D are diagrams illustrating, in sequence of process steps, an embodiment of the formation of a protective film, composed of a copper alloy, selectively on the exposed surface of embedded interconnects in a semiconductor device according to the present invention.







DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0115] Preferred embodiments of the present invention will now be described.


[0116]
FIGS. 1A through 1C illustrate, in a sequence of process steps, an example of producing copper interconnects in a semiconductor device. As shown in FIG. 1A, and insulating film 2 of e.g. SiO2 is deposited on a conductive layer 1a on a semiconductor substrate 1 having formed semiconductor devices. A contact hole 3 and a trench 4 for interconnects are formed in the insulating film 2 by the lithography/etching technique. Thereafter, a barrier layer 5 of Ta, TaN or the like is formed on the entire surface, and a copper seed layer 6 as an electric supply layer for electroplating is formed on the barrier layer 5 by sputtering or the like.


[0117] Then, as shown in FIG. 1B, copper plating is conducted onto the surface of the semiconductor substrate W to fill the contact hole 3 and the trench 4 with copper and, at the same time, deposit a copper film 7 on the insulating film 2. Thereafter, the copper film 7 and the barrier layer 5 on the insulating layer 2 are removed by chemical mechanical polishing (CMP) so as to make the surface of the copper film 7 filled in the contact hole 3 and the trench 4 for interconnects and the surface of the insulating film 2 lie substantially on the same plane. Interconnects 8 composed of the copper seed layer 6 and the copper film 7, as shown in FIG. 1C, are thus formed in the insulating layer 2.


[0118] According to the semiconductor device of the present invention, as shown in FIGS. 2A through 2C, the exposed surface of the interconnects 8, which have been formed in the substrate W in the above-described manner, is selectively covered with a protective film 20 to protect the interconnects 8, and then a CMP processing is carried out to flatten the surface of the protective film 20. Thereafter, an insulating film 22 of e.g. SiO2 or SiOF is superimposed on the surface of the substrate to form a multi-layer interconnect structure. FIG. 3 illustrates an example of process steps in the above process. According to this example, filling with copper is first carried out e.g. by electroplating and, after cleaning and drying, a heat treatment (annealing) is carried out according to necessity. A CMP processing is then carried out, and the substrate W, after the CMP processing, is cleaned. After carrying out a pre-plating treatment, such as a catalyst-imparting treatment for imparting e.g. a Pd catalyst, electroless plating of the surface of substrate W is carried out to form a protective film 20 composed of e.g. a Co alloy selectively on the exposed surface of interconnects 8, as shown in FIG. 2A. After cleaning and drying the substrate, a CMP processing of the surface of substrate W is carried out to flatten the surface of the protective film 20, as shown in FIG. 2B. Next, after cleaning and drying the substrate, an insulating film 22 is deposited on the substrate, as shown in FIG. 2C.


[0119] The interconnects 8 can thus be protected by selectively covering the exposed surface of the interconnects 8 with the protective film 20. When forming the protective film 20 by electroless plating, it is generally difficult to equalize the film thickness. By thus flattening the surface of protective film 20 by CMP processing, unevenness in film thickness of the protective film 20 can be eliminated, which ensures a sufficient flatness of the surface of the insulating film 22 deposited on the protective film 20.


[0120] In the cleaning after the CMP processing, it is preferred to clean the surface of substrate W so that copper contamination on the insulating film 2 shown in FIG. 1C is reduced to 5×105 atoms/cm2 or lower. This can prevent a plating material of the later electroless plating from reacting with copper on the insulating film 2 in the later electroless plating for forming the protective film 20 selectively by copper contamination on the insulating film 2, thereby depositing on the insulating film. In this regard, when electroless plating is carried out onto a copper surface, deposition of a plating material proceeds through reaction of the plating material with copper, regardless of whether the deposition is a catalytic process or not. Thus, by removing copper from the upper surface of insulating film 2, reaction of a plating material with copper on the insulating film, and thus deposition thereon of the plating material, can be prevented. After such a cleaning of the surface of substrate W, the electroless plating is desirably initiated while the upper surface of insulating film 2 is still clean, for example, within 5 minutes after the cleaning.


[0121] In the selective formation of the protective film 20, there is a case where the film formation is influenced by the integration density of interconnect patterns. Thus, the film is harder to deposit on a portion of a sparse interconnect pattern than on a portion of a dense interconnect pattern. Accordingly, when the protective film 20 with a sufficient thickness is formed on a portion of a sparse interconnect pattern, the protective 20 is formed thick on a portion of a dense interconnect pattern. In such a dense pattern portion, there is a case where the protective film 20 is formed not only on the surface of exposed interconnects 8, but also on the surface of insulating film 2. Even in such a case, not only the protective film 20 formed on the exposed interconnects 8, but the protective film 20 formed on the insulating film 2 as well can be flattened simultaneously by a CMP processing, whereby the unevenness of the protective film 20 can be eliminated. Though the flattening processing may be terminated when the surface of protective film 20 reaches a level slightly above the surface of insulating film 2, it is preferred to carry out the flattening until the surface of protective film 20 reaches the level of the surface of insulating film 2 in order to secure a sufficient flatness of the surface of insulating film 22 to be deposited on the protective film 20.


[0122] In this example, a Co—W—P alloy is used for the protective film 20. The protective film (Co—W—P alloy layer) 20 is formed by first carrying out a pretreatment of the substrate W, e.g. by immersing the substrate in a solution of PdCl2 and HCl for one minute, thereby imparting a palladium catalyst to the surface of thee substrate, and then immersing the surface of the substrate W in a plating solution containing cobalt ions, a complexing agent, a pH buffer, a pH adjusting agent, a reducing agent, and a tungsten-containing compound.


[0123] If necessary, the plating solution may further contain as an additive at least one of a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and a surfactant. The plating solution has been adjusted to a pH of e.g. 10 with the pH adjusting agent, such as sodium hydroxide. The temperature of the plating solution is e.g. 90° C.


[0124] The cobalt ions in the plating solution may be supplied from a cobalt salt, such as cobalt sulfate, cobalt chloride or cobalt acetate. Specific examples of the complexing agent may include carboxylic acids, such as acetic acid, and their salts; oxycarboxylic acids, such as tartaric acid and citric acid, and their salts; and aminocarboxylic acids, such as glycine, and their salts. These compounds may be used singly or as a mixture of two or more.


[0125] Examples of the pH buffer include ammonium sulfate, ammonium chloride, and boric acid. Sodium hypophosphite, for example, may be used as the reducing agent. Examples of the tungsten-containing compound include tungstic acid or its salt, and heteropoly acids, such as tungstophosphoric acids (e.g. H3 (PW12P40)·nH2O), and their salts.


[0126] Though this example uses a Co—W—P alloy for the protective film 20, it is also possible to use Co as a single substance, a Co—W—B alloy, a Co—P alloy, a Co—B alloy, etc. for the protective film 20.


[0127] Further, it is possible to use a Ni—B alloy for the protective film 20. The protective film (Ni—B alloy layer) 20 maybe formed by using an electroless plating solution containing nickel ions, a complexing agent for nickel ions, an alkylamine borane or a borohydride compound as a reducing agent for nickel ions, and ammonia ions, the pH of the plating solution being adjusted to e.g. 8-12, and immersing the surface of the substrate W in the plating solution. The temperature of the plating solution is generally 50 to 90° C., preferably 55 to 75° C.


[0128] Examples of the complexing agent for the nicdkel ions may include malic acid and glycine. NaBH4, for example, may be used as the horohydride compound. For the protective film 20, it is also possible to use Ni as a single substance, a Ni—P alloy, a Ni—W—B or a Ni—W—P alloy, etc.


[0129] Further, though this example uses copper as an interconnect material, it is possible to use instead a copper alloy, silver or a silver alloy.


[0130]
FIG. 4 is a plan view showing the general construction of an apparatus for manufacturing a semiconductor device according to one embodiment of the present invention. The apparatus includes, at one end of the space on a rectangular floor, a first polishing unit 24a and a second polishing unit 24b disposed opposite to each other on both sides, and, at the other end, a pair of loading/unloading sections for placing thereon substrate cassettes 26a, 26b for housing substrates W such as semiconductor wafers. Two transfer robots 28a, 28b are disposed on a line connecting the polishing units 24a, 24b and the loading/unloading sections. On one side of the transfer line, there are disposed a first plating unit 30 for embedding of copper, a copper film thickness inspection unit 32 provided with a reversing machine and a pre-plating treatment unit 34 provided with a reversing machine, and on the other side, there are disposed a rinsing/drying device 36, a second plating unit 38 for formation of a protective film and a cleaning unit 39 provided with a roll sponge. Vertically-movable pushers 42 are provided on the transfer line sides of the polishing units 24a, 24b for transferring the substrate W between them and the polishing units 24a, 24b.


[0131] Further, as shown in FIG. 5, an annealing unit (heat treatment unit) 814 may be provided e.g. in a place adjacent to the first plating unit 30, so that embedding of an electric conductor (copper) in the first plating unit 30, heat treatment (annealing) of the electric conductor (copper) in the annealing unit 814 and polishing in the polishing unit 24a or 24b can be performed successively.


[0132]
FIGS. 7 through 15 show a electroplating apparatus making-up the first plating unit 30 provided in the apparatus shown in FIGS. 4 and 5. As shown in FIG. 7, the plating unit (electroplating apparatus) 30 is composed mainly of a plating process container 46 which is substantially cylindrical and contains a plating solution 45 therein, and a head 47 disposed above the plating process container 46 for holding the substrate W. In FIG. 7, the plating unit 30 is in such a state that the substrate W is held by the head 47 and the surface of the plating solution 45 is on the liquid level for plating.


[0133] The plating process container 46 has a plating chamber 49 which is open upward and has an anode 48 at the bottom thereof. A plating bath 50 containing the plating solution 45 is provided within the plating chamber 49. Plating liquid supply nozzles 53, which project horizontally toward the center of the plating chamber 49, are disposed at circumferentially equal intervals on the inner circumferential wall of the plating bath 50. The plating solution supply nozzles 53 communicate with plating solution supply passages extending vertically within the plating bath 50.


[0134] Further, according to this embodiment, a punch plate 220 having a large number of holes with a size of, for example, about 3 mm is disposed at a position above the anode 48 within the plating chamber 49. The punch plate 220 prevents a black film formed on the surface of the anode 48 from curling up by the plating solution 45 and consequently being flowed out.


[0135] The plating bath 50 has first plating solution discharge ports 57 for withdrawing the plating solution 45 contained in the plating chamber 49 from the peripheral portion of the bottom in the plating chamber 49, and second plating solution discharge ports 59 for discharging the plating solution 45 which has overflowed a weir member 58 provided at the upper end of the plating bath 50. Further, the plating bath 50 has third plating solution discharge ports 120 for discharging the plating solution before overflowing the weir member 58. As shown in FIGS. 13A through 13C, the weir member 58 have, in its lower part, openings 222 having a predetermined width at predetermined intervals.


[0136] With this arrangement, when the amount of plating solution supplied is large during plating, the plating solution is discharged to the exterior through the third plating solution discharge ports 120 and, in addition, as shown in FIG. 13A, the plating solution overflowing the weir member 58 and passing through the openings 222 is discharged to the exterior through the second plating solution discharge ports 59. On the other hand, during plating, when the amount of plating solution supplied is small, the plating solution is discharged to the exterior through the third plating solution discharge ports 120, and as shown in FIG. 13B, the plating solution is passed through the openings 222 and discharged to the exterior through the second plating solution discharge ports 59. In this manner, this construction can easily cope with the case where the amount of plating solution supplied is large or small.


[0137] Further, as shown in FIG. 13D, through holes 224 for controlling the liquid level, which are located above the plating solution supply nozzles 53 and communicate with the plating chamber 49 and the second plating solution discharge ports 59, are provided at circumferentially predetermined pitches. Thus, when plating is not performed, the plating solution is passed through the through holes 224, and is discharged to the exterior through the second plating solution discharge ports 59, thereby controlling the liquid level of the plating solution. During plating, the through holes 224 serve as an orifice for restricting the amount of the plating solution flowing therethrough.


[0138] As shown in FIG. 8, the first plating solution discharge ports 57 are connected to the reservoir 226 through the plating solution discharge pipe 60a, and a flow controller 61a is provided in the plating solution discharge pipe 60a. The second plating solution discharge ports 59 and the third plating solution discharge ports 120 join with each other within the plating container 50, and the joined passage is then connected directly to the reservoir 226 through the plating solution discharge pipe 60b.


[0139] The plating solution 45 which has flowed into the reservoir 226 is introduced by a pump 228 into the plating solution regulating tank 40. This plating solution regulating tank 40 is provided with a temperature controller 230, and a plating solution analyzing unit 232 for sampling the plating solution and analyzing the sample plating solution. When a single pump 234 is operated, the plating solution is supplied from the plating solution regulating tank 40 through the filter 236 to the plating solution supply nozzles 53 of the plating unit 30. A control valve 56 for fixing the secondary pressure is provided in the plating solution supply pipe 55 extending from the plating solution regulating tank 40 to the plating unit 30.


[0140] Returning to FIG. 7, a vertical stream regulating ring 62 and a horizontal stream regulating ring 63 are disposed within the plating chamber 49 at a position near the internal circumference of the plating chamber 49, and the central portion of the liquid surface is pushed up by an upward stream out of two divided upward and downward streams of the plating solution 45 within the plating chamber 49, whereby the downward flow is smoothened and the distribution of the current density is further uniformized. The horizontal stream regulating ring 63 has a peripheral portion which is fixed to the plating bath 50, and the vertical stream regulating ring 62 is connected to the horizontal stream regulating ring 63.


[0141] On the other hand, the head 47 comprises a housing 70 which is a rotatable and cylindrical receptacle having a downwardly open end and has openings 94 on the circumferential wall, and vertically movable pressing rods 242 having, in its lower end, a pressing ring 240. As shown in FIGS. 11 and 12, an inwardly projecting ring-shaped substrate holding member 72 is provided at the lower end of the housing 70. A ring-shaped sealing member 244 is mounted on the substrate holding member 72. The ring-shaped sealing member 244 projects inward, and the front end of the top surface in the ring-shaped sealing member 244 projects upward in an annular tapered form. Further, contacts 76 for a cathode electrode are disposed above the sealing member 244. Air vent holes 75, which extend outwardly in the horizontal direction and further extend outwardly in an upwardly inclined state, are provided in the substrate holding member 72 at circumferentially equal intervals.


[0142] With this arrangement, as shown in FIG. 9, the liquid level of the plating solution is lowered, and as shown in FIGS. 11 and 12, the substrate W is held by a robot hand H or the like, and inserted into the housing 70 where the substrate W is placed on the upper surface of the sealing member 244 of the substrate holding member 72. Thereafter, the robot hand H is withdrawn from the housing 70, and the pressing ring 240 is then lowered to sandwich the peripheral portion of the substrate W between the sealing member 244 and the lower surface of the pressing ring 240, thereby holding the substrate W. In addition, upon holding of the substrate W, the lower surface of the substrate W is brought into pressure contact with the sealing member 244 to seal this contact portion positively. At the same time, current flows between the substrate W and the contacts 76 for a cathode electrode.


[0143] Returning to FIG. 7, the housing 70 is connected to an output shaft 248 of a motor 246, and rotated by energization of the motor 246. The pressing rods 242 are vertically provided at predetermined positions along the circumferential direction of a ring-shaped support frame 258 rotatably mounted through a bearing 256 on the lower end of a slider 254. The slider 254 is vertically movable by actuation of a cylinder 252, with a guide, fixed to a support 250 surrounding the motor 246. With this construction, the pressing rods 242 are vertically movable by the actuation of the cylinder 252, and, in addition, upon the holding of the substrate W, the pressing rods 242 are rotated integrally with the housing 70.


[0144] The support 250 is mounted on a slide base 262 which is engaged with a ball screw 261 and vertically movable by the ball screw 261 rotated by energization of the motor 260. The support 250 is surrounded by an upper housing 264, and is vertically movable together with the upper housing 264 by energization of the motor 260. Further, a lower housing 257 for surrounding the housing 70 during plating is mounted on the upper surface of the plating container 50.


[0145] With this construction, as shown in FIG. 10, maintenance can be performed in such a state that the support 250 and the upper housing 264 are raised. A crystal of the plating solution is likely to deposit on the inner circumferential surface of the weir member 58. However, the support 250 and the upper housing 264 are raised, a large amount of the plating solution is flowed and overflows the weir member 58, and hence the crystal of the plating solution is prevented from being deposited on the inner circumferential surface of the weir member 58. A cover 50b for preventing the splash of the plating solution is integrally provided in the plating container 50 to cover a portion above the plating solution which overflows during plating process. By coating an ultra-water-repellent material such as HIREC (manufactured by NTT Advance Technology) on the lower surface of the cover 50b for preventing the splash of the plating solution, the crystal of the plating solution can be prevented from being deposited on the lower surface of the cover 50b.


[0146] Substrate centering mechanisms 270 located above the substrate holding member 72 of the housing 70 for performing centering of the substrate W, are provided at four places along the circumferential direction in this embodiment. FIG. 14 shows the substrate centering mechanism 270 in detail. The substrate centering mechanism 270 comprises a gate-like bracket 272 fixed to the housing 70, and a positioning block 274 disposed within the bracket 272. This positioning block 274 is swingably mounted through a support shaft 276 horizontally fixed to the bracket 272. Further, a compression coil spring 278 is interposed between the housing 70 and the positioning block 274. Thus, the positioning block 274 is urged by the compression coil spring 278 so that the positioning block 274 rotates about the support shaft 276 and the lower portion of the positioning block 274 projects inwardly. The upper surface 274a of the positioning block 274 serves as a stopper, and is brought into connect with the lower surface 272a of the bracket 272 to restrict the movement of the positioning block 274. Further, the positioning block 274 has a tapered inner surface 274b which is widened outward in the upward direction.


[0147] With this construction, a substrate is held by the hand of a transfer robot or the like, is carried into the housing 70, and is placed on the substrate holding member 72. In this case, when the center of the substrate deviates from the center of the substrate holding member 72, the positioning block 274 is rotated outwardly against the urging force of the compression coil spring 278 and, upon the release of holding of the substrate from the hand of the transfer robot or the like, the positioning block 274 is returned to the original position by the urging force of the compression coil spring 278. Thus, the centering of the substrate can be carried out.


[0148]
FIG. 15 shows a feeding contact (a probe) 77 for feeding power to a cathode electrode plate 208 of a contact 76 for a cathode electrode. This feeding contact 77 is composed of a plunger and is surrounded by a cylindrical protective member 280 extending to the cathode electrode plate 208, whereby the feeding contact 77 is protected against the plating solution.


[0149] The plating operation of the first plating unit (electroplating device) 30 will now be described.


[0150] First, when transferring the substrate to the plating unit 30, the attracting hand of the transfer robot 28b shown in FIGS. 4 and 5 and the substrate W attracted and held with its front surface facing downward by the attracting hand are inserted into the housing 70 through an opening 94, and the attracting hand is then moved downward. Thereafter, the vacuum attraction is released to place the substrate W on the substrate holder 72. The attracting hand is then moved upward and withdrawn from the housing 70. Thereafter, the pressure ring 240 is lowered down to the peripheral portion of the substrate W so as to hold the substrate W between the substrate holder 72 and the lower surface of the pressure ring 240.


[0151] The plating solution 45 is then jetted from the plating solution jet nozzles 53 while, at the same time, the housing 70 and the substrate W held by it are allowed to rotate at a middle speed. When the plating bath is charged with a predetermined amount of plating solution 45, and further after an elapse of several seconds, the rotational speed of the housing 70 is decreased to a slow rotation (e.g. 100 min−1). Then, electroplating is carried out by passing an electric current between the anode 48 and the plating surface of the substrate as a cathode.


[0152] After the application of the electric current, as shown in FIG. 13D, the feed of the plating solution is decreased so that the plating solution is allowed to flow out only through the through hole 224 for liquid level control positioned above the plating solution jet nozzles 53, thereby exposing the housing 70, together with the substrate W held by it, above the surface of the plating solution. The housing 70 and the substrate W, positioned above the solution surface, are allowed to rotate at a high speed (e.g. 500-800 min−1) to drain off the plating solution by the action of centrifugal force. After completion of the draining, the rotation of the housing 70 is stopped so that the housing 70 stops facing at a predetermined direction.


[0153] After the housing 70 comes to a complete stop, the pressure ring 240 is moved upward. Thereafter, the attracting hand of the transfer robot 28b is inserted, with its attracting face downward, into the housing 70 through the opening 94 and is then lowered to a position at which the attracting hand can attract the substrate. After attracting the substrate by vacuum attraction, the attracting hand is moved upward to the position of the opening 94 of the housing 70, and is withdrawn, together with the substrate held by the hand, through the opening 94.


[0154] According to the plating unit 30, the head section 47 can be designed to be compact and structurally simple. Further, the plating can be carried out when the surface of the plating solution 45 in the plating treatment bath 46 is at the plating level, and the draining and the transfer of the substrate can be conducted when the surface of the plating solution is at the substrate-transfer level. Moreover, the black film formed on the surface of the anode 48 can be prevented from being dried and oxidized.


[0155]
FIGS. 16 through 21 show an electroplating apparatus for forming the first plating unit 30. The plating apparatus (electroplating apparatus) 30, as shown in FIG. 16, is provided with a substrate treatment section 2-1 for performing plating treatment and its attendant treatment, and a plating solution tray 2-2 for storing a plating solution is disposed adjacent to the substrate treatment section 2-1. There is also provided an electrode arm portion 2-6 having an electrode portion 2-5 which is held at the front end of an arm 2-4 swingable about a rotating shaft 2-3 and which is swung between the substrate treatment section 2-1 and the plating solution tray 2-2.


[0156] Furthermore, a precoating and recovery arm 2-7, and fixed nozzles 2-8 for ejecting pure water or a chemical liquid such as ion water, and further a gas or the like toward a substrate are disposed laterally of the substrate treatment section 2-1. In this case, three of the fixed nozzles 2-8 are disposed, and one of them is used for supplying pure water. The substrate treatment section 2-1, as shown in FIGS. 17 and 18, has a substrate holding portion 2-9 for holding a substrate W with its surface to be plated facing upward, and a cathode portion 2-10 located above the substrate holding portion 2-9 so as to surround a peripheral portion of the substrate holding portion 2-9. Further, a substantially cylindrical bottomed cup 2-11 surrounding the periphery of the substrate holding portion 2-9 for preventing scatter of various chemical liquids used during treatment is provided so as to be vertically movable by an air cylinder 2-12.


[0157] The substrate holding portion 2-9 is adapted to be raised and lowered by the air cylinder 2-12 among a lower substrate transfer position A, an upper plating position B, and a pretreatment and cleaning position C intermediate between these positions. The substrate holding portion 2-9 is also adapted to rotate at an arbitrary acceleration and an arbitrary velocity integrally with the cathode portion 2-10 by a rotating motor 2-14 and a belt 2-15. A substrate carry-in and carry-out opening (not shown) is provided in confrontation with the substrate transfer position A in a frame side surface of the electroplating apparatus facing the transferring robot 28a (see FIGS. 4 and 5). When the substrate holding portion 2-9 is raised to the plating position B, a seal member 2-16 and a cathode electrode 2-17 of the cathode portion 2-10 are brought into contact with the peripheral edge portion of the substrate W held by the substrate holding portion 2-9. On the other hand, the cup 2-11 has an upper end located below the substrate carry-in and carry-out opening, and when the cup 2-11 ascends, the upper end of the cup 2-11 reaches a position above the cathode portion 2-10, as shown by imaginary lines in FIG. 18.


[0158] When the substrate holding portion 2-9 has ascended to the plating position B, the cathode electrode 2-17 is pressed against the peripheral edge portion of the semiconductor substrate W held by the substrate holding portion 2-9 for thereby allowing electric current to pass through the semiconductor substrate W. At the same time, an inner peripheral end portion of the seal member 2-16 is brought into contact with an upper surface of the peripheral edge of the semiconductor substrate W under pressure to seal its contact portion in a watertight manner. As a result, the plating solution supplied onto the upper surface of the semiconductor substrate W is prevented from seeping from the end portion of the semiconductor substrate W, and the plating solution is prevented from contaminating the cathode electrode 2-17.


[0159] As shown in FIG. 19, an electrode portion 2-5 of the electrode arm portion 2-6 has a housing 2-18 at a free end of a swing arm 2-4, a hollow support frame 2-19 surrounding the housing 2-18, and an anode 2-20 fixed by holding the peripheral edge portion of the anode 2-20 between the housing 2-18 and the support frame 2-19. The anode 2-20 covers an opening portion of the housing 2-18, and a suction chamber 2-21 is formed inside the housing 2-18. Further, as shown in FIGS. 20 and 21, a plating solution introduction pipe 2-28 and a plating solution discharge pipe (not shown) for introducing and discharging the plating solution are connected to the suction chamber 2-21. Further, many passage holes 2-20b communicating with regions above and below the anode 2-20 are provided over the entire surface of the anode 2-20.


[0160] In this embodiment, a plating solution impregnated material 2-22 comprising a water retaining material and covering the entire surface of the anode 2-20 is attached to the lower surface of the anode 2-20. The plating solution impregnated material 2-22 is impregnated with the plating solution to wet the surface of the anode 2-20, thereby preventing a black film from falling onto the plated surface of the substrate, and simultaneously facilitating escape of air to the outside when the plating solution is poured between the surface, to be plated, of the substrate and the anode 2-20. The plating solution impregnated material 2-22 comprises, for example, a woven fabric, nonwoven fabric, or sponge-like structure comprising at least one material of polyethylene, polypropylene, polyester, polyvinyl chloride, Teflon, polyvinyl alcohol, polyurethane, and derivatives of these materials, or comprises a porous ceramics.


[0161] Attachment of the plating solution impregnated material 2-22 to the anode 2-20 is performed in the following manner: That is, many fixing pins 2-25 each having a head portion at the lower end are arranged such that the head portion is provided in the plating solution impregnated material 2-22 so as not to be releasable upward and a shaft portion of the fixing pin 2-25 pierces the interior of the anode 2-20, and the fixing pins 2-25 are urged upward by U-shaped leaf springs 2-26, whereby the plating solution impregnated material 2-22 is brought in close contact with the lower surface of the anode 2-20 by the resilient force of the leaf springs 2-26 and is attached to the anode 2-20. With this arrangement, even when the thickness of the anode 2-20 gradually decreases with the progress of plating, the plating solution impregnated material 2-22 can be reliably brought in close contact with the lower surface of the anode 2-20. Thus, it can be prevented that air enters between the lower surface of the anode 2-20 and the plating solution impregnated material 2-22 to cause poor plating.


[0162] Incidentally, columnar pins made of PVC (polyvinyl chloride) or PET (polyethylene terephthalate) and having a diameter of, for example, about 2 mm may be arranged from the upper surface side of the anode so as to pierce the anode, and an adhesive may be applied to the front end surface of each of the pins projecting from the lower surface of the anode to fix the anode to the plating solution impregnated material. The anode and the plating solution impregnated material may be used in contact with each other, but it is also possible to provide a gap between the anode and the plating solution impregnated material, and perform plating treatment while holding the plating solution in the gap. This gap is selected from a range of 20 mm or less, but is preferably selected from a range of 0.1 to 10 mm, and more preferably 1 to 7 mm. Particularly, when a soluble anode is used, the anode is dissolved from its lower portion. Thus, as time passes, the gap between the anode and the plating solution impregnated material enlarges and forms a gap in the range of 0 to about 20 mm.


[0163] The electrode portion 2-5 descends to such a degree that when the substrate holding portion 2-9 is located at the plating position B (see FIG. 18), the gap between the substrate W held by the substrate holding portion 2-9 and the plating solution impregnated material 2-22 reaches about 0.1 to 10 mm, preferably 0.3 to 3 mm, and more preferably about 0.5 to 1 mm. In this state, the plating solution is supplied from a plating solution supply pipe to be filled between the upper surface (surface to be plated) of the substrate W and the anode 2-20 while the plating solution impregnated material 2-22 is impregnated with the plating solution. The surface, to be plated, of the substrate W is plated by applying a voltage from a power source to between the upper surface (surface to be plated) of the substrate W and the anode 2-20.


[0164] The plating treatment carried out in the plating unit (electroplating apparatus) 30 will now be described.


[0165] First, a substrate W is transferred by the transfer robot 28b (see FIGS. 4 and 5) to the substrate holder 2-9 in the substrate-transfer position A and placed on the substrate holder 2-9. The cup 2-11 is then raised and, at the same time, the substrate holder 2-9 is raised to the pretreatment/cleaning position C. The precoating/recovering arm 2-7 in the retreat position is moved to a position where the precoating/recovering arm 2-7 faces the substrate W, and a precoating solution, comprising e.g. a surfactant, is intermittently ejected from a precoating nozzle provided at the end of the precoating/recovering arm 2-7 onto the plating surface of the substrate W. The precoating is carried out while rotating the substrate holder 2-9, so that the precoating solution can spread over the entire surface of the substrate W. After completion of the precoating, the precoating/recovering arm 2-7 is returned to the retreat position, and the rotating speed of the substrate holder 2-9 is increased to scatter by centrifugal force the precoating solution on the plating surface of the substrate W to thereby dry the substrate.


[0166] Subsequently, the electrode arm section 2-6 is swung horizontally so that the electrode portion 2-5 moves from above the plating solution tray 2-2 to above a position for plating, and then the electrode portion 2-5 is lowered toward the cathode portion 2-10. After the electrode portion 2-5 has reached the plating position, a plating voltage is applied between the anode 2-20 and the cathode portion 2-10, while a plating solution is fed into the electrode portion 2-5 and supplied to the plating solution impregnated material 2-22 through a plating solution supply slot penetrating the anode 2-20. At this time, the plating solution impregnated material 2-22 is not in contact with but close to the plating surface of the substrate W generally at a distance of about 0.1 to 10 mm, preferably about 0.3 to 3 mm, more preferably about 0.5 to 1 mm.


[0167] When the supply of the plating solution is continued, the plating solution containing copper ions, oozing out of the plating solution impregnated material 2-22, comes to fill the interstice between the plating solution impregnated material 2-22 and the plating surface of the substrate W, whereupon Cu plating of the plating surface of the substrate W starts. At this time, the substrate holder 2-9 may be rotated at a low speed.


[0168] After completion of the plating treatment, the electrode arm section 2-6 is raised and then swung so that the electrode portion 2-5 is returned to above the plating solution tray 2-2, and the electrode portion 2-5 is then lowered to the normal position. Next, the precoating/recovering arm 2-7 is moved from the retreat position to the position where the arm faces the substrate W. The arm 2-7 is then lowered, and the plating solution remaining on the substrate W is recovered through a plating solution-recovering nozzle (not shown). After completion of the recovery of the remaining plating solution, the precoating/recovering arm 2-7 is returned to the retreat position. Thereafter, pure water is ejected toward the center of the substrate W and, at the same time, the substrate holder 2-9 is rotated at a high speed, thereby replacing the plating solution on the surface of the substrate W with pure water.


[0169] After the above rinsing treatment, the substrate holder 2-9 is lowered from the plating position B to the pretreatment/cleaning position C, where water-washing of the substrate is carried out by supplying pure water from the fixed nozzle 2-8 for pure water supply while rotating the substrate holder 2-9 and the cathode portion 2-10. In this treatment, the sealing member 2-16 and the cathode electrode 2-17 can also be cleaned, simultaneously with the substrate W, by the pure water supplied directly to the cathode portion 2-10 or by the pure water scattered from the surface of the substrate W.


[0170] After completion of the water-washing, the supply of pure water from the fixed nozzle 2-8 is stopped, and the rotating speed of the substrate holder 2-9 and the cathode portion 2-10 is increased to scatter by centrifugal force the pure water on the surface of the substrate W to thereby dry the substrate. Simultaneously therewith, the sealing member 2-16 and the cathode electrode 2-17 can also be dried. After the drying, the rotation of the substrate holder 2-9 and the cathode portion 2-10 is stopped, and the substrate holder 2-9 is lowered to the substrate-transfer position A.


[0171]
FIG. 22 shows an electroless plating apparatus comprises a second plating unit 38 which is shown in FIGS. 4 and 5. In this example, the first plating unit 30 is composed of an electroplating apparatus, but the first plating unit 30 may be composed of this electoroless plating apparatus. This second plating unit (electroless plating apparatus) 38 comprises holding means 311 for holding a substrate W on its upper surface, a dam member 331 for contacting a peripheral edge portion of a surface to be plated (upper surface) of the substrate W held by the holding means 311 to seal the peripheral edge portion, and a shower head 341 for supplying a plating solution (an electroless plating solution) to the plating surface of the substrate W having the peripheral edge portion sealed with the dam member 331. The electroless plating apparatus further comprises cleaning liquid supply means 351 disposed near an upper outer periphery of the holding means 311 for supplying a cleaning liquid to the plating surface of the semiconductor substrate W, a recovery vessel 361 for recovering a cleaning liquid or the like (plating waste liquid) discharged, a plating solution recovery nozzle 365 for sucking in and recovering the plating solution held on the substrate W, and a motor (rotational drive means) M for rotationally driving the holding means 311.


[0172] The holding means 311 has a substrate placing portion 313 on its upper surface for placing and holding the substrate W. The substrate placing portion 313 is adapted to place and fix the substrate W. Specifically, the substrate placing portion 313 has a vacuum attracting mechanism (not shown) for attracting the substrate W on a backside thereof by vacuum suction. A backside heater (heating means) 315, which is planar and heats the plating surface of the substrate W from underside to keep it warm, is installed on the backside of the substrate placing portion 313. The backside heater 315 is composed of, for example, a rubber heater. This holding means 311 is adapted to be rotated by the motor M and is movable vertically by lifting means (not shown).


[0173] The dam member 331 is cylindrical, has a seal portion 333 provided in a lower portion thereof for sealing the outer peripheral edge of the substrate W, and is installed so as not to move vertically from the illustrated position.


[0174] The shower head 341 is of a structure having many nozzles provided at the front end for scattering the supplied plating solution in a shower form and supplying it substantially uniformly to the plating surface of the substrate W. The cleaning liquid supply means 351 has a structure for ejecting a cleaning liquid from a nozzle 353.


[0175] The plating solution recovery nozzle 365 is adapted to be movable upward and downward and swingable, and the front end of the plating solution recovery nozzle 365 is adapted to be lowered inwardly of the dam member 331 located on the upper surface peripheral edge portion of the substrate W and to suck in the plating solution on the substrate W.


[0176] Next, the operation of the electroless plating apparatus will be described. First, the holding means 311 is lowered from the illustrated state to provide a gap of a predetermined dimension between the holding means 311 and the dam member 331, and the substrate W is placed on and fixed to the substrate placing portion 313. A 6-inch wafer, an 8-inch wafer, or a 12-inch wafer, for example, is used as the semiconductor substrate W.


[0177] Then, the holding means 311 is raised to bring its upper surface into contact with the lower surface of the dam member 331 as illustrated in FIG. 22, and the outer periphery of the substrate W is sealed with the seal portion 333 of the dam member 331. At this time, the surface of the substrate W is in an open state.


[0178] Then, the substrate W itself is directly heated by the backside heater 315, while the plating solution is ejected from the shower head 341 to pour the plating solution over substantially the entire surface of the substrate W. At this time, the plating solution may be heated to control the temperature of the plating solution. Since the surface of the substrate W is surrounded by the dam member 331, the poured plating solution is all held on the surface of the substrate W. The amount of the supplied plating solution may be a small amount which will become a 1 mm thickness (about 30 ml) on the surface of the substrate W. The depth of the plating solution held on the surface to be plated may be 10 mm or less, and may be even 1 mm as in this embodiment. If a small amount of the supplied plating solution is sufficient, the heating apparatus for heating the plating solution may be of a small size.


[0179] If the substrate W itself is adapted to be heated, the temperature of the plating solution requiring great power consumption for heating need not be raised so high. This is preferred, because power consumption can be decreased, and a change in the property of the plating solution can be prevented. Power consumption for heating of the substrate W itself may be small, and the amount of the plating solution stored on the substrate W is also small. Thus, heat retention of the substrate W by the backside heater 315 can be performed easily, and the capacity of the backside heater 315 may be small, and the apparatus can be made compact. If means for directly cooling the substrate W itself is used, switching between heating and cooling may be performed during plating to change the plating conditions. Since the plating solution held on the substrate is in a small amount, temperature control can be performed with good sensitivity. The whole unit may comprise box-like structure, and an atmosphere of the interior of the unit may be controlled at a predetermined temperature in the range of 70-80° C., for example.


[0180] The substrate W is instantaneously rotated by the motor M to perform uniform liquid wetting of the surface to be plated, and then plating of the surface to be plated is performed in such a state that the substrate W is in a stationary state. Specifically, the substrate W is rotated at 100 rpm or less for only 1 second to uniformly wet the surface, to be plated, of the substrate W with the plating solution. Then, the substrate W is kept stationary, and electroless plating is performed for 1 minute. The instantaneous rotating time is 10 seconds or less at the longest.


[0181] After completion of the plating treatment, the front end of the plating solution recovery nozzle 365 is lowered to an area near the inside of the dam member 331 on the peripheral edge portion of the substrate W to suck in the plating solution. At this time, if the substrate W is rotated at a rotational speed of, for example, 100 rpm or less, the plating solution remaining on the substrate W can be gathered in the portion of the dam member 331 on the peripheral edge portion of the substrate W under centrifugal force, so that recovery of the plating solution can be performed with a good efficiency and a high recovery rate. The holding means 311 is lowered to separate the substrate W from the dam member 331. The substrate W is started to be rotated, and the cleaning liquid (ultrapure water) is jetted at the plated surface of the substrate W from the nozzle 353 of the cleaning liquid supply means 351 to cool the plated surface, and simultaneously perform dilution and cleaning, thereby stopping the electroless plating reaction. At this time, the cleaning liquid jetted from the nozzle 353 maybe supplied to the dam member 331 to perform cleaning of the dam member 331 at the same time. The plating waste solution at this time is recovered into the recovery vessel 361 and discarded.


[0182] The plating solution once used is not reused, but thrown away. As stated above, the amount of the plating solution used in this apparatus can be made very small, compared with that in the prior art. Thus, the amount of the plating solution which is discarded is small, even without reuse. In some cases, the plating solution recovery nozzle 365 may not be installed, and the plating solution which has been used may be recovered as a plating waste solution into the recovery vessel 361, together with the cleaning liquid.


[0183] Then, the substrate W is rotated at a high speed by the motor M for spin-drying, and then the substrate W is removed from the holding means 311.


[0184]
FIG. 23 is a schematic constitution drawing of another electroless plating apparatus composed of the second plating unit 38 (and the first plating unit 30) shown in FIG. 4. The example of FIG. 23 is different from the aforementioned elecroless plating apparatus shown in FIG. 22 in that instead of providing the backside heater 315 in the holding means 311, lamp heaters 317 are disposed above the holding means 311, and the lamp heaters 317 and a shower head 341-2 are integrated. For example, a plurality of ring-shaped lamp heaters 317 having different radii are provided concentrically, and many nozzles 343-2 of the shower head 341-2 are open in a ring form from the gaps between the lamp heaters 317. The lamp heaters 317 may be composed of a single spiral lamp heater, or may be composed of other lamp heaters of various structures and arrangements. A temperature sensor may be installed on the surface of the substrate, thereby the temperature of the substrate may be controlled by turning on and off the lamp heaters.


[0185] Even with this constitution, the plating solution can be supplied from each nozzle 343-2 to the surface, to be plated, of the substrate W substantially uniformly in a shower form. Further, heating and heat retention of the substrate W can be performed by the lamp heaters 317 directly uniformly. The lamp heaters 317 heat not only the substrate Wand the plating solution, but also ambient air, thus exhibiting a heat retention effect on the substrate W.


[0186] Direct heating of the substrate W by the lamp heaters 317 requires the lamp heaters 317 with relatively large power consumption. In place of such lamp heaters 317, lamp heaters 317 with relatively small power consumption and the backside heater 315 shown in FIG. 22 may be used in combination to heat the substrate W mainly with the backside heater 315 and to perform heat retention of the plating solution and ambient air mainly by the lamp heaters 317. In the same manner as in the aforementioned embodiment, means for directly or indirectly cooling the substrate W may be provided to perform temperature control.


[0187]
FIG. 24 shows an example of a CMP apparatus for use as the polishing units 24a, 24b shown in FIGS. 4 and 5. The polishing apparatuses (CMP devices) 24a, 24b each comprise a polishing table 422 having a polishing surface composed of a polishing cloth (polishing pad) 420 which is attached to the upper surface of the polishing table 422, and a top ring 424 for holding a substrate W with its to-be-polished surface facing the polishing table 422. Polishing of the surface of the substrate W is carried out by rotating the polishing table 422 and the top ring 424 respectively, and supplying an abrasive liquid from an abrasive liquid nozzle 426 provided above the polishing table 422 while pressing the substrate W against the polishing cloth 420 of the polishing table 422 at a given pressure by means of the top ring 424. When polishing the protective film 20 (see FIG. 2A), CMP processing is carried out by using, as the abrasive liquid supplied from the abrasive liquid supply nozzle 426, e.g. a slurry containing an oxidizing agent and abrasive grains, and using the polishing cloth (polishing pad) 420 of e.g. a nonwoven fabric, a sponge or a resin material such as foamed polyurethane. The protective film 20 is polished by the abrasive grains in the slurry which have been oxidized by the oxidizing agent. It is possible to use as the polishing pad a fixed-grain type of pad containing fixed abrasive grains.


[0188] The polishing power of the polishing surface of the polishing cloth 420 decreases with a continuous polishing operation of the CMP apparatus. In order to restore the polishing power, a dresser 428 is provided to conduct dressing of the polishing cloth 420, for example, at the time of changing the substrate W. In the dressing, while rotating the dresser 428 and the polishing table 422 respectively, the dressing surface (dressing member) of the dresser 428 is pressed against the polishing cloth 420 of the polishing table 422, thereby removing the abrasive liquid and chips adhering to the polishing surface and, at the same time, flattening and dressing the polishing surface, whereby the polishing surface is regenerated. The polishing table 422 may be provided with a monitor for monitoring the surface state of a substrate to detect in situ the end point of polishing, or with a monitor for inspecting in situ the finish state of a substrate.


[0189]
FIGS. 25 and 26 show the copper film thickness inspection unit 32 shown in FIGS. 4 and 5 provided with a reversing machine. As shown in the FIGS. 4 and 5, the copper film thickness inspection unit 32 is provided with a reversing machine 439. The reversing machine 439 includes reversing arms 453, 453. The reversing arms 453, 453 put a substrate W therebetween and hold its outer periphery from right and left sides, and rotate the substrate W through 180°, thereby turning the substrate over. A circular mounting base 455 is disposed immediately below the reversing arms 453, 453 (reversing stage), and a plurality of film thickness sensors S are provided on the mounting base 455. The mounting base 455 is adapted to be movable upward and downward by a drive mechanism 457.


[0190] During reversing of the substrate W, the mounting base 455 waits at a position, indicated by solid lines, below the substrate W. Before or after reversing, the mounting base 455 is raised to a position indicated by dotted lines to bring the film thickness sensors S close to the substrate W gripped by the reversing arms 453, 453, thereby measuring the film thickness.


[0191] According to this example, since there is no restriction such as the arms of the transfer robot, the film thickness sensors S can be installed at arbitrary positions on the mounting base 455. Further, the mounting base 455 is adapted to be movable upward and downward, so that the distance between the substrate W and the sensors S can be adjusted at the time of measurement. It is also possible to mount plural types of sensors suitable for the purpose of detection, and change the distance between the substrate W and the sensors each time measurements are made by the respective sensors. However, the mounting base 455 moves upward and downward, thus requiring certain measuring time.


[0192] An eddy current sensor, for example, may be used as the film thickness sensor S. The eddy current sensor measures a film thickness by generating an eddy current and detecting the frequency or loss of the current that has returned through a substrate W, and is used in a non-contact manner. An optical sensor may also be suitable for the film thickness sensor S. The optical sensor irradiates a light onto a sample, and measures a film thickness directly based on information on the reflected light. The optical sensor can measure a film thickness not only for a metal film but also for an insulating film such as an oxide film. Places for setting the film thickness sensor S are not limited to those shown in the drawings, but the sensor may be set at any desired places for measurement in any desired numbers.


[0193]
FIG. 27 is a schematic view of the cleaning unit 39 provided with FIGS. 4 and 5. The cleaning unit 39, which is a scrub cleaning unit, includes a plurality of rollers 9-1 for holding and rotating a substrate W in a horizontal plane, a pair of sponge rolls 9-2, 9-2 made of e.g. PVA, and a cleaning liquid nozzle 9-4 for jetting a cleaning liquid. While holding and rotating the substrate W by the rollers 9-1 and jetting a cleaning liquid from the cleaning liquid nozzle 9-4 toward the front and back surfaces of the substrate W, the front and back surfaces of the substrate W are scrubbed with the sponge rolls 9-2, 9-2. As the cleaning liquid to be jetted from the cleaning liquid nozzle 9-4 may be used an alkaline surfactant, such as CS-10 (Wako Pure Chemical Industries, Ltd.) and KS-3700 (Kao Corporation). The use of such a surfactant can facilitate cleaning of the surface of the substrate so that copper contamination on the insulating film 2 shown in FIG. 1C is reduced to 105 atoms/cm2 or less.


[0194]
FIGS. 28 and 29 show the annealing unit 814 provided with FIG. 5. The annealing unit 814 comprises a chamber 1002 having a gate 1000 for taking in and taking out the semiconductor substrate W, a hot plate 1004 disposed at an upper position in the chamber 1002 for heating the semiconductor substrate W to e.g. 400° C., and a cool plate 1006 disposed at a lower position in the chamber 1002 for cooling the semiconductor substrate W by, for example, flowing cooling water inside the plate. The annealing unit 814 also has a plurality of vertically movable elevating pins 1008 penetrating the cool plate 1006 and extending upward and downward therethrough for placing and holding the semiconductor substrate W on them. The annealing unit further includes a gas introduction pipe 1010 for introducing an antioxidant gas between the semiconductor substrate W and the hot plate 1004 during annealing, and a gas discharge pipe 1012 for discharging the gas which has been introduced from the gas introduction pipe 1010 and flowed between the semiconductor substrate W and the hot plate 1004. The pipes 1010 and 1012 are disposed on the opposite sides of the hot plate 1004.


[0195] The gas introduction pipe 1010 is connected to a mixed gas introduction line 1022 which in turn is connected to a mixer 1020 where a N2 gas introduced through a N2 gas introduction line 1016 containing a filter 1014a, and a H2 gas introduced through a H2 gas introduction line 1018 containing a filter 1014b, are mixed to form a mixed gas which flows through the line 1022 into the gas introduction pipe 1010.


[0196] In operation, the semiconductor substrate W, which has been carried in the chamber 1002 through the gate 1000, is held on the elevating pins 1008 and the elevating pins 1008 are raised up to a position at which the distance between the semiconductor substrate W held on the lifting pins 1008 and the hot plate 1004 becomes e.g. 0.1-1.0 mm. In this state, the semiconductor substrate W is then heated to e.g. 400° C. through the hot plate 1004 and, at the same time, the antioxidant gas is introduced from the gas introduction pipe 1010 and the gas is allowed to flow between the semiconductor substrate W and the hot plate 1004 while the gas is discharged from the gas discharge pipe 1012, thereby annealing the semiconductor substrate W while preventing its oxidation. The annealing treatment may be completed in about several tens of seconds to 60 seconds. The heating temperature of the substrate may be selected in the range of 100-600°0 C.


[0197] After the completion of the annealing, the elevating pins 1008 are lowered down to a position at which the distance between the semiconductor substrate W held on the elevating pins 1008 and the cool plate 1006 becomes e.g. 0-0.5 mm. In this state, by introducing a cooling water into the cool plate 1006, the semiconductor substrate W is cooled by the cool plate 1006 to a temperature of 100° C. or lower in e.g. 10-60 seconds. The cooled semiconductor substrate is sent to the next step.


[0198] A mixed gas of N2 gas with several % of H2 gas is used as the above antioxidant gas. However, N2 gas may be used singly.


[0199] A series of process steps for forming copper interconnects in the substrate shown in FIG. 1A, having a seed layer 6 in the surface, by means of the semiconductor device manufacturing apparatus will now be described with reference to FIG. 6.


[0200] First, substrates W having a seed layer 6 formed in the surface are taken one by one by the transfer robot 28a out of the substrate cassettes 26a, 26b, and transferred to the first plating unit 30. In the first plating unit 30, as shown in FIG. 1B, a copper film 7 is deposited on the surface of the substrate W, thereby effecting embedding of copper. Prior to the deposition of copper film 7, the substrate W is subjected to a treatment for making the surface hydrophilic. Copper plating is then carried out to deposit the copper film 7. After the formation of copper film 7, rinsing or cleaning of the substrate is carried out in the copper plating unit 30. When time permits, drying of the substrate may also be carried out.


[0201] In the case of the apparatus provided with the annealing unit 814 shown in FIG. 5, the substrate W with copper embedded therein is transferred to the annealing unit 814, where heat treatment (annealing) of copper is carried out.


[0202] The substrate W with copper embedded therein, which has undergone the heat-treatment (annealing) according to necessity, is transferred to the copper film thickness inspection unit 32, where the film thickness of copper film 7 is measured. After reversing the substrate by means of the reversing machine according to necessity, the substrate is transferred by the transfer robot 28b onto the pusher 42 of the first polishing unit 24a.


[0203] In the first polishing unit 24a, the substrate W on the pusher 42 is attracted and held by the top ring 424, and transferred to above the polishing table 422. The top ring 424 is then lowered so as to press the to-be-polished surface of the substrate W against the polishing cloth 420 of the rotating polishing table 422 at a given pressure, while an abrasive liquid is supplied onto the substrate, thereby carrying out polishing of the surface of the substrate W. As polishing condition, in the case of polishing a copper film 7 formed on the substrate W, a slurry for exclusive use for copper polishing may be used as the abrasive liquid. When the surface to be polished has irregularities, it is known to be effective to carry out polishing under the conditions of a relatively low pressure and a relatively high rotational speed. Such polishing, however, involves a lowering of the processing rate. It may, therefore, be appropriate to carry out a multi-step polishing, for example a two-step polishing comprising: a first polishing carried out at a top ring pressure of e.g. 40 kPa and a top ring rotating speed of e.g. 70 min−1 for a certain time; and a second polishing carried out at a top ring pressure of e.g. 20 kPa and a top ring rotating speed of e.g. 50 min−1 for a certain time. Such a multi-step polishing may achieve flattening of the substrate surface with a good total efficiency.


[0204] The polishing is terminated e.g. when the end point is detected by the monitor for inspecting the finish of a substrate. The polished substrate W is returned by the top ring 424 onto the pusher 42, where the substrate is cleaned with a spray of pure water. Thereafter, the substrate is transferred by the transfer robot 28b to the cleaning unit 39, where the substrate is cleaned e.g. with a sponge roll. The interconnects (copper interconnects) 8 shown in FIG. 1C, consisting of the seed layer 6 and the copper film 7, are thus formed in the insulating film 2. The cleaning should be carried out so that copper contamination on the insulating film 2 shown in FIG. 1C is reduced to 5×105 atoms/cm2 or less.


[0205] Next, the substrate W is transferred to the pre-plating treatment unit 34, where pretreatments of the substrate, such as a Pd catalyst-imparting treatment and an oxide film removal treatment, are carried out. The thus treated substrate is then transferred to the second plating unit 38. In the second plating unit 38, electroless Co—W—P plating, for example, is carried out to the polished surface of the substrate W to thereby form, as shown in FIG. 2A, the protective film (plated film) 20 of a Co—W—P alloy selectively on the exposed surface of copper interconnects 8 to protect the interconnects 8. The thickness of the protective film 20 is generally 0.1 to 500 nm, preferably 1 to 200 nm, more preferably 10 to 100 nm.


[0206] After completion of the electroless plating, the substrate W is spin-dried by rotating the substrate at a high speed. Thereafter, the substrate W is taken out of the second plating unit 38, and transferred to the second polishing unit 24b via the pusher 42. In the second polishing unit 24b, as in the first polishing unit 24a, the substrate W is attracted and held by the top ring 424 and transferred to above the polishing table 422, and then the top ring 424 is lowered so as to press the to-be-polished surface of the substrate W against the polishing close 420 of the rotating polishing table 422 at a given pressure, while an abrasive liquid is supplied onto the substrate, thereby carrying out polishing of the surface of the substrate W. The surface of the protective film 20 is thus flattened by polishing, as shown in FIG. 2B. In the polishing, a slurry containing e.g. an oxidizing agent and abrasive grains is used as an abrasive liquid, and a polishing pad of e.g. a nonwoven fabric, a sponge or a resin material such as foamed polyurethane, is used. The protective film 20 is polished by the abrasive grains in the slurry which have been oxidized by the oxidizing agent. The polishing may also be carried out by using a grinding stone containing abrasive grains.


[0207] The polishing is terminated when the end point is detected by the monitor for inspecting the finish of a substrate. The polished substrate W is returned by the top ring 424 onto the pusher 42, where the substrate W is cleaned with a spray of the pure water. Thereafter, the substrate W is transferred by the transfer robot 28b to the cleaning unit 39, where the substrate is cleaned e.g. with a sponge roll. The cleaned substrate is transferred by the transfer robot 28a to the rinsing/drying device 36. After rinsing and drying the substrate W in the rinsing/drying device 36, the substrate is returned to the original place in the original cassette 26a or 26b.


[0208] Though this embodiment uses copper as an interconnect material, it is possible to use instead a copper alloy, silver or a silver alloy.



EXAMPLE 1

[0209] The substrate W, in which the embedded copper interconnects had been formed by the above-described copper plating, followed by the CMP processing, was immersed in a solution of PdCl2 (0.005 g/L) and HCl (0.2 ml/L) at 25° C. for one minute to carry out pre-plating treatment to impart palladium to the substrate. After the treatment, the surface of the substrate is cleaned. Thereafter, electroless plating was carried out for two minutes, using the electroless plating apparatus shown in FIG. 21 and using an electroless plating solution having the composition shown in Table 1 below, thereby depositing a Co—W—P alloy layer on the surface of the sample (substrate).
1TABLE 1CoSO4.7H2O(g/L)14.1Na2WO4.2H2O(g/L)48.0Na3C6H5O7.2H2O(g/L)88.0(NH4)2SO4(g/L)66.0NaH2PO2.H2O(g/L)21.2pH(−)pH = 10 with NaOH


[0210] After cleaning and drying the surface of the plated sample, polishing of the surface of protective film 20 was carried out by using the polishing apparatus shown in FIG. 24, followed by cleaning and drying. The polished sample was observed under an SEM (scanning electron microscope). FIG. 30 shows a diagram illustrating an SEM photograph of the sample. It is apparent from FIG. 30 that the protective film 20 is formed selectively on the exposed surface of interconnects (copper interconnects) 8 embedded in trenches 4 for interconnects, and that unevenness in film thickness of the protective film 20 is eliminated by polishing the surface of the protective film 20. FIG. 31 shows a diagram illustrating an SEM photograph of the same sample but before the polishing of the protective film 20.


[0211] As described hereinabove, according to this embodiment, flattening of the protective film 20, with which equalization of the film thickness is generally difficult, can eliminate unevenness of the film thickness of the protective film 20, ensuring a sufficient flatness of the surface of an interlayer dielectric film which will be formed upon formation of a multi-layer interconnect structure.


[0212] A semiconductor device according to another embodiment of the present invention will now be described with reference to FIGS. 32 and 33. FIG. 33 shows the cross-sectional structure of a semiconductor device having a two-layer embedded interconnect structure. In this embodiment, copper is used as an interconnect material. As shown in FIG. 33, fine recesses 124 for interconnects have been formed e.g. by the lithography/etching technique in an insulating film 122 of e.g. SiO2 deposited on the surface of a semiconductor base 10. A barrier layer 126 of TaN or the like has then been formed on the entire surface. A first layer of copper interconnects 128 has been formed by embedding copper in the recesses 124. The exposed surface of the copper interconnects 128 is selectively covered with an interconnects-protective film 130. Further, the entire surface is covered with a protective film 132 of SiN or the like. The first-layer interconnect structure has thus been formed.


[0213] The copper interconnects 128 can be formed by a process comprising: carrying out copper plating of the surface of the semiconductor substrate W to fill the recesses 124 with copper and, at the same time, deposit copper on the insulating film 122; polishing the surface of the substrate by chemical mechanical polishing (CMP) to remove copper and the barrier layer on the insulating film 122 so as to make the surface of the copper filled in the recesses 124 and the surface of insulating film 122 substantially on the same level.


[0214] An insulating film 134 of e.g. SiO2 has been deposited on the upper surface of the substrate having the fist-layer interconnect structure. Fine recesses 136 for interconnects, reaching to the interconnects-protective film 130, have been formed in the insulating film 134 e.g. by the lithography/etching technique. A barrier layer 138 has then been formed on the entire surface. A second layer of copper interconnects 140 has been formed by embedding copper in the recesses 136. The exposed surface of the copper interconnects is selectively covered with an interconnects-protective film 142. Further, the entire surface is covered with a protective film 144 of SiN or the like. The second-layer interconnect structure has thus been formed. As with the copper interconnects 128, the copper interconnects 140 can be formed by copper plating of the surface of the semiconductor substrate 10, followed by chemical mechanical polishing (CMP).


[0215] The interconnects-protective films 130, 142, which selectively cover the exposed surface of the copper interconnects 128, 140 and protect the interconnects 128, 140, are composed of e.g. a Co—W—B alloy having an amorphous phase and are thin films having a thickness of not more than 50 nm, preferably 10-30 nm (20 nm according to this embodiment). The interconnects-protective films 130, 142 having an amorphous phase can be formed by electroless plating. With the use of a Co—W—P alloy having an amorphous phase, it becomes possible to form continuous and uniform interconnects-protective films 130, 142, even when the film is as thin as 20 nm, without being influenced by the crystal orientation of the underlying copper interconnects 128, 140.


[0216] In this connection, when an interconnects-protective film (thin film) 20 having a crystalline phase is formed on the surface of interconnects (copper interconnects) 8 as shown in FIG. 44, under the influence of the crystal orientation of copper interconnects 8, a Co—W—B alloy crystal 20a e.g. with plane direction (111) grows (epitaxially) on a copper crystal 8a with plane direction (111), and a Co—W—B alloy crystal 20b with plane direction(222) grows (epitaxially) on a copper crystal 8b with plane direction (222). On the other hand, when an interconnects-protective film (thin film) 20 having an amorphous phase is formed on the surface of copper interconnects 8 as shown in FIG. 32, Co—W—B alloy 20c grows uniformly over e.g. copper crystal 8a with plane direction (111) and copper crystal 8b with plane direction (222) without being influenced by the crystal orientation of copper interconnects 8 which are comprised of a polycrystal film having a plurality of crystal orientations. A continuous interconnects-protective film (thin film) 20 having a uniform film thickness can thus be obtained.


[0217] By thus selectively covering the surface of copper interconnects 128, 140 with the uniform and continuous interconnects-protective films (cap material) 130, 142 having an amorphous phase without being influenced by the crystal orientation of the underlying copper interconnects 128, 140 and thereby protecting the copper interconnects 128, 140, a sufficient electromigration resistance can be obtained.


[0218] Further, the interconnects-protective films 130, 142 having an amorphous phase, unlike a crystal, has an amorphous structure with no three-dimensional regularity. An alloy of an amorphous structure is generally nonmagnetic (non-ferromagnetic). The interconnects-protective films 130, 142 can thus be nonmagnetic, which can prevent the semiconductor device from being influenced by magnetism.


[0219]
FIG. 34 shows process steps for forming the interconnects-protective films 130, 142 by electroless plating, and FIG. 35 shows the general construction of a plating apparatus for performing the electroless plating. The plating apparatus includes a loading/unloading section 150, a pre-plating treatment bath 152 for carrying out a pre-plating treatment, a plating bath 154 for carrying out a plating treatment and an activating treatment, and a transfer robot 156 for transferring a substrate between them. The pre-plating treatment bath 152 also has a cleaning function, and the plating bath 154 also has a cleaning/drying function.


[0220] First, substrates, which have undergone embedding of an electric conductor such as copper, heat treatment (annealing) of the electric conductor and a CMP processing, and which are housed in a cassette, are conveyed to the loading/unloading section 150. One substrate is taken by the transfer robot 156 out of the cassette, and transferred to the pre-plating treatment bath 152. In the pre-plating treatment bath 152, a pre-plating treatment (surface cleaning) is carried out to the surface of copper interconnects 128, 140, which will underlie a protective film, followed by water-washing. Thereafter, the treated substrate is transferred to the plating bath 154, where an activating treatment with an activating liquid is carried out, and subsequently, electroless plating is carried out to the surface of the substrate to form interconnects-protective films 130, 142, composed of a Co—W—B alloy and having an amorphous phase, selectively on the exposed surface of copper interconnects 128, 140, followed by water-washing and drying. The plated substrate is returned to the cassette in the loading/unloading section 150.


[0221] According to this embodiment, a Co—W—B alloy is used for the interconnects-protective films 130, 142. The interconnects-protective films 130, 142 composed of a Co—W—B alloy is formed by using a plating solution containing Co ions, a complexing agent, a pH buffer, a pH adjusting agent, an alkylamine borane as a reducing agent and a W-containing compound, and immersing the surface of the substrate in the plating solution.


[0222] By making the inclusion (content) of B in the plating solution 5-50 at % relative to the inclusion (content) of Co, interconnects 130, 142 of a Co—W—B alloy having an amorphous phase can be obtained. This holds also for a Co—B alloy. Inclusion of 5-50 at % P relative to Co can produce an interconnects-protective film of a Co—P or Co—W—P alloy having an amorphous phase. Further, inclusion of 5-50 at % B or P relative to Ni can produce an interconnects-protective film of a Ni—B, Ni—W—B, Ni—P or Ni—W—P alloy having an amorphous phase. The other composition of the plating solution is the same as described above.


[0223] Though in this embodiment a Co—W—B alloy is used for the interconnects-protective films 130, 142, it is possible to use instead a Co—B, Co—P, Co—W—P, Ni—B, Ni—W—B, Ni—P or Ni—W—P alloy to form interconnects-protective films compose of such an alloy. Further, instead of copper which is used in this embodiment as an interconnect material, a copper alloy, silver or a silver alloy may be employed.


[0224]
FIG. 36 shows another example of the plating apparatus. As shown in FIG. 36, the plating apparatus comprises a loading and unloading area 520 for housing wafer cassettes which accommodate semiconductor substrates, a processing area 530 for processing semiconductor substrates, and a cleaning and drying area 540 for cleaning and drying processed semiconductor substrates. The cleaning and drying area 540 is positioned between the loading and unloading area 520, and the processing area 530. A partition 521 is disposed between the loading and unloading area 520, and the cleaning and drying area 540. And a partition 523 is disposed between the cleaning and drying area 540, and the processing area 530.


[0225] The partition 521 has a passage (not shown) defined therein for transferring semiconductor wafers therethrough between the loading and unloading area 520, and the cleaning and drying area 540, and supports a shutter 522 for opening and closing the passage. The partition 523 has a passage (not shown) defined therein for transferring semiconductor substrates therethrough between the cleaning and drying area 540, and the processing area 530, and supports a shutter 524 for opening and closing the passage. The cleaning and drying area 540 and the processing area 530 can independently be supplied with and discharge air.


[0226] The plating apparatus is placed in a clean room. The pressures in the loading and unloading area 520, the processing area 530, and the cleaning and drying area 540 are selected as follows:


[0227] The pressure in the loading and unloading area 520>the pressure in the cleaning and drying area 540>the pressure in the processing area 530.


[0228] The pressure in the loading and unloading area 520 is lower than the pressure in the clean room. Therefore, air does not flow from the processing area 530 into the cleaning and drying area 540, and air does not flow from the cleaning and drying area 540 into the loading and unloading area 520. Furthermore, air does not flow from the loading and unloading area 520 into the clean room.


[0229] The loading and unloading area 520 houses a loading unit 520a and an unloading unit 520b, each accommodating a wafer cassette for storing semiconductor substrates. The cleaning and drying area 540 houses two water cleaning units 541 for processing plated semiconductor substrates, two drying units 542, and transfer unit (transfer robot) 543 for transferring the substrates. Each of the water cleaning units 541 may comprise a pencil-shaped cleaner with a sponge layer mounted on a front end thereof or a roller with a sponge layer mounted on an outer circumferential surface thereof. Each of the drying units 542 may comprise a drier for spinning a semiconductor substrate at a high speed to dehydrate and dry.


[0230] The processing area 530 houses a plurality of pretreatment chambers 531 for pretreating semiconductor substrates prior to being plated, and a plurality of plating chambers 532 for plating semiconductor substrates with copper. The processing area 530 also has a transfer unit (transfer robot) 532 for transferring semiconductor substrates.


[0231]
FIG. 37 shows air flows in the plating apparatus. In the cleaning and drying area 540, a fresh air is introduced from the exterior through a duct 546 and forced through high-performance filters 544 by fans from a ceiling 540a into the cleaning and drying area 540 as downward clean air flows around the water cleaning units 541 and the drying units 542. Most of the supplied clean air is returned from a floor 540b through a circulation duct 545 to the ceiling 540a, from which the clean air is forced again through the filters 544 by the fans into the cleaning and drying area 540. Part of the clean air is discharged from the wafer cleaning units 541 and the drying units 542 through a duct 552 out of the cleaning and drying area 540.


[0232] In the processing area 530, particles are not allowed to be applied to the surfaces of semiconductor wafers even though the processing area 530 is a wet zone. To prevent particles from being applied to semiconductor substrates, air is forced through high-performance filters 533 by fans from a ceiling 530a into the processing area 530 so as to form downward clean air flows.


[0233] If the entire amount of clean air as downward clean air flows introduced into the processing area 530 were always supplied from the exterior, then a large amount of air would be required to be introduced into and discharged from the processing area 530 at all times. Accordingly, air is discharged from the processing area 530 through a duct 553 at a rate sufficient enough to keep the pressure in the processing area 530 lower than the pressure in the cleaning and drying area 540, and most of the downward clean air introduced into the processing area 530 is circulated through circulation ducts 534, 535.


[0234] The clean air that has passed through the processing area 530 contains a chemical mist and gases, if circulation air is employed. The chemical mist and gases are removed from the circulating air by a scrubber 536 and mist separators 537, 538. The air returned into the circulation duct 534 over the ceiling 530a is free of any chemical mist and gases. The clean air is then forced through the filters 533 by the fans to circulate back into the processing area 530.


[0235] Part of the air is discharged from the processing area 530 through the duct 553 connected to a floor 530b of the processing area 530. Air containing a chemical mist and gases is also discharged from the processing area 530, through the duct 553. The amount of fresh air, which corresponds to the discharged air, is introduced from the exterior through a duct 539 of the ceiling 530a into the processing area 530 so as to maintain the pressure in the processing area 530 lower than the pressure in the cleaning and drying area 540.


[0236] As described above, the pressure in the loading and unloading area 520 is higher than the pressure in the cleaning and drying area 540 which is higher than the pressure in the processing area 530. When the shutters 522, 524 (see FIG. 36) are opened, therefore, air flows successively through the loading and unloading area 520, the cleaning and drying area 540, and the processing area 530. Air discharged flows through the ducts 552, 553 into a common duct 554, as shown in FIG. 39.


[0237]
FIG. 39 shows in perspective the plating apparatus, which is placed in the clean room. The loading and unloading area 520 includes a side wall which has a cassette transfer port 555 defined therein and a control panel 556, and which is exposed to a working zone 558 that is compartmented in the clean room by a partition wall 557. Other sidewalls of the substrate plating apparatus are exposed to the utility zone 559 whose air cleanness is lower than the air cleanness in the working zone 558.


[0238] As described above, the cleaning and drying area 540 is disposed between the loading and unloading area 520, and the processing area 530. The partition 521 is disposed between the loading and unloading area 520, and the cleaning and drying area 540. The partition 521 is disposed between the cleaning and drying area 540, and the processing area 530. A dry semiconductor substrate is loaded from the working zone 558 through the cassette transfer port 555 into the plating apparatus, and then plated in the plating apparatus. The plated semiconductor substrate is cleaned and dried, and then unloaded from the plating apparatus through the cassette transfer port 555 into the working zone 558. Consequently, no particles and mist are applied to the surface of the semiconductor substrate, and the working zone 558 which has higher air cleanness than the utility zone 557 is prevented from being contaminated by particles, chemical mists, and cleaning solution mists.


[0239] In the example shown in FIGS. 36 and 37, the plating apparatus has the loading and unloading area 520, the cleaning and drying area 540, and the processing area 530. However, an area accommodating a chemical mechanical polishing unit may be disposed in or adjacent to the processing area 530, and the cleaning and drying area 540 may be disposed in the processing area 530 or between the area accommodating the chemical mechanical polishing unit and the loading and unloading area 520. Any of various other suitable area and unit layouts may be employed insofar as a dry state semiconductor substrate can be loaded into the plating apparatus, and a plated semiconductor substrate can be cleaned and dried, and thereafter unloaded from the plating apparatus.



EXAMPLE 2

[0240] A sample was prepared by depositing TaN on a silicon substrate, depositing on the TaN 100 nm-thick copper by sputtering and then 700 nm-thick copper by copper electroplating, and annealing (heat-treating) the substrate in a N2 atmosphere at 350° C. for one hour. The annealed sample was then subjected to a pre-plating treatment, water-washing and an activating treatment. Thereafter, electroless plating of the surface of the sample (substrate) was carried by using an electroless plating apparatus and using an electroless plating solution having the composition shown in Table 2 below, thereby depositing a Co—W—B alloy (interconnects-protective film) with a thickness of about 50 nm on the surface of the sample (substrate) Thereafter, the plated sample was water-washed and dried. The film (Co—W—B alloy) was found to have the following composition:


[0241] Co: 85 at %, W: 1.5 at %, B: 13.5 at %
2TABLE 2CoSO4.7H2O(g/L)28.1Ammonium citrate(g/L)45.2H2WO4(g/L)1.0DMAB(g/L)15.027% TMAH(ml/L)145PH8.0Temp.70° C.Co: 85 at % W: 1.5 at % B: 13.5 at % 50 nm



COMPARATIVE EXAMPLE 1

[0242] Electroless plating of the surface of the same sample as prepared in Example 1 was carried out by using an electroless plating solution having the composition shown in Table 3 below, thereby depositing a Co—W—B alloy with a thickness of about 50 nm on the sample. Thereafter, the sample was water-washed and dried. The film (Co—W—B alloy) was found to have the following composition: Co 89.5 at %, W 10 at %, B 0.5 at %
3TABLE 3CoSO4.7H2O(g/L)28.1Ammonium citrate(g/L)45.2H2WO4(g/L)5.0DMAB(g/L)6.027% TMAH(ml/L)155PH9.0Temp.70° C.Co: 89.5 at % W: 10 at % B: 0.5 at % 50 nm


[0243] With respect to the sample of Example 2, FIG. 40A shows an SEM (scanning electron microscope) photograph of the surface of the sample before plating, FIG. 40B shows an SEM photograph of the surface of the sample after plating, and FIG. 41 shows X-ray diffractometry data on the plated sample, showing the relationship between the diffraction intensity and 2e. FIG. 40B demonstrates that a uniform and continuous thin film of Co—W—B alloy was obtained without being influenced by the crystal orientation of the underlying copper shown in FIG. 40A. FIG. 41 shows no appreciable peaks for Co (111) and Co (222), indicating that the thin film of Co—W—B alloy has an amorphous phase.


[0244] With respect to the sample of Comparative Example 1, FIG. 42A shows an SEM (scanning electron micrograph) photograph of the surface of the sample before plating, FIG. 42B shows an SEM photograph of the surface of the sample after plating, and FIG. 43 shows X-ray diffractometry data on the plated sample, showing the relationship between the diffraction intensity and 2e. FIG. 42B demonstrates that a non-uniform and discontinuous thin film of Co—W—B alloy, conforming to the crystal orientation of the underlying copper shown in FIG. 42A, was obtained. FIG. 43 shows clear peaks for Co (111) and Co (222), indicating that the thin film of Co—W—B alloy has a crystalline phase.


[0245]
FIGS. 45A through 45C show still another embodiment of a semiconductor device in which the exposed surface of interconnects (copper interconnects) 8, which have been formed on a substrate W in the above-described manner, is protected with a protective film 20. According to this semiconductor device, the exposed surface of interconnects 8 is selectively covered e.g. with a protective film 20 having an excellent thermal diffusion preventing effect so as to protect the interconnects 8 from contamination, etc. while preventing thermal diffusion of the interconnects 8. Further, an insulating film 22 of SiO2, SiOF or the like is superimposed on the surface of the substrate W to form a multi-layer interconnect structure. Before the formation of protective film 20, a pretreatment is carried out to form a seed layer 12, which is easy to attach to the interconnects 8 and functions as a catalyst in the next electroless plating, selectively on the interconnects 8. The protective film 20 is formed selectively on the surface of the seed layer 12.


[0246]
FIG. 46 shows an apparatus for manufacturing the semiconductor device, and FIG. 47 shows part of the process steps for the manufacturing. The apparatus for manufacturing the semiconductor device of FIG. 46 adds to the apparatus of FIG. 5 a third plating unit 33 which, prior to formation of protective film 20 in the second plating unit 38, forms the seed layer 12, which functions as a catalyst in the next or second plating, on the surface of interconnects 8. The third plating unit 33 may be comprised of an electroless plating apparatus as shown in FIG. 22 or 23. The other construction of the apparatus is the same as shown in FIG. 5.


[0247] According to this embodiment, after CMP processing of a substrate W, a pretreatment of the surface of interconnects 8, which will underlie the protective film 20, is carried out (pretreatment of underlying interconnects). After water-washing the substrate W, the substrate is subjected to the pretreatment before the formation of protective film in the following manner: The substrate W, which has undergone embedding of copper, heat treatment (annealing) and polishing, is transferred to the third plating unit 33, where a first-step electroless plating is carried out to form the seed layer 12, composed of a Co alloy not containing W, such as an amorphous Co—B alloy, selectively on the exposed surface of interconnects 8, as shown in FIG. 45A. Next, after water-washing the substrate W according to necessity, the substrate is transferred to the second plating unit 38, where a second-step electroless plating is carried out to form a protective film 20, composed of e.g. a Co—W—B alloy or a Co—W—P alloy and having an excellent thermal diffusion preventing effect, selectively on the surface of seed layer 12, as shown in FIG. 45B. After water-washing and drying the substrate, the insulating film 22 is deposited on the substrate, as shown in FIG. 45C.


[0248] By thus selectively covering the exposed surface of interconnects 8 and protecting the interconnects 8 with the protective film 20, composed of a W-containing alloy such as a Co—W—B alloy or a Co—W—P alloy and having an excellent thermal diffusion preventing effect, thermal diffusion of the interconnects 8 can be effectively prevented. Furthermore, by previously forming the seed layer 12 of a uniform film quality and a uniform film thickness and composed of an amorphous Co—B alloy without being influenced by the quality or state of the underlying interconnects 8, and forming the protective film 20 composed of e.g. a Co—W—B alloy selectively on the surface of the seed layer 12, it becomes possible to equalize the film thickness of the protective film 20.


[0249] According to this embodiment, a Co—W—B alloy may be used for the protective film 20. The protective film 20 of a Co—W—B alloy can be formed by using a plating solution containing Co ions, a complexing agent, a pH buffer, a pH adjusting agent, an alkylamine borane as a reducing agent, and a W-containing compound, and immersing the surface of the substrate W in the plating solution.


[0250] If desired, the plating solution may further contain at least one of a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and a surfactant. Further, the plating solution is adjusted to within the pH range of preferably 5-14, more preferably 6-10, by using a pH adjusting agent such as ammonia water or ammonium hydroxide. The temperature of the plating solution is generally in the range of 30-90° C., preferably 40-80° C.


[0251] The cobalt ions in the plating solution may be supplied from a cobalt salt, for example, cobalt sulfate, cobalt chloride or cobalt acetate. The amount of the cobalt ions is generally in the range of 0.001-1 mol/L, preferably 0.01-0.3 mol/L.


[0252] Specific examples of the complexing agent may include carboxylic acids, such as acetic acid, or their salts; oxycarboxylic acids, such as tartaric acid and citric acid, and their salts; and aminocarboxylic acids, such as glycine, and their salts. These compounds may be used either singly or as a mixture of two or more. The total amount of the complexing agent is generally 0.001-1.5 mol/L, preferably 0.01-1.0 mol/L.


[0253] Specific examples of the pH buffer may include ammonium sulfate, ammonium chloride and boric acid. The pH buffer is used generally in an amount of 0.01-1.5 mol/L, preferably 0.1-1 mol/L.


[0254] Examples of the pH adjusting agent may include ammonia water and tetramethylammonium hydroxide (TMAH). By using the pH adjusting agent, the pH of the plating solution is adjusted generally to 5-14, preferably 6-10.


[0255] The alkylamine borane as a reducing agent may specifically be dimethylamine borane (DMAB) or diethylamine borane. The reducing agent is used generally in an amount of 0.01-1 mol/L, preferably 0.01-0.5 mol/L.


[0256] Examples of the tungsten-containing compound may include tungstic acid or its salts, and heteropoly acids, such as tangstophosphoric acids (e.g. H3 (PW12P40)·nH2O), and their salts. The tungsten-containing compound is used generally in an amount of 0.001-1 mol/L, preferably 0.01-0.1 mol/L.


[0257] Besides the above-described components, other known additives may be added to the plating solution. Examples of usable additives include a bath stabilizer, which may be a heavy metal compound such as a lead compound, a sulfur compound such as a thiocyanate, or a mixture thereof, and a surfactant of an anionic, cationic or nonionic type.


[0258] According to this embodiment, an amorphous Co—B alloy may be used for the seed layer 12. The seed layer 12 of an amorphous Co—B alloy can be formed by using the same plating solution as the above-described plating solution for Co—W—B alloy except for not containing the W-containing compound, and immersing the surface of the substrate W in the plating solution. By carrying out the successive first-step and second-step electroless platings, it becomes possible to form a uniform protective film 20.



EXAMPLE 3

[0259] A sample was prepared by depositing 40 nm-thick TaN on a silicon substrate, depositing on the TaN 150 nm-thick copper by sputtering and 500 nm-thick copper by copper electroplating, heat-treating the substrate, and carrying out a CMP processing of the surface. Using the electroless plating apparatus shown in FIG. 22, after carrying out a pretreatment and water-washing of the surface of the sample, electroless plating was carried out for about 5 seconds by using an electroless plating solution having the composition shown in Table 4 below, thereby depositing an amorphous Co—B alloy (seed layer) with a thickness of about 10 nm on the surface of the sample (substrate).
4TABLE 4CoSO4.7H2O(g/L)28.1Ammonium hydrogen citrate(g/L)45.2(NH4)2SO4(g/L)39.627% TMAH(ml/L)6.027% TMAH(ml/L)142PH9.0Temp.(° C.)70Co: 92.0 at % B: 8.0 at %


[0260] Next, after water-washing the surface of the sample according to necessity, electroless plating was carried out successively for about one minute by using an electroless plating solution having the composition shown in Table 5 below, thereby depositing a Co—W—B alloy (protective film) with a thickness of about 40 nm. Thereafter, the sample was water-washed and dried.
5TABLE 5CoSO4.7H2O(g/L)28.1Ammonium hydrogen citrate(g/L)45.2(NH4)2SO4(g/L)39.6H2WO4(g/L)5.0DMAB(g/L)6.027% TMAH(ml/L)159PH9.0Temp.(° C.)70Co: 89.0 at % W: 10.0 at % B: 1.0 at %



COMPARATIVE EXAMPLES 2 and 3

[0261] Electroless plating of the surface of the same sample as prepared in Example 1 was carried out for about one minute by using the electroless plating solution of Table 5, thereby depositing a Co—W—B alloy with a thickness of about 40 nm on the substrate (Comp. Example 2). Separately, electroless plating of the surface of the same sample as prepared in Example 1 was carried out for about 15 seconds by using the electroless plating solution of Table 4, thereby depositing a Co—B alloy with a thickness of about 40 nm on the substrate (Comp. Example 3).


[0262]
FIG. 48 shows a diagram illustrating an SEM (scanning electron microscope) photograph of the surface of the sample (substrate) after CMP processing but before plating, and FIGS. 49, 50 and 51 show diagrams illustrating SEM photographs of the surfaces of the samples after plating obtained in Example 3, Comp. Example 2 and Comp. Example 3, respectively. FIGS. 52, 53 and 54 show secondary ion mass spectrometry (SIMS) data on the plated samples of Example 3, Comp. Example 2 and Comp. Example 3, respectively. The SIMS analysis was carried out before and after annealing the plated samples for two hours at 450° C. and at a pressure of 3.6×10−4 Pa. In FIGS. 52 through 54, the solid lines denote the data for the samples before annealing, and the broken lines denote the samples after annealing.


[0263] The above data clearly demonstrates that the plated alloy film of the sample of Comp. Example 2 has an excellent thermal diffusion preventing effect, as shown in FIG. 53. However, due to the variation in crystal orientation of the underlying copper shown in FIG. 48, the film thickness of the plated alloy film varies significantly, as shown in FIG. 50. In the case of Comp. Example 3, the plated alloy film has a uniform film thickness, as shown in FIG. 51, despite of the variation in crystal orientation of the underlying copper shown in FIG. 48. As shown in FIG. 54, however, the plated alloy film has a poor thermal diffusion preventing effect. In contrast to these comparative films, the plated film of Example 3 has a uniform film thickness, as shown in FIG. 49, despite of the variation in crystal orientation of the underlying copper, and has an excellent thermal diffusion preventing effect, as shown in FIG. 52.


[0264]
FIGS. 56A through 56C illustrate, in a sequence of process steps, an example of forming copper alloy interconnects in a semiconductor device using a plating solution according to the present invention. As shown in FIG. 56A, an insulating film 2 of e.g. SiO2 is deposited on a conductive layer 1a in which semiconductor devices are provided, which is formed on a semiconductor base 1. Contact holes 3 and trenches 4 for interconnects are formed in the insulating film 2 by the lithography/etching technique. Thereafter, a barrier layer 5 of TaN or the like is formed on the entire surface, and a seed layer 6 is formed on the barrier layer 5 by sputtering or the like.


[0265] Then, as shown in FIG. 56B, electroless copper alloy plating is performed onto the surface of the semiconductor substrate W to fill the contact holes 3 and the trenches 4 with copper alloy and, at the same time, deposit a copper alloy film 17 on the insulating film 2. Thereafter, the copper alloy film 17 and the barrier layer 5 on the insulating layer 2 are removed by chemical mechanical polishing (CMP) so as to make the surface of the copper alloy film 17 filled in the contact holes 3 and the trenches 4 for interconnects and the surface of the insulating film 2 lie substantially on the same plane. Interconnects 18 composed of the seed layer 6 and the copper alloy film 17, as shown in FIG. 56C, are thus formed in the insulating layer 2.


[0266] The copper alloy film 17 is composed of a copper alloy of copper and a metal in which the metal does not form a solid solution with copper, a copper-silver alloy according to this example. The copper content of the copper alloy is e.g. 98 at %. The interconnects 18 composed of such a copper alloy film 17, as compared to interconnects composed solely of copper, have enhanced electromigration resistance and stress migration resistance, while the electric resistivity is not increased. In this regard, in the case of a copper-silver alloy, copper and silver do not form a single or mutual crystal lattice, i.e. do not form a mixed crystal, but the crystal of copper and the crystal of silver are co-present. The co-presence of the copper and silver crystals can enhance the electromigration resistance and stress migration resistance as compared to the sole presence of copper crystal, while an increase of the electric resistivity can be prevented by the presence of copper crystal in a major proportion.


[0267] Though in this example a copper-silver alloy is used as the copper alloy of copper and a metal in which the metal does not form a solid solution with copper, it is possible to use a copper-cobalt alloy or a copper-tin alloy instead of a copper-silver alloy. The copper content each of these copper alloys is preferably 90 to 99.99 at %.


[0268] The copper alloy film 17, composed of a copper-silver alloy, can be formed by using an electroless copper alloy plating solution, comprising copper ions, silver ions to obtain a copper alloy in which the metal does not form a solid solution with copper, a complexing agent and an alkali metal-free reducing agent, and immersing the surface of the substrate W in the plating solution.


[0269] A copper salt, such as copper sulfate or copper chloride, may be used as a supply source of the copper ions in the plating solution. The amount of copper ions in the plating solution is generally 0.001 to 1 mol/L, preferably 0.001 to 0.1 mol/L. A silver salt, such as silver nitrate or silver sulfate, may be used as a supply source of the silver ions. The amount of silver ions in the plating solution is generally 0.001 to 1 mol/L, preferably 0.001 to 0.1 mol/L.


[0270] Specific examples of the complexing agent may include organic acids, such as acetic acid and tartaric acid, and their salts; aminocarboxylic acids, such as EDTA, and their salts; amines, such as ethylendiamine and quardrol-bath, and their salts; polyhydric alcohols such as glycerol and mannitol; and sulfur compounds, such as thiosulfuric acid and thiourea. These compounds may be used either singly or as a mixture of two or more. The total amount of the complexing agent is generally 0.001-2 mol/L, preferably 0.01-1 mol/L.


[0271] Regarding the pH adjusting agent, any agent may be used that does not contain sodium or any other alkali metal. Ammonia water and tetramethylammonium hydroxide (TMAH) may be mentioned as specific examples. By using the pH adjusting agent, the pH of the plating solution is adjusted generally within the range of 5-14, preferably 7-13.


[0272] The reducing agent likewise should not contain sodium or any other alkali metal. An alkylamine borane is preferably used. As alkylamine boranes, dimethylamine borane (DMAB) and diethylamine borane, may be mentioned. The reducing agent is used generally in an amount of 0.001-1 mol/L, preferably 0.01-0.5 mol/L.


[0273] Besides above described compounds, other known additives may be added to the plating solution. Examples of usable additive include a bath stabilizer, which may be a heavy metal compound such as a lead compound, a sulfur compound such as a thiocyanate, or a mixture thereof, and a surfactant of an anionic, cationic or nonionic type. Either one or both of the bath stabilizer and the surfactant may be used. The temperature of the plating solution is generally in the range of 20-90° C., preferably 20-70° C.


[0274] As described above, though in this example a copper-silver alloy is used as the copper alloy for forming the copper alloy film 17, it is possible to use a copper-cobalt alloy or a copper-tin alloy instead of a copper-silver alloy. The cobalt ions contained in the plating solution for forming a copper-cobalt alloy film may be supplied from a cobalt salt, for example, cobalt sulfate, cobalt chloride or cobalt acetate. The amount of the cobalt ions is generally in the range of 0.001-1 mol/L, preferably 0.005-0.5 mol/L.


[0275]
FIGS. 57A through 57D are diagrams illustrating, in sequence of process steps, an example of the formation of a protective film, composed of a copper alloy, selectively on the exposed surface of embedded interconnects in a semiconductor device according to the present invention. As shown in FIG. 57A, an insulating film 2 of e.g. SiO2 is deposited on a conductive layer 1a in which semiconductor devices are provided, which is formed on a semiconductor base 1. Contact holes 3 and trenches 4 for interconnects are formed in the insulating film 2 by the lithography/etching technique. Thereafter, a barrier layer 5 of TaN or the like is formed on the entire surface, and a seed layer 6 is formed on the barrier layer 5 by sputtering or the like.


[0276] Thereafter, as shown in FIG. 57B, copper plating is carried out onto the surface of the semiconductor substrate W to fill the contact holes 3 and the trenches 4 with copper and, at the same time, deposit a copper film 7 on the insulating film 2. Thereafter, the copper film 7 and the barrier layer 5 on the insulating film 2 are removed by chemical mechanical polishing (CMP) so as to make the surface of the copper film 7 filled in the contact holes 3 and the trenches 4 for interconnects and the surface of the insulating film 2 lie substantially on the same plane. Interconnects (copper interconnects) 8 composed of the seed layer 6 and the copper film 7, as shown in FIG. 57C, are thus formed in the insulating layer 2.


[0277] Next, electroless copper alloy plating is carried out onto the surface of the substrate W to form a protective film (plated film) 20 composed of a copper alloy selectively on the exposed surface of interconnects 8 to protect the interconnects 8, as shown in FIG. 57D. The thickness of the protective film 20 is generally 0.1 to 500 nm, preferably 1 to 200 nm, more preferably 10 to 100 nm. Though copper is used as an interconnect material in this example, a copper alloy, silver or a silver alloy may be used instead of copper.


[0278] The protective film 20 is composed of a copper alloy of copper and a metal in which the metal does not form a solid solution with copper, a copper-silver alloy according to this example. The copper content of the copper alloy is e.g. 98 at %. The semiconductor device is thus provided with the protective film 20 composed of the specific copper alloy, having a strong adhesion to copper or silver and having a low resistivity (ρ), and formed selectively on the exposed surface of interconnects 8. The interconnects 8 of the semiconductor device can be selectively covered and protected with the protective film 20 without an increase of interconnect resistivity.


[0279] According to this example, the same plating solution and the same electroless plating apparatus as in the above-described example are used for the formation of the copper alloy of copper and a metal in which the metal does not form a solid solution with copper, e.g. a copper-silver alloy, and hence a description thereof is omitted.


[0280] Though the above-described examples relate to application to electroless plating, the present invention is of course applicable to electroplating in which plating is effected by passing an electric current between an anode and a cathode, both immersed in a plating solution.


Claims
  • 1. A semiconductor device having an embedded interconnect structure, comprising a protective film formed on the surface of exposed interconnects, wherein the protective film has a flattened surface.
  • 2. The semiconductor device according to claim 1, wherein the protective film is composed of at least one of Co, a Co alloy, Ni and a Ni alloy.
  • 3. A semiconductor device having an embedded interconnect structure, comprising an interconnects-protective film formed selectively on the surface of exposed interconnects, wherein the protective film has an amorphous phase.
  • 4. The semiconductor device according to claim 3, wherein the interconnects-protective film is composed of a Ni alloy, a Co alloy or a Cu alloy formed by electroless plating.
  • 5. The semiconductor device according to claim 3, wherein an interconnect material of the embedded interconnect structure is composed of copper, a copper alloy, silver or a silver alloy.
  • 6. A semiconductor device having an embedded interconnect structure, comprising an interconnects-protective film formed selectively on the surface of exposed interconnects, wherein the interconnects-protective film is a nonmagnetic film.
  • 7. The semiconductor device according to claim 6, wherein the interconnects-protective film is composed of a Ni alloy, a Co alloy or a Cu alloy formed by electroless plating.
  • 8. The semiconductor device according to claim 6, wherein an interconnect material of the embedded interconnect structure is composed of copper, a copper alloy, silver or a silver alloy.
  • 9. A semiconductor device having an embedded interconnect structure, comprising a protective film formed selectively on the surface of exposed interconnects, wherein the protective film is obtained by a process comprising carrying out a pretreatment of the surface of exposed interconnects, and then forming the protective film selectively on the pretreated surface of exposed interconnects.
  • 10. The semiconductor device according to claim 9, wherein a seed layer, which acts as a catalyst in electroless plating in the next step, is formed by the pretreatment selectively on the surface of exposed interconnects, and the protective film is formed selectively on the surface of the seed layer.
  • 11. The semiconductor device according to claim 4, wherein the seed layer is composed of an amorphous Co—B alloy, and the protective film is composed of an amorphous Co—W—B alloy or an amorphous Co—W—P alloy.
  • 12. A semiconductor device comprising interconnects composed of a copper alloy, said interconnects having been formed by embedding the copper alloy in fine recesses for interconnects provided in the surface of a substrate, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper.
  • 13. The semiconductor device according to claim 12, wherein the copper alloy is a copper-silver alloy, a copper-cobalt alloy, a copper-tin alloy, or a copper-boron alloy.
  • 14. The semiconductor device according to claim 13, wherein the copper content of the copper alloy is 90 to 99.99 at %.
  • 15. A semiconductor device having an embedded interconnect structure, comprising a protective film composed of a copper alloy formed selectively on the surface of exposed interconnects, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper.
  • 16. The semiconductor device according to claim 15, wherein the copper alloy is a copper-silver alloy, a copper-cobalt alloy, a copper-tin alloy, or a copper-boron alloy.
  • 17. The semiconductor device according to claim 16, wherein the copper content of the copper alloy is 90 to 99.99 at %.
  • 18. A method for manufacturing a semiconductor device, comprising: forming a protective film by electroless plating selectively on the surface of exposed interconnects in a semiconductor device having an embedded interconnect structure; and flattening the surface of the semiconductor device having the protective film thus formed.
  • 19. The method for manufacturing a semiconductor device according to claim 18, comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by polishing; forming a protective film by electroless plating selectively on the surface of exposed interconnects; and flattening the surface of the semiconductor device by polishing.
  • 20. The method for manufacturing a semiconductor device according to claim 19, wherein a pre-plating treatment is carried out before the electroless plating to selectively form the protective film.
  • 21. The method for manufacturing a semiconductor device according to claim 19, comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a substrate; heat-treating the electric conductor; flattening the surface of the heat-treated substrate by polishing; cleaning the flattened surface of the substrate; and forming a protective film by electroless plating selectively on the surface of exposed interconnects in the cleaned substrate.
  • 22. The method for manufacturing a semiconductor device according to claim 21, wherein the cleaning is carried out so that an electric conductor contamination on an insulating film in the surface of the substrate is reduced to 5×105 atoms/cm2 or less.
  • 23. A method for manufacturing a semiconductor device, comprising carrying out electroless plating of the surface of a semiconductor device having an embedded interconnect structure to form an interconnects-protective film selectively on the surface of exposed interconnects, wherein the protective film has an amorphous phase.
  • 24. The method for manufacturing a semiconductor device according to claim 23, wherein the interconnects-protective film is composed of a Ni alloy, a Co alloy or a Cu alloy.
  • 25. The method for manufacturing a semiconductor device according to claim 23, comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by chemical mechanical polishing; and forming an interconnects-protective film, having an amorphous phase by electroless plating selectively on the surface of exposed interconnects in the semiconductor device.
  • 26. A method for manufacturing a semiconductor device, comprising carrying out electroless plating of the surface of a semiconductor device having an embedded interconnect structure to form an interconnects-protective film selectively on the surface of exposed interconnects, wherein the protective film is a nonmagnetic film.
  • 27. The method for manufacturing a semiconductor device according to claim 26, wherein the interconnects-protective film is composed of a Ni alloy, a Co alloy or a Cu alloy.
  • 28. The method for manufacturing a semiconductor device according to claim 26, comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by chemical mechanical polishing; and forming an interconnects-protective film, which is a nonmagnetic film, by electroless plating selectively on the surface of exposed interconnects in the semiconductor device.
  • 29. A method for manufacturing a semiconductor device, comprising: carrying out a selective pretreatment of the surface of exposed interconnects in a semiconductor device having an embedded interconnect structure; and forming a protective film by electroless plating selectively on the pretreated surface of interconnects.
  • 30. The method for manufacturing a semiconductor device according to claim 29, wherein a seed layer, which acts as a catalyst in the electroless plating, is formed by the pretreatment selectively on the surface of exposed interconnects, and the protective film is formed selectively on the seed layer.
  • 31. The method for manufacturing a semiconductor device according to claim 30, wherein the seed layer is composed of an amorphous Co—B alloy, and the protective film is composed of an amorphous Co—W—B alloy or an amorphous Co—W—P alloy.
  • 32. The method for manufacturing a semiconductor device according to claim 29, comprising: embedding an electric conductor by plating in recesses for interconnects provided in the surface of a semiconductor device having an embedded interconnect structure; heat-treating the electric conductor; flattening the surface of the semiconductor device by chemical mechanical polishing; carrying out a pretreatment of the surface of exposed surface in the semiconductor device; and forming a protective film by electroless plating selectively on the pretreated surface of interconnects.
  • 33. A method for manufacturing a semiconductor device, comprising plating the surface of a substrate to embed a copper alloy in fine recesses for interconnects provided in the surface of the substrate, thereby forming interconnects, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper.
  • 34. The method for manufacturing a semiconductor device according to claim 33, wherein the copper alloy is a copper-silver alloy, a copper-cobalt alloy, a copper-tin alloy, or a copper-boron alloy.
  • 35. The method for manufacturing a semiconductor device according to claim 34, wherein the copper content of the copper alloy is 90 to 99.99 at %.
  • 36. A method for manufacturing a semiconductor device, comprising plating the surface of a semiconductor device having an embedded interconnect structure, thereby forming a protective film composed of a copper alloy selectively on at least part of exposed interconnects, wherein the copper alloy is an alloy of copper and a metal in which the metal does not form a solid solution with copper.
  • 37. The method for manufacturing a semiconductor device according to claim 36, wherein the copper alloy is a copper-silver alloy, a copper-cobalt alloy, a copper-tin alloy, or a copper-boron alloy.
  • 38. The method for manufacturing a semiconductor device according to claim 37, wherein the copper content of the copper alloy is 90 to 99.99 at %.
  • 41. An apparatus for manufacturing a semiconductor device, comprising: a first plating unit for embedding an electric conductor in recesses for interconnects provided in the surface of a substrate; a first polishing unit for polishing the surface of the substrate in which the electric conductor is embedded; a second plating unit for forming a protective film selectively on the surface of exposed interconnects after the polishing; and a second polishing unit for polishing the surface of the substrate in which the protective film is formed.
  • 42. The method for manufacturing a semiconductor device according to claim 41 further comprising a heat treatment unit for heat-treating the electric conductor embedded in the substrate.
  • 43. The method for manufacturing a semiconductor device according to claim 41 further comprising a third plating unit for forming a seed layer, which acts as a catalyst in the plating in the second plating unit, selectively on the surface of interconnects prior to forming the protective film selectively on the surface of interconnects in the second plating unit.
  • 44. The apparatus for manufacturing a semiconductor device according to claim 41 further comprising a cleaning unit for cleaning the surface of the substrate which has been flattened by the polishing in the first polishing unit.
  • 45. The apparatus for manufacturing a semiconductor device according to claim 44, wherein the surface of the substrate is cleaned in the cleaning unit so that an electric conductor contamination on an insulating film in the surface of the substrate is reduced to 5×105 atoms/cm2 or less.
  • 46. A plating solution comprising copper ions, metal ions of a metal, said metal being capable of forming with copper a copper alloy in which said metal does not form a solid solution with copper, a complexing agent, and a reducing agent free from alkali metal.
  • 47. The plating solution according to claim 46, wherein said metal ions are silver ions, cobalt ions or tin ions.
  • 48. The plating solution according to claim 46, wherein the reducing agent is an alkylamine borane.
  • 49. The plating solution according to claim 46 further comprising at least one of a stabilizer selected from a sulfur compound, a nitrogen compound, a heavy metal compound or a mixture thereof, and a surfactant.
  • 50. The plating solution according to claim 46, wherein the pH of the plating solution is adjusted to 5-14 with a pH adjusting agent free from alkali metal.
Priority Claims (5)
Number Date Country Kind
2001-245655 Aug 2001 JP
2001-319839 Oct 2001 JP
2001-337851 Nov 2001 JP
2001-338953 Nov 2001 JP
2001-341051 Nov 2001 JP