Semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. However, these advances have increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC processing and manufacturing are needed.
In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling-down also produces a relatively high power dissipation value, which may be addressed by using low power dissipation devices such as complementary metal-oxide-semiconductor (CMOS) devices.
As the semiconductor industry further progresses into sub-10 nanometer (nm) technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have led to stacked device structure configurations, such as complementary field effect transistors (C-FET) where an n-type multi-gate transistor and a p-type multi-gate transistor are stacked vertically, one over the other. While existing C-FET structures are generally adequate for their intended purposes, they are not satisfactory in all aspects.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
As used herein, “around,” “about,” “approximately,” or “substantially” may mean within 20 percent, or within 10 percent, or within 5 percent of a given value or range. One skilled in the art will realize, however, that the value or range recited throughout the description are merely examples, and may be reduced with the down-scaling of the integrated circuits. Numerical quantities given herein are approximate, meaning that the term “around,” “about,” “approximately,” or “substantially” can be inferred if not expressly stated.
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and the present disclosure, and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
The gate all around (GAA) transistor structures may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
The present disclosure is related to integrated circuit (IC) structures and methods of forming the same. More particularly, some embodiments of the present disclosure are related to gate-all-around (GAA) devices including improved isolation structures to reduce current leakage from channels to the substrate. A GAA device includes a device that has its gate structure, or portions thereof, formed on four-sides of a channel region (e.g., surrounding a portion of a channel region). The channel region of a GAA device may include nanosheet channels, bar-shaped channels, and/or other suitable channel configurations. In some embodiments, the channel region of a GAA device may have multiple horizontal nanosheets or horizontal bars vertically spaced, making the GAA device a stacked horizontal GAA (S-HGAA) device. The GAA devices presented herein include a p-type metal-oxide-semiconductor GAA device and an n-type metal-oxide-semiconductor GAA device stack together. Further, the GAA devices may have one or more channel regions (e.g., nanosheets) associated with a single, contiguous gate structure, or multiple gate structures. One of ordinary skill may recognize other examples of semiconductor devices that may benefit from aspects of the present disclosure. In some embodiments, the nanosheets can be interchangeably referred to as nanowires, nanoslabs, nanorings, or nanostructures having nano-scale size (e.g., a few nanometers), depending on their geometry. In addition, the embodiments of the disclosure may also be applied, however, to a variety of metal oxide semiconductor transistors (e.g., complementary-field effect transistor (CFET) and fin field effect transistor (FinFET)).
Some embodiments discussed herein are discussed in the context of nano-FETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Also, some embodiments contemplate aspects used in planar devices, such as planar FETs, or in fin field-effect transistors (FinFETs). For example, FinFETs may include fins on a substrate, with the fins acting as channel regions for the FinFETs. Similarly, planar FETs may include a substrate, with portions of the substrate acting as channel regions for the planar FETs.
In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. To improve the functional density of the IC structure, a complementary FET (CFET) in which a p-type FET and an n-type FET are vertically stacked has been proposed. A metal silicide can be formed on the source/drain region through a dielectric-defined source/drain opening to improve the silicide-diffusion contact resistance (Rcsd) of the IC structure. However, when forming silicide through source/drain contact openings in the semiconductor process, the space of the source/drain contact opening may become smaller, making it difficult for contact metal to fill the source/drain contact opening. This can result in voids or gaps in the filling metal, which in turn deteriorates the device performance.
Therefore, the present disclosure in various embodiments provides a method for improving metal filling through the source/drain contact opening in semiconductor process. The method provides an etching process (see
Reference is made to
The epitaxial stack includes epitaxial layers 122a, 122b, 122m of a first composition interposed by epitaxial layers 124a, 124b of a second composition. The first and second compositions can be different. In some embodiments, the epitaxial layers 122a, 122b, 122m may be made of SiGe, and the epitaxial layers 124a, 124b may be made of silicon (Si). However, other embodiments are possible including those that provide for a first composition and a second composition having different etch selectivity.
The epitaxial layers 124a and 124b or portions thereof may form nanostructure channel(s) of the nanostructure transistor. The term nanostructure is used herein to designate any material portion with nanoscale, or even microscale dimensions, and having an elongate shape, regardless of the cross-sectional shape of this portion. Thus, this term designates both circular and substantially circular cross-section elongate material portions, and beam or bar-shaped material portions including for example a cylindrical in shape or substantially rectangular cross-section. For example, the nanostructures are nanosheets, nanowires, nanoslabs, or nanorings, depending on their geometry. The use of the epitaxial layers 124a and 124b to define a channel or channels of a device is further discussed below. In
The epitaxial layers 122a are interposed by the epitaxial layers 124a, the epitaxial layers 122b are interposed by the epitaxial layers 124b, and the epitaxial layer 122m is between the epitaxial layers 124a and 124b. In some embodiments, the epitaxial layers 122a and 122b have substantially the same thickness T1 (e.g. vertical dimension), and the epitaxial layer 122m has a thickness T2 (e.g. vertical dimension) less than the thickness T1. In some embodiments, the thickness T2 is determined by the thickness of the isolation structure 190 (see
As described in more detail below, the epitaxial layers 124a and 124b may serve as channel region(s) for a subsequently-formed semiconductor device and the thickness is chosen based on device performance considerations. The epitaxial layers 122a, 122b, and 122m in channel regions(s) may eventually be removed and serve to define a vertical distance between adjacent channel region(s) for a subsequently-formed multi-gate device and the thickness is chosen based on device performance considerations. Accordingly, the epitaxial layers 122a, 122b, and 122m may also be referred to as sacrificial layers, and epitaxial layers 124a and 124b may also be referred to as channel layers. In some embodiments, the epitaxial layers 124a and 124b can be interchangeably referred to as channel regions or channel patterns.
In some embodiments, the epitaxial layers 122a, 122b, 122m and 124a, 124b include a different material than the substrate 110. As stated above, in at least some examples, the epitaxial layers 122a, 122b, and 122m include an epitaxially grown silicon germanium (SiGe) layer and the epitaxial layers 124a and 124b include an epitaxially grown silicon (Si) layer. Alternatively, in some embodiments, either of the epitaxial layers 122a, 122b, 122m and 124a, 124b may include other materials such as germanium, tin, a compound semiconductor such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide, an alloy semiconductor such as SiGe, GeSn, GaAsP. AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, III-V, or combinations thereof. As discussed, the materials of the epitaxial layers 122a, 122b, 122m and 124a, 124b may be chosen based on providing differing oxidation and/or etching selectivity properties.
In
Reference is made to
Reference is made to
Reference is made to
Subsequently, inner dielectric spacers 172 and 174 are filled in the recesses R2 and the space S1, respectively. For example, spacer material layers are formed to fill the recesses R2 and the space S1 left by the lateral etching of the epitaxial layers 122a, 122b, and 122m discussed above. The spacer material layer may be a low-k dielectric material, such as SiO2, SIN, SiC, SiON, SiCN, or SiOCN, and may be formed by a suitable deposition method, such as ALD. In some embodiments, the spacer material layer is intrinsic or un-doped with impurities. The spacer material layer can be formed using CVD, including LPCVD and PECVD, PVD, ALD, or other suitable processes. After the deposition of the spacer material layer, an anisotropic etching process may be performed to trim the deposited spacer material layer, such that portions of the deposited spacer material layer that fill the recesses R2 and the space S1 left by the lateral etching of the epitaxial layers 122a, 122b, and 122m are left. After the trimming process, the remaining portions of the deposited spacer material are denoted as inner dielectric spacers 172 in the recesses R2 and the inner dielectric spacers 174 in the recesses S1, for the sake of simplicity. The inner dielectric spacers 172 and 174 serve to isolate metal gates from source/drain epitaxial structures formed in subsequent processing.
Reference is made to
An interlayer dielectric (ILD) layer 194 is formed over the substrate 110. In some embodiments, a contact etch stop layer (CESL) 192 is also formed prior to forming the ILD layer 194. In some examples, the CESL 192 includes a silicon nitride layer, silicon oxide layer, a silicon oxynitride layer, and/or other suitable materials having a different etch selectivity than the ILD layer 194. In some embodiments, the CESL 192 and the ILD layer 194 can be collectively referred to as an isolation structure 190. In some embodiments, the ILD layer 194 includes materials such as tetraethylorthosilicate (TEOS)-formed oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials having a different etch selectivity than the CESL 192. After depositing the ILD layer 194, a planarization process (e.g., CMP process) may be performed to remove excessive materials of the CESL 192 and the ILD layer 194 overlying the dummy gate structures 150. Subsequently, the isolation structures 190 are recessed, such that the upper portions of the recesses R1 may reappear.
Second source/drain epitaxial structures 185 are formed over the epitaxial isolation structure 190. The second source/drain epitaxial structures 185 may be formed by performing an epitaxial growth process that provides an epitaxial material on the epitaxial isolation structure 190. In some embodiments, the second source/drain epitaxial structures 185 may include Ge, Si, GaAs, AlGaAs, SiGe, GaAsP, SiP, or other suitable material. The second source/drain epitaxial structures 185 may be doped by introducing doping species including: p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or other suitable dopants including combinations thereof. In some exemplary embodiments, the second source/drain epitaxial structures 185 in an n-type transistor include SiP. The first source/drain epitaxial structures 180 and the second source/drain epitaxial structures 185 are made of different materials. For example, the first source/drain epitaxial structures 180 are made of SiGeB and the second source/drain epitaxial structures 185 are made of SiP. In some embodiments, the second source/drain epitaxial structure 185 can be interchangeably referred to as a source/drain pattern or an epitaxial pattern. Each of the epitaxial isolation stacks 190 is between one of the first source/drain epitaxial structures 180 and one of the second source/drain epitaxial structures 185 to electrically isolate the first source/drain epitaxial structure 180 from the second source/drain epitaxial structure 185.
An ILD layer 198 is formed over the substrate 110. In some embodiments, a CESL 196 is also formed prior to forming the ILD layer 198. In some examples, the CESL 196 includes a silicon nitride layer, silicon oxide layer, a silicon oxynitride layer, and/or other suitable materials having a different etch selectivity than the ILD layer 198. In some embodiments, the CESL 196 and the ILD layer 198 can be collectively referred to as an isolation structure 195. In some embodiments, the ILD layer 198 includes materials such as tetraethylorthosilicate (TEOS)-formed oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials having a different etch selectivity than the CESL. After depositing the CESL 196 and the ILD layer 198, a planarization process (e.g., CMP process) may be performed to remove excessive materials of the CESL 196 and the ILD layer 198 overlying the dummy gate structures 150 and further remove hard mask layers 156 and 158 (as shown in
Reference is made to
Reference is made to
After the formation of the gate structure 220, the fill metal is etched back by using an etching process, and top portions of the work function metal layer are exposed. Next, the top portions of the work function metal layer are removed by using an etching process, and top portions of the gate dielectric layer 224 are exposed, such that the upper portions of the gate trenches GT may reappear. In some embodiments, the gate structure 220 can be interchangeably referred to a metal gate, a gate pattern, or a gate strip. A gate electrode layer 236 is deposited in the gate trench GT and over the gate electrode layer 226. Therefore, a gate structure 230 including the interfacial layer 222, the high-k dielectric layer 224, the gate electrode layer 236 is formed within the remainder of the spaces S2. As such, the semiconductor device 100a is formed. In some embodiments, the gate structure 230 can be interchangeably referred to a metal gate, a gate pattern, or a gate strip. In some embodiments, the gate electrode layer 236 may include a work function metal layer and/or a fill metal formed around the work function metal layer. For an n-type FinFET, the work function metal layer may include one or more n-type work function metals. On the other hand, for a p-type FinFET, the work function metal layer may include one or more p-type work function metals. In some embodiments, the fill metal may exemplarily include, but are not limited to, tungsten, aluminum, copper, nickel, cobalt, titanium, tantalum, titanium nitride, tantalum nitride, nickel silicide, cobalt silicide, TaC, TaSiN, TaCN, TiAl, TiAlN, or other suitable materials.
The semiconductor device 100a includes a bottom-tier transistor Tb and a top-tier transistor Tt. The top-tier transistor Tt is over the bottom-tier transistor Tb. The bottom-tier transistor Tb includes the channel layers 124a, the first source/drain epitaxial structures 180 on opposite sides of the channel layers 124a and connected to the channel layers 124a, and the gate structure 220 wrapping around the channel layers 124a. The top-tier transistor Tt includes the channel layers 124b, the second source/drain epitaxial structures 185 on opposite sides of the channel layers 124b and connected to the channel layers 124b, and a (metal) gate structure 230 wrapping around the channel layers 124b. In some embodiments, the bottom-tier transistor Tb is a p-type transistor, and the top-tier transistor Tt is an n-type transistor. In some other embodiments, the bottom-tier transistor Tb is an n-type transistor, and the top-tier transistor Tt is a p-type transistor. An ILD layer 199 is formed over the substrate 110. In some embodiments, a CESL 197 is also formed prior to forming the ILD layer 197. In some examples, the CESL 197 includes a silicon nitride layer, silicon oxide layer, a silicon oxynitride layer, and/or other suitable materials having a different etch selectivity than the ILD layer 199. In some embodiments, the ILD layer 199 includes materials such as tetraethylorthosilicate (TEOS)-formed oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials having a different etch selectivity than the CESL.
Subsequently, one or more etching process P1 may be performed by using a plasma processing apparatus 10 as shown in
In some embodiments, the etching process P1 is an anisotropic dry etching process (e.g., a reactive-ion etching (RIE) process or an atomic layer etching (ALE) process), and other forms of plasma processing. By way of example and not limitation, a dry etching process may implement an oxygen-containing gas, a fluorine-containing gas (e.g., CF4, SF6, CH2F2, CHF3, CH3F and/or C4F8), a chlorine-containing gas (e.g., Cl2 and/or BCl3), a bromine-containing gas (e.g., HBr), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. In some embodiments, the etching process P1 may be anisotropic.
This is described in greater detail for an embodiment with reference to
In semiconductor device fabrication, it can use silicide layers on source/drain regions to reduce resistance and improve device performance. However, when forming silicide through source/drain contact opening in the semiconductor process, the space of the source/drain contact opening may become smaller, making it difficult for contact metal to fill the source/drain contact opening. This can result in voids or gaps in the filling metal, which in turn deteriorates the device performance.
To address this issue, the present disclosure provides a method for improving metal filling through the source/drain contact opening in semiconductor process. The method provides an etching process (see
Reference is made to
Therefore, after the etching process P2 is performed, the source/drain contact opening O1 can having a stepped sidewall (e.g., two step shape). The stepped sidewall can have a lower sidewall O11, a middle sidewall O12, and an upper sidewall O13, in which sidewalls of the isolation structures 190 and 195 serve as the lower and upper sidewalls O11 and O13, and a sidewall of the second source/drain epitaxial structure serves as the middle sidewall O12. The middle sidewall O12 of the source/drain contact opening O1 is laterally set back from the lower sidewall O11 and the upper sidewall O13. In addition, the top surface of the isolation structure 190 serving as a horizontal surface O14 connects the lower sidewall O11 to the middle sidewall O12, and the bottom surface of the isolation structure 195 serving as a horizontal surface O15 connects the upper sidewall O13 to the middle sidewall O12. In other words, the top surface of the isolation structure 190 and the bottom surface of the isolation structure 195 can be exposed from the source/drain contact opening O1. The sidewall of the second source/drain epitaxial structure 185 is etched to create an offset from the sidewalls of the isolation structures 190 and 195 within the source/drain contact opening O1, in which “offset” refers to the difference in the positions of the sidewalls of the second source/drain epitaxial structure 185 and the isolation structures 190 and 195 within the source/drain contact opening O1. Stated differently. The term “offset” in this context means that the sidewall of the second source/drain epitaxial structure 185 is not aligned with the sidewalls of the isolation structures 190 and 195 but is shifted to a certain extent, creating a desired separation.
In some embodiments, the etching process P2 is an isotropic dry etching process (e.g., a reactive-ion etching (RIE) process or an atomic layer etching (ALE) process), and may employ a different etchant than that used in the etching process P1. In some embodiments, the etchant used in the etching process P2 is substantially the same as the etching process P1. In some embodiments, the etching selectivity, which is the ratio of the etching rate of the second source/drain epitaxial structure 185 to the isolation structures 190 and 195 is greater than about 5, such as about 6, 7, 8, 9, or 10, during the etching process P2.
By way of example and not limitation, a dry etching process may implement an etchant including an oxygen-containing gas, a fluorine-containing gas (e.g., CF4, SF6, CH2F2, CHF3, CH3F and/or C4F8), a chlorine-containing gas (e.g., Cl2 and/or BCl3), a bromine-containing gas (e.g., HBr), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. In some embodiments, the oxygen gas of the etching process P2 can be flowed into the process chamber accommodating the substrate 110 at a lower flow rate than the etching process P1. In some embodiments, the etchant used in the etching process P2 may be oxygen-free.
This is described in greater detail for an embodiment with reference to
In some embodiments, the etching processes P1 and P2 can be in-situ performed. As used herein, the term “in-situ” is used to describe processes that are performed while a device or substrate remains within a processing system (e.g., including a load lock chamber, transfer chamber, processing chamber, or any other fluidly coupled chamber), and where for example, the processing system allows the substrate to remain under vacuum conditions. As such, the term “in-situ” may also generally be used to refer to processes in which the device or substrate being processed is not exposed to an external environment (e.g., external to the processing system). In some embodiments, the etching processes P1 and P2 can be ex-situ performed.
In some embodiments, the etching process P2 can result in position-dependent etching rates, meaning that the etching rate at a higher position on the substrate may be greater than the etching rate at a lower position, such that the etching process P2 would not significantly consume the first source/drain epitaxial structure 180 in a lower position than the second source/drain epitaxial structure 180. In some embodiments, the substrate 110 is exposed to a gas mixture that includes a reactive species, and controlling the concentration of the reactive species at different positions on the substrate 110 to achieve the desired etching rates. For example, the concentration of the reactive species can be higher at the top of the substrate 110 and lower at the bottom, resulting in a greater etching rate at the top than at the bottom.
Reference is made to
As shown in
Reference is made to
Reference is made to
As shown in
In some embodiments, material and manufacturing method for forming the source/drain epitaxial structure 385, the metal silicide layer 386, and the source/drain contact 342 are substantially the same as the material and manufacturing method for forming the source/drain epitaxial structure 185, the metal silicide layer 186, and the source/drain contact 242 described in foregoing descriptions and thus are not repeated herein for the sake of clarity.
Therefore, based on the above discussions, it can be seen that the present disclosure offers advantages. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments. The present disclosure in various embodiments provides a method for improving source/drain contact metal filling through the source/drain contact opening in semiconductor process. The method provides an etching process (see
In some embodiments, a method includes forming a bottom-tier transistor comprising a first channel layer, a first gate structure around the first channel layer, and a plurality of first source/drain regions on opposite sides of the first channel layer; forming a dielectric layer over the first source/drain regions of the bottom-tier transistor; forming a top-tier transistor over the bottom-tier transistor, the top-tier transistor comprising a second channel layer, a second gate structure around the second channel layer, and a plurality of second source/drain regions on opposite sides of the second channel layer and over the dielectric layer; etching a one of the second source/drain regions of the top-tier transistor and the dielectric layer to form an opening exposing one of the first source/drain regions of the bottom-tier transistor; after forming the opening, laterally trimming the one of the second source/drain regions of the top-tier transistor through the opening; forming a metal silicide on the trimmed one of the second source/drain regions; forming a source/drain contact in the opening. In some embodiments, laterally trimming the one of the second source/drain regions is performed by using a fluorine-based enchant or a chlorine-based enchant. In some embodiments, after the laterally trimming, the one of the second source/drain regions has a sidewall offset from a sidewall of the dielectric layer in the opening by a non-zero distance. In some embodiments, the metal silicide is in contact with a top surface of the dielectric layer exposed from the trimmed one of the second source/drain regions. In some embodiments, laterally trimming the one of the second source/drain regions is performed by an isotropic dry etching process. In some embodiments, laterally trimming the one of the second source/drain regions is performed by a dry etching process with a bottom bias power lower than a bottom bias power used in etching the one of the second source/drain regions. In some embodiments, laterally trimming the one of the second source/drain regions is performed by a dry etching process with a bottom bias power less than about 50 W/cm2. In some embodiments, laterally trimming the one of the second source/drain regions is performed by introducing an oxygen precursor at a flow rate lower than a flow rate of an oxygen precursor used in etching the one of the second source/drain regions. In some embodiments, laterally trimming the one of the second source/drain regions is performed by introducing an oxygen-free precursor on the one of the second source/drain regions. In some embodiments, etching the one of the second source/drain regions and laterally trimming the one of the second source/drain regions are in-situ performed.
In some embodiments, a method includes forming a first semiconductive nanostructure, and a second semiconductive nanostructure vertically arranged with respect to the first semiconductive nanostructure; forming a plurality of first epitaxial structures on opposite sides of the first semiconductive nanostructure, and a plurality of second epitaxial structures on opposite sides of the second semiconductive nanostructure; forming a dielectric layer over the second epitaxial structures; forming a first gate wrapping around the first semiconductive nanostructure, and a second gate wrapping around the second semiconductive nanostructure; etching through the dielectric layer and one of the second epitaxial structures to form an opening exposing the one of the first epitaxial structures; etching a sidewall of the one of the second epitaxial structures to create an offset from a sidewall of the dielectric layer within the opening; after creating the offset from the sidewall of the dielectric layer within the opening, forming a silicide on the sidewall of the one of the second epitaxial structures; filling a contact material in the opening. In some embodiments, the silicide is in contact with a bottom surface of the dielectric layer exposed from the one of the second epitaxial structures. In some embodiments, the contact material is in contact with a bottom surface of the dielectric layer exposed from the one of the second epitaxial structures. In some embodiments, creating the offset from the sidewall of the dielectric layer within the opening is performed ex-situ with etching through the dielectric layer and the one of the second epitaxial structures. In some embodiments, creating the offset from the sidewall of the dielectric layer within the opening is performed by a dry etching process without a bias power.
In some embodiments, a semiconductor structure includes a first transistor, a second transistor, and a source/drain contact. The first transistor includes first semiconductor sheets, a first gate structure surrounding each of the first semiconductor sheets, and first source/drain structures on either side of each of the first semiconductor sheets. The second transistor is over the first transistor and includes second semiconductor sheets, a second gate structure surrounding each of the second semiconductor sheets, and second source/drain structures on either side of each of the second semiconductor sheets. The source/drain contact extends through one of the second source/drain structures of the second transistor to one of the first source/drain structures of the first transistor. The source/drain contact includes a first profile having a first sidewall and a second sidewall opposing the first sidewall, and a second profile over the first profile and having a third sidewall and a fourth sidewall opposing the third sidewall. At a boundary of the first profile and the second profile, a width between the third sidewall and the fourth sidewall is greater than a width between the first sidewall and the second sidewall by a non-zero offset value. In some embodiments, semiconductor structure further includes a silicide layer interfacing the second profile of the source/drain contact. In some embodiments, the silicide layer is spaced apart from the first profile of the source/drain contact. In some embodiments, the source/drain contact further comprises a third profile over the second profile and having a fifth sidewall and a sixth sidewall opposing the fifth sidewall, and wherein at a boundary of the third profile and the second profile, a width between the fifth sidewall and the sixth sidewall is less than the width between the third sidewall and the fourth sidewall. In some embodiments, along a vertical direction, a variation in the width between the first sidewall and the second sidewall of the first profile is different from a variation in the width between the third sidewall and the fourth sidewall of the second profile.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.