Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing

Information

  • Patent Grant
  • 7783375
  • Patent Number
    7,783,375
  • Date Filed
    Monday, December 31, 2007
    16 years ago
  • Date Issued
    Tuesday, August 24, 2010
    14 years ago
Abstract
Systems, methods and mediums are provided for dynamic adjustment of sampling plans in connection with a wafer (or other device) to be measured. The invention adjusts the frequency and/or spatial resolution of measurements on an as-needed basis when one or more events occur that are likely to indicate an internal or external change affecting the manufacturing process or results. The dynamic metrology plan adjusts the spatial resolution of sampling within-wafer by adding, subtracting or replacing candidate points from the sampling plan, in response to certain events which suggest that additional or different measurements of the wafer may be desirable. Further, the invention may be used in connection with adjusting the frequency of wafer-to-wafer measurements.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The present invention concerns computer-related and/or assisted methods, systems and computer readable mediums for metrology during process control. More specifically, it relates to dynamic adjustment of metrology schemes and sampling during advanced process control methods, for example during control of semiconductor technology manufacture.


2. Related Art


In the wafer fabrication art, measurements are made by metrology tools on wafers as they are being manufactured by processing devices, in order to ensure that the wafers are produced according to a predefined specification. The measurements are made of physical properties such as film thickness and uniformity, dopant concentration, gate length and critical dimension. This is known as the science of “metrology.”


Measurements to be made are typically specified in a “die map”. The die map indicates where the different chips (or die) are located on a wafer (in the typical situation where multiple chips are formed on and eventually cut from a single wafer), as well as significant locations, such as corners, on each die. In order to measure the right hand corner on each die, for example, multiple points are measured on the wafer in accordance with the die map. Ordinarily a die map is a digital representation of coordinate points, or “metrology coordinates,” on the wafer.


The metrology coordinates are usually provided by an engineer, and vary depending on the engineer's preferences. Metrology coordinates are conventionally provided as x, y coordinates.


A “sampling plan,” alternatively referred to as a “metrology plan,” contains metrology coordinates drawn from the die map. The sampling plan denotes a specific plan for taking certain measurements. These measurements may include some or all of the possible points and/or chips in the die map.


A conventional metrology system assigns a sampling plan that predetermines which wafers are to be measured in connection with a processing device, and the measurements which are to be taken of those wafers by the metrology tool. For example, the sampling plan might define that each fifth wafer should be measured at pre-designated locations. These sampling plans are not changed after being initially assigned, and hence the metrology systems are static.


Unfortunately, manufacturing results tend to drift away from the intended target or specification when there is a change in the manufacturing process, such as a change in recipe, preventative maintenance, consumables change, environmental change or a new lot of wafers. Conventional metrology systems tend to miss some wafers which are outside specification limits, since these systems use a virtually consistent measurement scheme, having consistently frequent measurements with consistent spatial resolution, without taking into consideration whether any changes were introduced into the manufacturing process which might change the manufacturing results.


Manufacturing systems do not typically call for a measurement of every wafer, since measuring takes time and increasing the number of measurements results in a decrease of productivity. On the other hand, measuring fewer wafers tends to lead to delayed detection of critical information for process control that may significantly impact wafer yield. While conventional sampling systems will sample wafers during and/or after production, these systems do not adjust the initially assigned sampling plan for the wafers during production.


Thus, there remains a need for dynamic metrology to improve the quality of products. For semiconductor wafers, there remains a need to better check whether each specification is met under production conditions. There also remains a need to respond to a change in parameters which may cause a variance from intended target results, such as recipe parameters, and to adjust the frequency and/or spatial resolution of measurements. Unfortunately, taking measurements takes time, and most processing devices are faster than the measurements that need to be taken by metrology tools in order to characterize the wafers using a metrology. Thus, there remains a need for a method, system and medium to react to changes potentially affecting the system results, and to appropriately adjust, increase, or decrease the measurements accordingly.


SUMMARY OF THE INVENTION

The present invention alleviates the problems of the conventional techniques described above by dynamically determining whether a wafer needs to be measured for process control based on changes in the resources, recipes, etc. In addition, for a given wafer to be measured, measuring points are also dynamically assigned to the metrology tool.


More specifically, two variations of embodiments of the present invention are contemplated and may be used independently or together. According to the first variation, the frequency at which wafers are measured (“wafer-to-wafer”) is adjusted, following an event that suggests that more (or fewer) wafers should be measured. According to the second variation, the spatial resolution of the measurements of those wafers selected for measurement (“within-wafer”) is increased or decreased, following an event that suggests each wafer which is measured should be measured in greater (or lesser) detail.


In one or more embodiments of the present invention, candidate coordinate measurement points are mapped in a die map, and a subset of the candidate coordinate measurement points are selected as the initial points where measurements are to be made. Subsequently, according to the within-wafer variation, the invention dynamically selects more, fewer or different points (depending on the circumstances) to be measured from among the candidate coordinate measurement points. According to the wafer-to-wafer variation, when there is a change in the manufacturing process, the number of measurements may be increased, to measure every wafer rather than just every third wafer for example. As one example, when a new recipe is implemented to significantly change the thickness at a particular region on the wafer, a greater number of within-wafer measurements can be made at that location by selecting more and/or different candidate measurement points. As another example, when a fault is detected, the frequency of wafers selected for measurement is increased; this increases the probability of detecting defectively manufactured wafers and correcting the control parameters (such as in connection with a feed forward/feedback method). In some situations, large deviations may require less frequent measurement or less spatial resolution than small deviations when the large deviations clearly identify the problem, whereas small deviations may be difficult to identify and more frequent and/or dense measurements may be necessary. The reverse may be appropriate in other situations regarding the frequency and density of measurements, or it may be the case that the same number of measurements may be taken regardless of deviation.


According to one or more embodiments of the present invention, there is provided a method, system and/or computer-implemented method for measuring at least one manufacturing characteristic for at least one product manufactured by a manufacturing process. Information is provided, representative of a set of candidate points to be measured by the manufacturing process on the at least one product. The manufacturing process executes a plan for performing measurements on the at least one product to measure the at least one manufacturing characteristic, the plan defining the measurements to be made responsive to the set of candidate points. A change in the manufacturing process is detected, the change including at least one of: receiving new material in the manufacturing process, detecting a fault in the manufacturing process, detecting a change in a control parameter in the manufacturing process, and detecting a variation in a measurement of the at least one product.


According to one or more embodiments, the plan for performing measurements is adjusted based on the detected change and at least one additional measurement is performed responsive thereto.


According to one or more embodiments, the measurements of the plan are adjusted wafer-to-wafer and/or within-wafer.


According to one or more embodiments, the product is a semi-conductor wafer and the manufacturing process is an automated semi-conductor manufacturing process.


According to one or more embodiments, the plan further includes information representative of a metrology recipe.


According to one or more embodiments, the candidate points are included in a map corresponding to the at least one product. The plan may be a pre-determined sampling plan.


According to one or more embodiments, the plan defines at least one region on the product, each of the candidate points corresponding to the at least one region.


According to one or more embodiments, the adjustment includes determining the at least one region corresponding to the detected change, selecting the at least one additional measurement responsive to the candidate points corresponding to the determined region, assigning the selected at least one additional measurement to be performed under the plan, and revising at least one of the measurements, the additional measurement and the plan. The adjustment may include determining whether the detected change may affect a series of products, and if so, determining whether to measure at least one of the products in the series of products. The products may be provided in a group, and the plan may further include first information representative of the products in the group that are available to be measured, and second information representative of the products in the group that are to be measured under the plan.


According to one or more embodiments, information representative of measurement results on the product is discarded when the measurements results indicate a variation in measurement of the product and/or when a fault is detected in the manufacturing process.


According to one or more embodiments, the sampling plan includes a plurality of splines radiating from a center of a product, and the candidate points are distributed along the splines. The distribution of the candidate points along the splines may be weighted according to a surface area of the product. According to one or more other embodiments, the sampling plan includes a plurality of radially distributed candidate points.





BRIEF DESCRIPTION OF THE FIGURES

The above mentioned and other advantages and features of the present invention will become more readily apparent from the following detailed description in the accompanying drawings, in which:



FIG. 1 is a flow chart showing one example of dynamic metrology for “wafer-to-wafer” processing in the present invention.



FIGS. 2A and 2B are an illustration of regions on a wafer, with FIG. 2A being a plan view of the wafer and FIG. 2B being a cross-section of the wafer along radius B-B of FIG. 2A.



FIG. 3 is a flow chart showing one example of dynamic metrology for “within-wafer” processing in accordance with one or more embodiments of the present invention.



FIGS. 4A and 4B are a spiral sampling plan for a wafer for use with one or more embodiments of the invention, with FIG. 4A being a plan view of the wafer and FIG. 4B being a cross-section of the wafer along a radius of FIG. 4A.



FIG. 5 is an example of another sampling plan for use with one or more embodiments of the invention.



FIG. 6 is a block diagram of a computerized process control system which may be used in connection with one or more embodiments of the present invention.





DETAILED DESCRIPTION

The following detailed description includes many specific details. The inclusion of such details is for the purpose of illustration only and should not be understood to limit the invention. Throughout this discussion, similar elements are referred to by similar numbers in the various figures for ease of reference. In addition, features in one embodiment may be combined with features in other embodiments of the invention.


In one or more embodiments of the present invention, static metrology means there is a pre-determined sampling plan in connection with a wafer (or other device) to be measured, specifying substantially the same points for each wafer (or the other device). In contrast, a dynamic metrology plan utilizes an initial sampling plan and adjusts the sampling responsive to certain events or non-events. As an example of an adjustment due to a non-event, if the last ten wafers measured are all the same, and if the processing device did not change, and if the recipe on the processing device did not change, one could reasonably assume that the next series of wafers will have measurements that are also all the same. That being the case, then in order to increase throughput and decrease the time it takes to do measurements, the invention provides for dynamically adjusting the measurements, for example, such that every third wafer instead of every wafer is measured. This invention thus detects and adjusts for not only potential errors, which could arise for example upon a recipe change, but also for accuracy.


One or more embodiments of the present invention contemplate that the invention may be used in connection with water-to-wafer measurements described above, as well as, or alternatively, in connection within-wafer measurements. Consider an example of within-wafer measurements, in which measurements are taken along a radius of a 200 mm diameter wafer and the radius is measured in 10 mm increments. During processing it is noted or detected by the usual detection process that there is a large variation at the 50 mm and 60 mm points. For the next sample, the system adjusts to measure another point from the sampling plan between 50 mm and 60 mm to better characterize that variation, or optionally to measure an additional point, for example, between 40 mm and 50 mm that is near the location of the variation. If the die map includes points at 45 mm and 55 mm, these points can then be added as measurement points. Adjusted measurements now encompass in this example, 40 mm, 45 mm, 50 mm, 55 mm, and 60 mm. The system dynamically added the two additional points (in the example) to better characterize the measurement and/or the variation. Where there are provided a number of candidate points in the die map allowing points to be added or substituted, the system can select among the points any of several ways, such as selecting the closest to mean, mode, other statistical analysis, etc.


A sampling plan provides specific measure points within a die, a die being the section on the wafer that will typically eventually become a single chip after processing. There are specified points within the die that are candidates for measuring. The map of the die is stored, preferably in an electronic format representing the map. One appropriate place for storing the die map information is in the factory automation system (“MES” or manufacturing execution system). The stored die map information may be advantageously retrieved and translated to determine the available points for measurement on the wafer. Referring back to the previous example proposing measurement points on the radius at 45 mm and 55 mm, if these specific points are not relevant to the current die (e.g., they are not specified by the die map), an appropriate replacement would be points selected from the candidate points specified by the die map which are close to or between 45 mm and 55 mm. Those points could be selected dynamically as well. Other criteria may be used for selecting points as well.


Dynamic metrology is performed to better meet a certain specification. For example, if recipe parameters are changed on the processing device, to adjust the thickness of a film that is deposited on the wafer, it may be desirable to more closely check whether the specification is still being achieved by performing measurements.


In order to avoid slowing down the process, one or more embodiments of the present invention advantageously determine the appropriateness of performing additional measurements when one or more events occur that are likely to indicate an internal or external change affecting the manufacturing process or results. The increase in measurements and possible corresponding decrease in processing occur on an as-needed basis and/or based on predetermined criteria.


The wafer-to-wafer variation of the invention, for example, can check for events which may affect a series of wafers and may adjust the sampling plan. For example, during processing, the system determines if an increase is needed in the frequency of wafers measured for process control, for example, based on—1) a change in the processing device the wafers are processed on, 2) a change in the parameters or recipe that were used by the processing device to process the wafer, 3) large detected variations or errors in measurements, and/or 4) a significant run of wafers without errors.


Particularly regarding within-wafer variation, one or more embodiments of the present invention contemplate that the system obtains a stored die map with metrology coordinate information from the MES. As indicated, the system can provide not only for assigning the measurement points optionally dynamically, but also for de-assigning.


One or more embodiments of the present invention envision changing the sampling plan using information that is gathered from the MES and automatically using that new sampling plan, depending on, for example the type of processing device on which the wafers are processed. Advantageously, the system has stored information about a wafer that indicates, among other things, the type of chip or type of device and an associated sampling plan to be used when measuring a wafer containing a specific device. Based on the type of device, the associated sampling plan or die map can be obtained, where the die map includes a set of candidate metrology points. The system then selects metrology points for the current wafer from the set of, or responsive to, the candidate points in the die map.


With respect to the sampling plan, generation of the sampling plan can vary from device to device (chip type to chip type) and some measurements may be based on die distribution on the wafer. By dividing a wafer into regions and using regions of the wafer for measurement, one or more embodiments of the present invention provide flexibility in selecting one or more points from available points in the region. Use of regions is one way to provide a pool of candidate points, from which the system may select points that are most relevant to the desired information about the film on the wafer.


In practice, the system may, for example, measure twenty-two to twenty-five points per wafer from the pool of candidate points. For some processes the system might measure fewer points, such as eight points, because it takes longer to measure those points or the wafer-processing time-is faster. For other processes the system might measure one point of another type of property, such dopant concentration, which is a relatively slow measurement.


In any event, it is important to balance the time consumed in a measurement against the need to produce quality products. Manufacturers consider it to be more important to be within specifications and not produce defective product, than to rapidly produce product of suspect qualities.


Each processing device on which a wafer is processed has a different processing time, and therefore the selected standard sampling rate may depend on the speed of processing of the processing device and metrology tool. On some processing devices, measurements on every wafer will not slow down processing since the speed of the processing device is slower than the measurements by the metrology tool. For example, polishing and cleaning processing devices may consume five minutes or more to process a wafer. In that case a post-processing measurement by the metrology tool on every wafer would often not reduce throughput.


Additionally, the system may determine whether or not to make additional measurements based on the initial and the final condition of the wafers. For example, if there is a situation in which the incoming thickness profile of a cross section of a wafer does not change very much, the system may reduce the frequency of samples of incoming profiles, wafer-to-wafer. On the other hand, if the incoming profile is changing significantly, it may be desirable to measure every entering wafer.


Reference is made to FIG. 1, illustrating an example of a flow chart for one or more embodiments of a wafer-to-wafer dynamic metrology system. The system checks whether there may have been a significant change in the state of the processing device, which can be detected by checking, for example, idle time, change of consumables, etc. There may be other events that could be checked that would indicate a potential change in the processing device or lead one to believe that it might have been changed. It is possible that the processing device itself may include sufficient programming to recognize or track those type of events. The flowchart example in FIG. 1 includes an example set of events or state changes that initiate analysis and decision-making, based upon information gathered from the processing device and based on a significant internal or external change (e.g., system was idle for a long time, chamber was cleaned, new batch of slurry, initial wafer, etc.). Other events or states may be included in the set from which it is determined whether or not to measure a wafer.


One or more embodiments, of the present invention also envision the following. Assume that there is provided an initial sampling plan. The plan could, for example, direct measuring of specific points on each wafer and/or comprise information indicating which wafers within the lot will be measured. The wafer is measured according to the sampling plan. According to the wafer-to-wafer metrology plan, the system deviates from the initial sampling plan when warranted. The system could return to the initial sampling plan once it detects that the process is again “normal” or again producing product within specification.


Referring still to FIG. 1, consider for example a typical cassette of twenty-five wafers to be processed according to one or more embodiments of the present invention. The cassette of wafers arrives at the processing device, usually from some other processing device, and processing on the lot is started, at block 101.


If a wafer being processed by a processing device is the first wafer of a particular lot on the processing device then it may be desirable to measure this wafer, in order to detect if perhaps there was some processing device related property that changed. Thus, at block 103, the system checks whether it is processing the first wafer on the resource. This could also include situations such as following preventative maintenance where the chamber in the processing device has been cleaned or perhaps a consumable was replaced in the processing device.


If a processed wafer was the first (or other predetermined) wafer on the resource in accordance with block 103, then the system checks at block 105 whether the processing device was idle, greater than some specified time before starting the present process; and if the processing device was not significantly idle, the system checks at block 107 whether the process was changed or altered. If the process was not changed, a measurement of the wafer may or may not be-implemented according to the initial sampling plan at block 116 the wafer-is accordingly measured at block 120 or not measured at block 118. On the other hand, if the resource was idle for a sufficiently long time, or if the process has changed, at blocks 109 or 111 respectively, a new measurement is taken.


If the wafer was not the first one on the processing device, then as indicated, at block 113, the system checks whether a significant change was made to the recipe, such as by the process control algorithm or process controller. It is typically desirable to ensure that even if a significant change was made, the specifications are still satisfied. A change to the recipe could include time, pressures, flow rates, etc., or even a completely different recipe. If the recipe was significantly changed, then at block 115, the system calls for a measurement of the wafer.


The system also checks whether a fault was detected, such as in the processing device. Processing devices may be monitored by the factory automation system, for example to determine whether there is some problem with the processing device, either from the automation system side or from the processing device itself. Also, the processing device itself may include the ability to detect a fault. If a fault is detected, the system could subsequently measure to confirm that the wafer is within specifications. Thus, at block 117, it is determined whether a fault was detected. If a fault was detected, at block 123 the system measures the wafer. Since it is likely that the wafer has errors, it might be desirable not to use such measurements for feedback purposes.


There may be two cases for uses of measurement values. In the first case, the system uses the measurement value or stores that measurement value for further processing, such as measurements following a resource idle condition. In the second case, such as following a fault detection, the system may check the wafer or series of wafers for acceptability but does not store the value which might skew historical results. In the first case, the system is using the historical value for modeling of the processing device in order to better predict how the processing device will behave, or for other purposes. For example, where a fault is know to have occurred, the manufacturer will want to find and correct the cause of the fault, often by changing a process component or parameter. Thus, the process data attributed to a wafer that triggered detection of a fault is not indicative of the “normal” processing in the process system. On the other hand, for the fault detection case, the system merely ensures that that wafer is a good (e.g., usable) wafer versus a bad wafer. Unfortunately, usually following a fault there are several wafers in a series potentially affected by the fault, and it is desirable to measure the wafers in the series. Once the wafer(s) are measured following a fault, if the wafer(s) are bad, it is desirable to mark the wafer as questionable and discard the measurement value as well as perhaps the wafer itself.


Similarly, if a wafer is off target despite no change to the recipe, no detection of a fault, and no other likely cause of error, there is likely to be a series of off-target wafers. Consequently, where a wafer with errors is detected, the next wafer is significantly more likely to also experience errors. Thus, at block 119, the system checks whether the previous wafer was sufficiently far from the target, as determined by a previous measurement made in accordance with FIG. 1. If so, then at block 121 the system measures the current wafer as well.


Finally, it may be desirable to measure the wafer according to the initial sampling plan. Thus, at block 125, the system checks the initial sampling plan to advantageously determine whether the current wafer should be measured according to the initial sampling plan. If not, then the system does not measure the wafer. According to one or more embodiments, a modified sampling plan is used to measure the wafer under appropriate situations, such as after a change of type of chip.


Similarly, if no conditions affecting wafer processing are changed and if the series of wafers have been on target, one would expect the wafers to continue to be on target. Thus, as indicated at block 127, if the measurement of the last n wafers were sufficiently on target, there is no need to measure the wafer in this instance or as frequently. In this manner, the number of measurements can be reduced and processing time is potentially reduced. On the other hand, if at block 127 the system determines that the last series of n wafers were not on target, at block 129 the system measures the current wafer.


Reference is made to FIG. 2, a map of a wafer illustrating measurement regions for the within-wafer dynamic metrology. It is referred to as “within-wafer” since the system may be changing the metrology within the wafer, in distinction to the previously-described wafer-to-wafer dynamic metrology. (FIG. 3, described in detail below, illustrates an example of a flow chart for within-wafer dynamic metrology.)


Where the process performed by the processing device on the wafer is symmetric such that the system is affecting portions of the film on the wafer in a symmetric matter, it may be reasonable to measure fewer points, perhaps a measurement of only one radii. On the other hand, where there were previous steps performed by the processing device on the wafer that were asymmetric, information on additional measurement values may need to be captured. The number of desirable measurement points therefore additionally depends upon the type of process, and upon the step in the process if applicable.


For instance, given a very uniform process, perhaps only five points on the wafer need to be measured to provide sufficient precision. On the other hand, given a very non-uniform process or much unresolved information, perhaps twenty-five points should be measured to achieve a sufficient level of precision.


Typically the factory automation system, or the software in the factory automation system, is programmed to determine which process (or processes) or step within a process is being run on which processing device. Based on that information, the system can determine whether few or many points are desired for an adequately precise measurement or set of measurements of the wafer.


Consider, for example, a processing device with multiple chambers or resources independently processing wafers. In this example, the process control algorithm describes four recipe changes. The invention determines which wafers need to be measured (wafer-to-wafer), and any desired change in number of measurement points due to the dynamic recipe change (within-wafer). This metrology strategy consequently enables a dynamic metrology change based on the die map from the MES or other factory automation system.


The die map provides a pool of candidate points corresponding to a wafer to be measured, and the system can select from among the candidate points, the points that correspond most directly to the information needed or desired in connection with that wafer. The MES or other factory automation system provides information indicating allowable or relevant possible points that could be measured; from those candidate points, one or more embodiments of the present invention contemplate that the system selects the minimal set of points that would capture the desired information.



FIGS. 2A and 2B illustrate a plan view and a cross section of an example of a typical wafer 201, in this instance having radial regions 1 through 5. As shown in FIG. 2A, the illustrated wafer 201 is circular. Chips on the wafer are usually square and placed across the wafer. At the end of processing, the chips are divided from the wafer. FIG. 2B shows a cross section of the wafer of FIG. 2A, across section B-B from one edge to the center of the wafer. Region 1 extends radially from the center to 40 mm; region 2 extends from 40 mm to 60 mm; region 3 extends from 60 mm to 80 mm; region 4 extends from 80 mm to 92 mm; and region 5 extends from 92 mm to 95 mm. A wafer could be divided into more or fewer regions. Also, although the regions are illustrated as radial, the same concepts apply where the regions are neither circular nor radial.


A die map includes a sampling plan that optionally distinguishes among different regions of the wafer. Such a sampling plan would include information indicating a set of measurement points, associated with regions of the wafer.


The flowchart of FIG. 3 discusses an example of within-wafer metrology, that is, when the system should or should not change the measurement points. FIG. 3 thus contrasts to FIG. 1, indicating whether to measure a current wafers (wafer-to-wafer dynamic metrology). FIG. 3 defines an example set of questions to determine whether more points are needed to measure a region variation within a given wafer.


Reference is now made to FIG. 3, illustrating an example of the within-wafer dynamic metrology, as contemplated by one or more embodiments of the present invention. At block 301, the wafer is measured by the metrology tool utilizing the current sampling plan. Having-measured the wafer, the system analyzes the current wafer to determine whether there are significant variations that might warrant changing the sampling plan for the next wafer. The wafers are potentially changed from run to run. That is, the system performs an action, and then based upon the results of that action, the system determines whether to utilize the same sampling plan for the next wafer or to do something different.


At block 303, it is determined whether there is a variation from the specification within one or more of the regions on the current wafer. If not, then as indicated by block 305 there is no need to add more sampling points.


At block 307, if there was a variation in a region, it is then determined whether the variation was due to an outlier or flier. An outlier or flier is a situation in which the measurement point is not an accurate reflection of the actual value. If there is a speck of dust on the wafer, for example, this may cause an erroneous thickness measurement; or for instance the actual measured point may be significantly distant from the correct measurement coordinates, resulting in significantly higher or lower thickness. An outlier or flier can be determined statistically in a number of ways based on how different the measured point is from the expected measurement. It may be difficult to determine in some cases whether the variation is due to a flier or if there is an actual variation. The data collected could be used to indicate a potentially defective die.


Of course, it should be understood that one or more embodiments of the present invention contemplate that any number of other causes for variations can be detected, and a decision made accordingly as to whether (and how) the sampling plan may be changed.


Referring still to FIG. 3, if the variation from the specification is due to an outlier or flier, then as indicated by block 309, the sampling plan is not changed. The measurement is not likely to be an accurate reflection of the wafer, and therefore the system should not react to the measurement.


At block 311, it is determined whether the variation from the specification is one for which the processing device can possibly compensate. For example, a processing device may be able to correct for radial variation, but not for a variation that is angular or azimuthal. Thus, at block 313, if the processing device cannot compensate for the variation in the region, then the sampling plan is not changed. On the other hand, if the processing device can compensate for the variation in the region, then at block 315 points are added to the region in the sampling plan for the next wafer in order to better characterize the region. Optionally, the data may be fed back to system controller in order to change the process in response to this drift condition.


According to one or more embodiments of the inventions, an error in one or more wafers may initiate some level of error handling and/or alarming. If there is an error that does not result in a change to the sampling plan, such as a non-systematic variation, and even if the system cannot compensate, in one or more embodiments of the present invention the system might generate an alarm or trigger performance of other error handling. If the error exhibits the characteristics of a systematic effect, such as wafers out of specification, then an alarm could be generated. If the error is one wafer that is out of specification, according to one or more embodiments of the invention, the system flags that wafer.


The flow chart of FIG. 3 illustrates one potential example of within-wafer metrology. Other types of checks and decisions are also contemplated and may be used in combination with, and/or replace, the detailed checks. For example, an additional check could include whether there is a large change in the recipe parameter that could have affected a specific region; if so, a determination can be made as to whether the change affected the region to the extent that more information is desirable; and if so, more metrology points can be added to the sampling plan.


Reference is now made to FIGS. 4A and 4B, illustrating a plan view and sectional view, respectively, of a triangular spiral sampling plan. This is one example of a specific sampling plan, showing specific measurement points 401 in relation to a wafer 201. Other static sampling plans may be used. Nevertheless, the illustrated spiral sampling plan is well adapted to capturing both radial change as well as angular change Consider polar coordinates, where R is the radius and theta is the-angle; the triangular spiral sampling plan can capture variations in both the R direction and the theta direction. If the system can compensate only for variations that are radial, it may be desirable to add measurement points in the radial direction. Even if a significant angular variation was detected, one might not add any measurement points if the variation cannot be corrected anyway due to the manner of holding and/or spinning the wafer in the processing device.


Still referring to the example sampling plan illustrated in FIGS. 4A and 4B, the points 401 are distributed along three splines 403 radiating from the center of the wafer. The points 401 of this example are generally distributed in each of eight regions, shown in FIG. 4B. In this sampling plan one could potentially add points in a radial direction. There could be provided more or fewer regions in other embodiments of the invention. Suppose that in Region 1, which are all points radially from approximately 0 mm to 40 mm, there is a large variation; more measurement points could be added from the die map in order to better characterize that variation. FIG. 4A indicates equidistant radii at 24.375 mm from the center, 48.75 mm, 73.125 mm, and 97.5 mm for purposes of illustration. It should be noted that the distance between points 401 along spline 403 advantageously decreases towards the outer diameter of the wafer, to accommodate the increase in the surface area in relation to the width of the region.



FIGS. 4A and 4B illustrate only one of many potential sampling plans, in this instance a particular spiral sampling plan. Other sampling plans are possible. One advantage of the illustrated spiral sampling plan is that it quantifies not only radial but also angular variation. Another advantage is that it also measures a weighted region, that is it measures a selected number of coordinates in approximate proportion to the wafer surface area that they represent. Closer to the edge of the wafer, measurement points are more dense or closer together, since the radial distance is much further and the area of the region is greater in comparison to the width of the region.


Moreover, the variation on the edge typically will be much higher than variation toward the center of the wafer. The variation tends to increase proportionately further away from the-center. As a result, the density of the points to be measured may be advantageously increased as the points move radially outward.


Furthermore, the present invention optionally optimizes the measuring speed of the spiral sampling plan. In performance of metrology, a measurement is faster if performed radially across the wafer. According to the spiral sampling plan contemplated by one or more embodiments of the present invention, the wafer may be rotated approximately 120 degrees subsequent to a linear measurement, and then the next measurement is taken at the next point positioned radially across the wafer; then the wafer is again rotated approximately 120 degrees for the next measurement and so forth. The angle of rotation can be varied to correspond to the disposition of points as well as to accommodate the capabilities and/or limitations of the metrology tool. The wafer may be positioned on a pedestal and rotated and shifted while the metrology tool performs the measurement of the wafer.


Other sampling plans are also contemplated by one or more embodiments of the present invention, including a sampling plan with a large number of points, such as forty-nine (illustrated in FIG. 5), or a small number of points, such as five. Other sampling plans with other distributions of metrology points, such as distributed in concentric circles or star formations, or other variations may be used in one or more embodiments.


Reference is made to FIG. 6, illustrating a possible computerized process control system which may be used in connection with one or more embodiments of the present invention. The system includes a standard factory automation system such as an APC 601. The APC 601 provides for central control of, and communication with, one or more standard processing devices 603 or resources. In turn, the processing device 603 communicates with and controls a standard metrology tool 605, which measures wafers in accordance with the processes described in connection with the present invention. Although FIG. 6 illustrates a typical system, other configurations are possible, such as having the metrology device(s) 605 communicate with the APC 601, or even omitting the APC 601 and having the metrology device 605 pattern the processes described herein.


Examples of processing devices that may be used in conjunction with the invention include chemical mechanical planarization (CMP) tools, etch tools, chemical vapor deposition (CVD) tools, lithography tools and others. It should be noted that the processing device may incorporate the metrology tool in some configurations.


While this invention has been described in conjunction with the specific embodiments outlined above, many alternatives, modifications and variations will be apparent to those skilled in the art. Accordingly, the preferred embodiments of the invention as set forth are intended to be illustrative and not limiting. Various changes may be made without departing from the spirit and scope of the invention as defined in the following claims.


For example, it would be possible to use any sampling plan with the invention. A sampling plan may include information in addition to that mentioned above. Further, a sampling plan may combine information from multiple sampling plans. As another example, although the above discusses a pre-determined or static sampling plan, such pre-determined or static sampling plan includes those sets of coordinate points measured on the fly such as just prior to wafer processing.


As another example, events or conditions in addition to, in combination with, and/or replacing these discussed above, could be checked as part of the wafer-to-wafer metrology determination. For example, a metrology tool, a processing device, or the system itself could indicate a fault. Moreover, it is possible that the reason for the fault could be indicated, and such information could be specifically checked and appropriately handled as well. The system could check for changes to the recipe in several different ways, such as replacement of a recipe, or change in recipe parameters.


Similarly, other events or conditions could be handled as part of the within-wafer determination. For example, there may be one or more regions of any shape on the wafer. As another example, points could be omitted from the sampling plan in appropriate cases. A further example includes other events mentioned above in connection with wafer-to-wafer processing.


As another example, the factory automation system may be a general purpose computer, or a specially programmed special purpose computer. It may also be implemented as a distributed computer system rather than as a single computer; some of the distributed system might include embedded systems. Further, the programming may be distributed among processing devices and metrology tools or other parts of the process control system. Similarly, the processing could be controlled by a software program on one or more computer systems or processors, or could be partially or wholly implemented in hardware. Moreover, the factory automation system may communicate directly or indirectly with the relevant metrology tool(s), processing devices, and metrology system(s); or the metrology tool(s), processing devices and metrology system(s) may communicate directly or indirectly with each other and the factory automation system.

Claims
  • 1. A computer-implemented method of measuring at least one manufacturing characteristic for at least one wafer from a lot of wafers manufactured by a manufacturing process, comprising: providing information representative of a set of candidate points to be measured by the manufacturing process on the at least one wafer from the lot of wafers;executing, by a computer system, a plan for performing measurements on the at least one wafer from the lot of wafers to measure the at least one manufacturing characteristic, the plan defining at least one of: which of the wafers in the lot of wafers are to be measured and which candidate points on the wafers to be measured to make measurements on;detecting, by the computer system, one of a plurality of events or a lack of one of the plurality of events indicating a change in the manufacturing process, the change pertaining to at least one of: receiving new material in the manufacturing process, and detecting a change in a control parameter in the manufacturing process; andadjusting, by the computer system, the plan for performing measurements on the at least one wafer from the lot of wafers based on the detected change while the lot of wafers is being processed, wherein adjusting the plan includes at least one of: adjusting which wafers in the lot of wafers are to be measured and adjusting which candidate points on the wafers to be measured to make measurements on.
  • 2. The method of claim 1, wherein the plan further includes information representative of a metrology recipe.
  • 3. The method of claim 1, wherein the plan defines at least one region on the wafer, each of the candidate points corresponding to the at least one region.
  • 4. The method of claim 1, wherein adjusting the plan comprises: determining at least one region corresponding to the detected change;selecting at least one measurement responsive to the candidate points corresponding to the determined region;assigning the selected at least one measurement as an additional measurement to be performed or as a measurement to be removed under the plan; andrevising at least one of the measurements, the selected measurement, and the plan.
  • 5. The method of claim 1, wherein adjusting the plan comprises: determining whether the detected change is of a type that affects a series of wafers; anddetermining whether to measure at least one of the wafers in the series of wafers based on the determination of whether the detected change is of a type that affects a series of wafers.
  • 6. The method of claim 5, wherein there is provided a plurality of wafers in the lot of wafers, including the at least one wafer, and wherein the plan further comprises: first information representative of the wafers in the lot of wafers that are available to be measured; andsecond information representative of the wafers in the lot of wafers that are to be measured under the plan.
  • 7. The method of claim 1, wherein the plan comprises: a plurality of splines radiating from a center of the at least one wafer, the candidate points being distributed along the splines; anda distribution of the candidate points along the splines weighted according to a surface area of the at least one wafer.
  • 8. A computer-implemented system of measuring at least one manufacturing characteristic for at least one wafer from a lot of wafers manufactured by a manufacturing process, comprising: a memory to store information representative of a set of candidate points to be measured by the manufacturing process on the at least one wafer from the lot of wafers, and information representative of a plan for performing measurements on the at least one wafer from the lot of wafers to measure the at least one manufacturing characteristic, the plan defining at least one of: which of the wafers in the lot of wafers are to be measured and which candidate points on the wafers to be measured to make measurements on; anda processor, coupled to the memory, programmed to detect one of a plurality of events or a lack of one of the plurality of events indicating a change in the manufacturing process, the change pertaining to at least one of: receiving new material in the manufacturing process, and detecting a change in a control parameter in the manufacturing process; andadjust the plan for performing measurements on the at least one product from the lot of wafers based on the detected change while the lot of wafers is being processed, wherein adjusting the plan includes at least one of: adjusting which wafers in the lot of wafers are to be measured and adjusting which candidate points on the wafers to be measured to make measurements on.
  • 9. The system of claim 8, wherein the manufacturing process is an automated semi-conductor manufacturing process, further comprising at least one metrology tool for performing measurements on the wafer, operatively connected to the processor.
  • 10. The system of claim 8, wherein the plan further includes information representative of a metrology recipe.
  • 11. The system of claim 8, wherein the plan defines at least one region on the wafer, each of the candidate points corresponding to the at least one region.
  • 12. The system of claim 8, wherein to adjust the plan comprises: determining at least one region corresponding to the detected change;selecting at least one measurement responsive to the candidate points corresponding to the determined region;assigning the selected at least one measurement as an additional measurement to be performed or as a measurement to be removed under the plan; andrevising at least one of the measurements, the selected measurement, and the plan.
  • 13. The system of claim 8, wherein to adjust the plan comprises: determining whether the detected change is of a type that affects a series of wafers; anddetermining whether to measure at least one of the wafers in the series of wafers based on the determination of whether the detected change is of a type that affects a series of wafers.
  • 14. The system of claim 13, wherein there is provided a plurality of wafers in the lot of wafers, including the at least one wafer, and wherein the plan further comprises: first information representative of the wafers in the lot of wafers that are available to be measured; andsecond information representative of the wafers in the lot of wafers that are to be measured under the plan.
  • 15. The system of claim 8, wherein the plan comprises: a plurality of splines radiating from a center of the at least one wafer, the candidate points being distributed along the splines; anda distribution of the candidate points along the splines weighted according to a surface area of the at least one wafer.
  • 16. A tangible computer readable medium for storing executable instructions which when executed on a processing system cause the processing system to perform a method for measuring at least one manufacturing characteristic for at least one wafer from a lot of wafers manufactured by a manufacturing process, the method comprising: providing information representative of a set of candidate points to be measured by the manufacturing process on the at least one wafer from the lot of wafers;executing, by a computer system, a plan for performing measurements on the at least one wafer from the lot of wafers to measure the at least one manufacturing characteristic, the plan defining at least one of: which of the wafers in the lot of wafers are to be measured and which candidate points on the wafers to be measured to make measurements on;detecting, by the computer system, one of a plurality of events or a lack of one of the plurality of events indicating a change in the manufacturing process, the change pertaining to at least one of: receiving new material in the manufacturing process, and detecting a change in a control parameter in the manufacturing process; andadjusting, by a computer system, the plan for performing measurements on the at least one product from the lot of wafers based on the detected change while the lot of wafers is being processed, wherein adjusting the plan includes at least one of: adjusting which wafers in the lot of wafers are to be measured and adjusting which candidate points on the wafers to be measured to make measurements on.
  • 17. The tangible computer readable medium of claim 16, wherein the plan defines at least one region on the wafer, each of the candidate points corresponding to the at least one region.
  • 18. The tangible computer readable medium of claim 16, wherein adjusting the plan comprises: determining at least one region corresponding to the detected change;selecting at least one measurement responsive to the candidate points corresponding to the determined region;assigning the selected at least one measurement as an additional measurement to be performed or as a measurement to be removed under the plan; andrevising at least one of the measurements, the selected measurement, and the plan.
  • 19. The tangible computer readable medium of claim 16, wherein adjusting the plan comprises: determining whether the detected change is of a type that affects a series of wafers; anddetermining whether to measure at least one of the wafers in the series of wafers based on the determination of whether the detected change is of a type that affects a series of wafers.
RELATED APPLICATION

This application is a divisional of U.S. patent application Ser. No. 10/135,451, filed May 1, 2002, which claims the benefit of U.S. Provisional Application Ser. No. 60/322,459, filed Sep. 17, 2001, which is expressly incorporated herein by reference; and U.S. Provisional Application Ser. No. 60/298,878, filed Jun. 19, 2001, which is expressly incorporated herein by reference.

US Referenced Citations (378)
Number Name Date Kind
3205485 Noltingk Sep 1965 A
3229198 Libby Jan 1966 A
3767900 Chao et al. Oct 1973 A
3920965 Sohrwardy Nov 1975 A
4000458 Miller et al. Dec 1976 A
4207520 Flora et al. Jun 1980 A
4209744 Gerasimov et al. Jun 1980 A
4302721 Urbanek et al. Nov 1981 A
4368510 Anderson Jan 1983 A
4609870 Lale et al. Sep 1986 A
4616308 Morshedi et al. Oct 1986 A
4663703 Axelby et al. May 1987 A
4698766 Entwistle et al. Oct 1987 A
4717596 Barbee et al. Jan 1988 A
4750141 Judell et al. Jun 1988 A
4755753 Chern Jul 1988 A
4757259 Charpentier Jul 1988 A
4796194 Atherton Jan 1989 A
4901218 Cornwell Feb 1990 A
4938600 Into Jul 1990 A
4957605 Hurwitt et al. Sep 1990 A
4967381 Lane et al. Oct 1990 A
4974543 Jansen Dec 1990 A
5089970 Lee et al. Feb 1992 A
5108570 Wang Apr 1992 A
5208765 Turnbull May 1993 A
5220517 Sierk et al. Jun 1993 A
5226118 Baker et al. Jul 1993 A
5231585 Kobayashi et al. Jul 1993 A
5236868 Nulman Aug 1993 A
5240552 Yu et al. Aug 1993 A
5260868 Gupta et al. Nov 1993 A
5270222 Moslehi Dec 1993 A
5283141 Yoon et al. Feb 1994 A
5295242 Mashruwala et al. Mar 1994 A
5309221 Fischer et al. May 1994 A
5329463 Sierk et al. Jul 1994 A
5338630 Yoon et al. Aug 1994 A
5347446 Iino et al. Sep 1994 A
5367624 Cooper Nov 1994 A
5369544 Mastrangelo Nov 1994 A
5375064 Bollinger Dec 1994 A
5398336 Tantry et al. Mar 1995 A
5402367 Sullivan et al. Mar 1995 A
5408405 Mozumder et al. Apr 1995 A
5410473 Kaneko et al. Apr 1995 A
5420796 Weling et al. May 1995 A
5427878 Corliss Jun 1995 A
5444837 Bomans et al. Aug 1995 A
5469361 Moyne Nov 1995 A
5485082 Wisspeintner et al. Jan 1996 A
5490097 Swenson et al. Feb 1996 A
5495417 Fuduka et al. Feb 1996 A
5497316 Sierk et al. Mar 1996 A
5497381 O'Donoghue et al. Mar 1996 A
5503707 Maung et al. Apr 1996 A
5508947 Sierk et al. Apr 1996 A
5511005 Abbe et al. Apr 1996 A
5519605 Cawlfield May 1996 A
5525808 Irie et al. Jun 1996 A
5526293 Mozumder et al. Jun 1996 A
5534289 Bilder et al. Jul 1996 A
5535128 Laube et al. Jul 1996 A
5541510 Danielson Jul 1996 A
5546179 Cheng Aug 1996 A
5546312 Mozumder et al. Aug 1996 A
5553195 Meijer Sep 1996 A
5586039 Hirsch et al. Dec 1996 A
5599423 Parker et al. Feb 1997 A
5602492 Cresswell et al. Feb 1997 A
5603707 Trombetta et al. Feb 1997 A
5617023 Skalski Apr 1997 A
5627083 Tounai May 1997 A
5629216 Wijaranakula et al. May 1997 A
5642296 Saxena Jun 1997 A
5646870 Krivokapic et al. Jul 1997 A
5649169 Berezin et al. Jul 1997 A
5654903 Reitman et al. Aug 1997 A
5655951 Meikle et al. Aug 1997 A
5657254 Sierk et al. Aug 1997 A
5660895 Lee et al. Aug 1997 A
5661669 Mozumder et al. Aug 1997 A
5663797 Sandhu Sep 1997 A
5664987 Renteln Sep 1997 A
5664990 Adams et al. Sep 1997 A
5665199 Sahota et al. Sep 1997 A
5665214 Iturralde Sep 1997 A
5666297 Britt et al. Sep 1997 A
5667424 Pan Sep 1997 A
5674787 Zhao et al. Oct 1997 A
5694325 Fukuda et al. Dec 1997 A
5695810 Dubin et al. Dec 1997 A
5698989 Nulman Dec 1997 A
5711843 Jahns Jan 1998 A
5719495 Moslehi Feb 1998 A
5719796 Chen Feb 1998 A
5733171 Allen et al. Mar 1998 A
5735055 Hochbein et al. Apr 1998 A
5740429 Wang et al. Apr 1998 A
5751582 Saxena et al. May 1998 A
5754297 Nulman May 1998 A
5761064 La et al. Jun 1998 A
5761065 Kittler et al. Jun 1998 A
5764543 Kennedy Jun 1998 A
5777901 Berezin et al. Jul 1998 A
5787021 Samaha Jul 1998 A
5787269 Hyodo Jul 1998 A
5808303 Schlagheck et al. Sep 1998 A
5812407 Sato et al. Sep 1998 A
5823854 Chen Oct 1998 A
5824599 Schacham-Diamand et al. Oct 1998 A
5825356 Habib et al. Oct 1998 A
5825913 Rostami et al. Oct 1998 A
5828778 Hagi et al. Oct 1998 A
5831851 Eastburn et al. Nov 1998 A
5832224 Fehskens et al. Nov 1998 A
5838595 Sullivan et al. Nov 1998 A
5838951 Song Nov 1998 A
5844554 Geller et al. Dec 1998 A
5857258 Penzes et al. Jan 1999 A
5859777 Yokoyama et al. Jan 1999 A
5859964 Wang et al. Jan 1999 A
5859975 Brewer et al. Jan 1999 A
5862054 Li Jan 1999 A
5863807 Jang et al. Jan 1999 A
5867389 Hamada et al. Feb 1999 A
5870306 Harada Feb 1999 A
5871805 Lemelson Feb 1999 A
5874345 Coronel et al. Feb 1999 A
5883437 Maruyama et al. Mar 1999 A
5889991 Consolatti et al. Mar 1999 A
5901313 Wolf et al. May 1999 A
5903455 Sharpe, Jr. et al. May 1999 A
5910011 Cruse Jun 1999 A
5910846 Sandhu Jun 1999 A
5912678 Saxena et al. Jun 1999 A
5916016 Bothra Jun 1999 A
5923553 Yi Jul 1999 A
5926690 Toprac et al. Jul 1999 A
5930138 Lin et al. Jul 1999 A
5937323 Orczyk et al. Aug 1999 A
5940300 Ozaki Aug 1999 A
5943237 Van Boxem Aug 1999 A
5943550 Fulford, Jr. et al. Aug 1999 A
5960185 Nguyen Sep 1999 A
5960214 Sharpe, Jr. et al. Sep 1999 A
5961369 Bartels et al. Oct 1999 A
5963881 Kahn et al. Oct 1999 A
5975994 Sandhu et al. Nov 1999 A
5978751 Pence et al. Nov 1999 A
5982920 Tobin, Jr. et al. Nov 1999 A
6002989 Shiba et al. Dec 1999 A
6012048 Gustin et al. Jan 2000 A
6017771 Yang et al. Jan 2000 A
6036349 Gombar Mar 2000 A
6037664 Zhao et al. Mar 2000 A
6041263 Boston et al. Mar 2000 A
6041270 Steffan et al. Mar 2000 A
6054379 Yau et al. Apr 2000 A
6059636 Inaba et al. May 2000 A
6064759 Buckley et al. May 2000 A
6072313 Li et al. Jun 2000 A
6074443 Venkatesh et al. Jun 2000 A
6074517 Taravade Jun 2000 A
6077412 Ting et al. Jun 2000 A
6078845 Friedman Jun 2000 A
6094688 Mellen-Garnett et al. Jul 2000 A
6096649 Jang Aug 2000 A
6097887 Hardikar et al. Aug 2000 A
6100195 Chan et al. Aug 2000 A
6108092 Sandhu Aug 2000 A
6111634 Pecen et al. Aug 2000 A
6112130 Fukuda et al. Aug 2000 A
6113462 Yang Sep 2000 A
6114238 Liao Sep 2000 A
6123983 Smith, Jr. et al. Sep 2000 A
6127263 Parikh Oct 2000 A
6128016 Coelho et al. Oct 2000 A
6136163 Cheung et al. Oct 2000 A
6141660 Bach et al. Oct 2000 A
6143646 Wetzel Nov 2000 A
6148099 Lee et al. Nov 2000 A
6148239 Funk et al. Nov 2000 A
6148246 Kawazome Nov 2000 A
6150270 Matsuda et al. Nov 2000 A
6157864 Schwenke et al. Dec 2000 A
6159073 Wiswesser et al. Dec 2000 A
6159075 Zhang Dec 2000 A
6159644 Satoh et al. Dec 2000 A
6161054 Rosenthal et al. Dec 2000 A
6169931 Runnels Jan 2001 B1
6172756 Chalmers et al. Jan 2001 B1
6173240 Sepulveda et al. Jan 2001 B1
6175777 Kim Jan 2001 B1
6178390 Jun Jan 2001 B1
6181013 Liu et al. Jan 2001 B1
6183345 Kamono et al. Feb 2001 B1
6185324 Ishihara et al. Feb 2001 B1
6191864 Sandhu Feb 2001 B1
6192291 Kwon Feb 2001 B1
6194231 Ho-Cheng et al. Feb 2001 B1
6197604 Miller et al. Mar 2001 B1
6201208 Wendt et al. Mar 2001 B1
6204165 Ghoshal Mar 2001 B1
6210983 Atchison et al. Apr 2001 B1
6211094 Jun et al. Apr 2001 B1
6212961 Dvir Apr 2001 B1
6214734 Bothra et al. Apr 2001 B1
6217412 Campbell et al. Apr 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6219711 Chari Apr 2001 B1
6222936 Phan et al. Apr 2001 B1
6226563 Lim May 2001 B1
6226792 Goiffon et al. May 2001 B1
6228280 Li et al. May 2001 B1
6230069 Campbell et al. May 2001 B1
6232236 Shan et al. May 2001 B1
6236903 Kim et al. May 2001 B1
6237050 Kim et al. May 2001 B1
6240330 Kurtzberg et al. May 2001 B1
6240331 Yun May 2001 B1
6245581 Bonser et al. Jun 2001 B1
6246972 Klimasauskas Jun 2001 B1
6248602 Bode et al. Jun 2001 B1
6249712 Boiquaye Jun 2001 B1
6252412 Talbot et al. Jun 2001 B1
6253366 Mutschler, III Jun 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6263255 Tan et al. Jul 2001 B1
6268270 Scheid et al. Jul 2001 B1
6271670 Caffey Aug 2001 B1
6276989 Campbell et al. Aug 2001 B1
6277014 Chen et al. Aug 2001 B1
6278899 Piche et al. Aug 2001 B1
6280289 Wiswesser et al. Aug 2001 B1
6281127 Shue Aug 2001 B1
6284622 Campbell et al. Sep 2001 B1
6287879 Gonzales et al. Sep 2001 B1
6290572 Hofmann Sep 2001 B1
6291367 Kelkar Sep 2001 B1
6292708 Allen et al. Sep 2001 B1
6298274 Inoue Oct 2001 B1
6298470 Breiner et al. Oct 2001 B1
6303395 Nulman Oct 2001 B1
6304999 Toprac et al. Oct 2001 B1
6307628 Lu et al. Oct 2001 B1
6314379 Hu et al. Nov 2001 B1
6317643 Dmochowski Nov 2001 B1
6320655 Matsushita et al. Nov 2001 B1
6324481 Atchison et al. Nov 2001 B1
6334807 Lebel et al. Jan 2002 B1
6336841 Chang Jan 2002 B1
6339727 Ladd Jan 2002 B1
6340602 Johnson et al. Jan 2002 B1
6345288 Reed et al. Feb 2002 B1
6345315 Mishra Feb 2002 B1
6346426 Toprac et al. Feb 2002 B1
6355559 Havemann et al. Mar 2002 B1
6360133 Campbell et al. Mar 2002 B1
6360184 Jacquez Mar 2002 B1
6363294 Coronel et al. Mar 2002 B1
6366934 Cheng et al. Apr 2002 B1
6368879 Toprac Apr 2002 B1
6368883 Bode et al. Apr 2002 B1
6368884 Goodwin et al. Apr 2002 B1
6379980 Toprac Apr 2002 B1
6381564 Davis et al. Apr 2002 B1
6388253 Su May 2002 B1
6389491 Jacobson et al. May 2002 B1
6391780 Shih et al. May 2002 B1
6395152 Wang May 2002 B1
6397114 Eryurek et al. May 2002 B1
6400162 Mallory et al. Jun 2002 B1
6405096 Toprac et al. Jun 2002 B1
6405144 Toprac et al. Jun 2002 B1
6417014 Lam et al. Jul 2002 B1
6427093 Toprac Jul 2002 B1
6432728 Tai et al. Aug 2002 B1
6435952 Boyd et al. Aug 2002 B1
6438438 Takagi et al. Aug 2002 B1
6440295 Wang Aug 2002 B1
6442496 Pasadyn et al. Aug 2002 B1
6449524 Miller et al. Sep 2002 B1
6455415 Lopatin et al. Sep 2002 B1
6455937 Cunningham Sep 2002 B1
6465263 Coss, Jr. et al. Oct 2002 B1
6469518 Davis et al. Oct 2002 B1
6470230 Toprac et al. Oct 2002 B1
6477432 Chen et al. Nov 2002 B1
6479902 Lopatin et al. Nov 2002 B1
6479990 Mednikov et al. Nov 2002 B2
6482660 Conchieri et al. Nov 2002 B2
6484064 Campbell Nov 2002 B1
6486492 Su Nov 2002 B1
6492281 Song et al. Dec 2002 B1
6494766 Wiswesser et al. Dec 2002 B1
6495452 Shih Dec 2002 B1
6503839 Gonzales et al. Jan 2003 B2
6515368 Lopatin et al. Feb 2003 B1
6517413 Hu et al. Feb 2003 B1
6517414 Tobin et al. Feb 2003 B1
6528409 Lopatin et al. Mar 2003 B1
6529789 Campbell et al. Mar 2003 B1
6532555 Miller et al. Mar 2003 B1
6535783 Miller et al. Mar 2003 B1
6537912 Agarwal Mar 2003 B1
6540591 Pasadyn et al. Apr 2003 B1
6541401 Herner et al. Apr 2003 B1
6546508 Sonderman et al. Apr 2003 B1
6556881 Miller Apr 2003 B1
6560504 Goodwin et al. May 2003 B1
6563308 Nagano et al. May 2003 B2
6567717 Krivokapic et al. May 2003 B2
6577914 Bode Jun 2003 B1
6580958 Takano Jun 2003 B1
6582277 Korovin Jun 2003 B2
6587744 Stoddard et al. Jul 2003 B1
6590179 Tanaka et al. Jul 2003 B2
6604012 Cho et al. Aug 2003 B1
6605549 Leu et al. Aug 2003 B2
6607976 Chen et al. Aug 2003 B2
6609946 Tran Aug 2003 B1
6616513 Osterheld Sep 2003 B1
6618692 Takahashi et al. Sep 2003 B2
6624075 Lopatin et al. Sep 2003 B1
6625497 Fairbairn et al. Sep 2003 B2
6630741 Lopatin et al. Oct 2003 B1
6640151 Somekh et al. Oct 2003 B1
6652355 Wiswesser et al. Nov 2003 B2
6660633 Lopatin et al. Dec 2003 B1
6669782 Thakur Dec 2003 B1
6678570 Pasadyn et al. Jan 2004 B1
6708074 Chi et al. Mar 2004 B1
6708075 Sonderman et al. Mar 2004 B2
6725402 Coss, Jr. et al. Apr 2004 B1
6728587 Goldman et al. Apr 2004 B2
6735492 Conrad et al. May 2004 B2
6751518 Sonderman et al. Jun 2004 B1
6774998 Wright et al. Aug 2004 B1
6913938 Shanmugasundram et al. Jul 2005 B2
6988017 Pasadyn et al. Jan 2006 B2
7160739 Shanmugasundram et al. Jan 2007 B2
20010001755 Sandhu et al. May 2001 A1
20010003084 Finarov Jun 2001 A1
20010006873 Moore Jul 2001 A1
20010030366 Nakano et al. Oct 2001 A1
20010039462 Mendez et al. Nov 2001 A1
20010040997 Tsap et al. Nov 2001 A1
20010042690 Talieh Nov 2001 A1
20010044667 Nakano et al. Nov 2001 A1
20020032499 Wilson et al. Mar 2002 A1
20020058460 Lee et al. May 2002 A1
20020070126 Sato et al. Jun 2002 A1
20020077031 Johansson et al. Jun 2002 A1
20020081951 Boyd et al. Jun 2002 A1
20020089676 Pecen et al. Jul 2002 A1
20020102853 Li et al. Aug 2002 A1
20020107599 Patel et al. Aug 2002 A1
20020107604 Riley et al. Aug 2002 A1
20020113039 Mok et al. Aug 2002 A1
20020127950 Hirose et al. Sep 2002 A1
20020128805 Goldman et al. Sep 2002 A1
20020149359 Crouzen et al. Oct 2002 A1
20020165636 Hasan Nov 2002 A1
20020183986 Stewart et al. Dec 2002 A1
20020185658 Inoue et al. Dec 2002 A1
20020193899 Shanmugasundram et al. Dec 2002 A1
20020193902 Shanmugasundram et al. Dec 2002 A1
20020197745 Shanmugasundram et al. Dec 2002 A1
20020197934 Paik Dec 2002 A1
20020199082 Shanmugasundram et al. Dec 2002 A1
20030017256 Shimane Jan 2003 A1
20030020909 Adams et al. Jan 2003 A1
20030020928 Ritzdorf et al. Jan 2003 A1
20030154062 Daft et al. Aug 2003 A1
20050221514 Pasadyn et al. Oct 2005 A1
20070102116 Shanmugasundram et al. May 2007 A1
20080133163 Shanmugasundram et al. Jun 2008 A1
Foreign Referenced Citations (84)
Number Date Country
2050247 Aug 1991 CA
2165847 Aug 1991 CA
2194855 Aug 1991 CA
0397924 Nov 1990 EP
0621522 Oct 1994 EP
0747795 Dec 1996 EP
0869652 Oct 1998 EP
0877308 Nov 1998 EP
0881040 Dec 1998 EP
0895145 Feb 1999 EP
0910123 Apr 1999 EP
0932194 Jul 1999 EP
0932195 Jul 1999 EP
1066925 Jan 2001 EP
1067757 Jan 2001 EP
1071128 Jan 2001 EP
1083470 Mar 2001 EP
1092505 Apr 2001 EP
1072967 Nov 2001 EP
1182526 Feb 2002 EP
2347885 Sep 2000 GB
2365215 Feb 2002 GB
61-66104 Apr 1986 JP
61-171147 Aug 1986 JP
01-283934 Nov 1989 JP
3-202710 Sep 1991 JP
05-151231 Jun 1993 JP
05-5136098 Jul 1993 JP
05-5190457 Jul 1993 JP
05-216896 Aug 1993 JP
05-266029 Oct 1993 JP
06-110894 Apr 1994 JP
06-176994 Jun 1994 JP
06-184434 Jul 1994 JP
06-252236 Sep 1994 JP
06-260380 Sep 1994 JP
8-23166 Jan 1996 JP
08-50161 Feb 1996 JP
08-149583 Jun 1996 JP
08-304023 Nov 1996 JP
09-34535 Feb 1997 JP
9-246547 Sep 1997 JP
09-269294 Oct 1997 JP
10-34522 Feb 1998 JP
10-173029 Jun 1998 JP
11-67853 Mar 1999 JP
11-126816 May 1999 JP
11-135601 May 1999 JP
2000-183001 Jun 2000 JP
2001-76982 Mar 2001 JP
2001-284299 Oct 2001 JP
2001-305108 Oct 2001 JP
2002-9030 Jan 2002 JP
2002-343754 Nov 2002 JP
434103 May 2001 TW
436383 May 2001 TW
455938 Sep 2001 TW
455976 Sep 2001 TW
WO 9534866 Dec 1995 WO
WO 9805066 Feb 1998 WO
WO 9845090 Oct 1998 WO
WO 9909371 Feb 1999 WO
WO 9925520 May 1999 WO
WO 9959200 Nov 1999 WO
WO 0000874 Jan 2000 WO
WO 0005759 Feb 2000 WO
WO 0035063 Jun 2000 WO
WO 0054325 Sep 2000 WO
WO 0079355 Dec 2000 WO
WO 0111679 Feb 2001 WO
WO 0115865 Mar 2001 WO
WO 0118623 Mar 2001 WO
WO 0125865 Apr 2001 WO
WO 0133277 May 2001 WO
WO 0133501 May 2001 WO
WO 0152055 Jul 2001 WO
WO 0152319 Jul 2001 WO
WO 0157823 Aug 2001 WO
WO 0180306 Oct 2001 WO
WO 0217150 Feb 2002 WO
WO 0231613 Apr 2002 WO
WO 0233737 Apr 2002 WO
WO 02074491 Sep 2002 WO
WO 02103778 Dec 2002 WO
Related Publications (1)
Number Date Country
20080109089 A1 May 2008 US
Provisional Applications (2)
Number Date Country
60322459 Sep 2001 US
60298878 Jun 2001 US
Divisions (1)
Number Date Country
Parent 10135451 May 2002 US
Child 12006318 US