Electrostatic chuck for use in semiconductor processing

Information

  • Patent Grant
  • 11817341
  • Patent Number
    11,817,341
  • Date Filed
    Wednesday, February 23, 2022
    2 years ago
  • Date Issued
    Tuesday, November 14, 2023
    6 months ago
Abstract
A semiconductor substrate processing apparatus includes a vacuum chamber having a processing zone in which a semiconductor substrate may be processed, a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber, a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber, and a substrate pedestal module. The substrate pedestal module includes a pedestal made of ceramic material having an upper surface configured to support a semiconductor substrate thereon during processing, a stem made of ceramic material, and coplanar electrodes embedded in the platen, the electrodes including an outer RF electrode and inner electrostatic clamping electrodes, the outer RF electrode including a ring-shaped electrode and a radially extending lead extending from the ring-shaped electrode to a central portion of the platen, wherein the ceramic material of the platen and the electrodes comprise a unitary body made in a single sintering step.
Description
INCORPORATION BY REFERENCE

An Application Data Sheet is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed Application Data Sheet is incorporated by reference herein in their entireties and for all purposes.


FIELD OF THE INVENTION

This invention pertains to semiconductor substrate processing apparatuses for processing semiconductor substrates, and may find particular use in plasma-enhanced chemical vapor depositions processing apparatuses operable to deposit thin films.


BACKGROUND

Semiconductor substrate processing apparatuses are used to process semiconductor substrates by techniques including etching, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma-enhanced atomic layer deposition (PEALD), pulsed deposition layer (PDL), plasma-enhanced pulsed deposition layer (PEPDL), and resist removal. One type of semiconductor substrate processing apparatus is a plasma processing apparatus that includes a reaction chamber containing upper and lower electrodes wherein a radio frequency (RF) power is applied between the electrodes to excite a process gas into plasma for processing semiconductor substrates in the reaction chamber.


SUMMARY

Disclosed herein is a semiconductor substrate processing apparatus for processing semiconductor substrates, comprising a vacuum chamber including a processing zone in which a semiconductor substrate may be processed; a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber; a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber; and a substrate pedestal module including a platen made of ceramic material having an upper surface configured to support a semiconductor substrate thereon during processing; a stem made of ceramic material having an upper stem flange that supports the platen; and coplanar electrodes embedded in the platen, the electrodes including an outer RF electrode and inner electrostatic clamping electrodes, the outer RF electrode including a ring-shaped electrode and at least one radially extending lead extending from the ring-shaped electrode to a central portion of the platen, wherein the ceramic material of the platen and the electrodes comprise a unitary body made in a single sintering step.


According to an embodiment, the platen includes first and second D-shaped electrostatic clamping electrodes inward of the ring-shaped electrode, the radially extending lead extending diagonally across the platen and connected to the ring-shaped electrode at two locations 180° apart with the first and second D-shaped electrodes on opposite sides of the radially extending lead. The platen can include a first terminal at a center of the platen, a second terminal radially offset from the first terminal, and a third terminal radially offset from the first terminal, the first terminal electrically connected to the radially extending lead of the ring-shaped electrode, the second terminal electrically connected to the first D-shaped electrode and the third terminal electrically connected to the second D-shaped electrode. The first, second and third terminals can extend axially through openings in the platen and the second and third terminals can be aligned along a diagonal line passing through the location of the first terminal.


In another arrangement, the platen can include first, second, third and fourth electrostatic clamping electrodes inward of the ring-shaped electrode, the at least one radially extending feed strip comprising two feed strips extending diagonally across the platen, each of the feed strips connected to the ring-shaped electrode at two locations 180° apart, the feed strips intersecting at the center of the platen with the first, second, third and fourth electrostatic clamping electrodes located between the diagonally extending feed strips.


The platen can be made of any suitable ceramic material and the electrodes can be made of any suitable electrically conductive material. For example, the platen can be made of aluminum nitride and the electrodes can be made of tungsten. The platen can include three through holes configured to receive lift pins and the platen can have a diameter of at least 300 mm.


In the embodiment wherein the electrostatic clamping electrodes are D-shaped electrodes, the ring-shaped electrode can be separated from the D-shaped electrodes by a first continuous wall of ceramic material extending around the first D-shaped electrode and a second continuous wall of ceramic material extending around the second D-shaped electrode. The first and second walls of ceramic material can have the same width with the width of the first and second walls of ceramic material being less than a width of the radially extending lead.


Also disclosed herein is an electrostatic chuck useful for processing semiconductor substrates in a vacuum chamber including a processing zone in which a semiconductor substrate may be processed. The electrostatic chuck comprises a platen made of ceramic material having an upper surface configured to support a semiconductor substrate thereon during processing and coplanar electrodes embedded in the platen. The electrodes include an outer RF electrode and inner electrostatic clamping electrodes, the outer RF electrode including a ring-shaped electrode and at least one radially extending lead extending from the ring-shaped electrode to a central portion of the platen, wherein the ceramic material of the platen and the electrodes comprise a unitary body made in a single sintering step.





BRIEF DESCRIPTION OF THE DRAWING FIGURES


FIG. 1 illustrates a schematic diagram showing an overview of a chemical deposition apparatus in accordance with embodiments disclosed herein.



FIG. 2 shows a top view of a ceramic high temperature chuck wherein a power distribution circuit is located below three coplanar electrodes.



FIG. 3 is an exploded view of the coplanar electrodes shown in FIG. 2 and a power distribution circuit below the electrodes.



FIG. 4 is a bottom view of the chuck shown in FIG. 3.



FIG. 5 is a top perspective view of a ceramic high temperature electrostatic chuck wherein an outer ring-shaped electrode includes a radially extending lead which can be electrically connected to a centrally located terminal on an underside of the chuck.



FIG. 6 is a bottom perspective view of the chuck shown in FIG. 5.



FIG. 7 is a cutaway view showing electrical connections of the platen shown in FIG. 5.



FIG. 8 is a perspective view of an underside of the platen shown in FIG. 5.



FIG. 9 is a cross section of the platen shown in FIG. 5.





DETAILED DESCRIPTION

In the following detailed description, numerous specific embodiments are set forth in order to provide a thorough understanding of the apparatus and methods disclosed herein. However, as will be apparent to those skilled in the art, the present embodiments may be practiced without these specific details or by using alternate elements or processes. In other instances, well-known processes, procedures, and/or components have not been described in detail so as not to unnecessarily obscure aspects of embodiments disclosed herein. As used herein the term “about” refers to ±10%.


As indicated, present embodiments provide apparatus and associated methods for processing a semiconductor substrate in a semiconductor substrate processing apparatus such as a chemical vapor deposition apparatus or a plasma-enhanced chemical vapor deposition apparatus. The apparatus and methods are particularly applicable for use in conjunction with high temperature processing of semiconductor substrates such as a high temperature deposition processes wherein a semiconductor substrate being processed is heated to temperatures greater than about 550° C., such as about 550° C. to about 650° C. or more.


Embodiments disclosed herein are preferably implemented in a plasma-enhanced chemical deposition apparatus (i.e. PECVD apparatus, PEALD apparatus, or PEPDL apparatus), however, they are not so limited.



FIG. 1 provides a simple block diagram depicting various semiconductor substrate plasma processing apparatus components arranged for implementing embodiments as disclosed herein. As shown, a semiconductor substrate plasma processing apparatus 100 includes a vacuum chamber 102 that serves to contain plasma in a processing zone, which is generated by a capacitor type system including a showerhead module 104 having an upper RF electrode (not shown) therein working in conjunction with a substrate pedestal module 106 having a lower RF electrode (not shown) therein. At least one RF generator is operable to supply RF energy into a processing zone above an upper surface of a semiconductor substrate 108 in the vacuum chamber 102 to energize process gas supplied into the processing zone of the vacuum chamber 102 into plasma such that a plasma deposition process may be performed in the vacuum chamber 102. For example, a high-frequency RF generator 110 and a low-frequency RF generator 112 may each be connected to a matching network 114, which is connected to the upper RF electrode of the showerhead module 104 such that RF energy may be supplied to the processing zone above the semiconductor substrate 108 in the vacuum chamber 102.


The power and frequency of RF energy supplied by matching network 114 to the interior of the vacuum chamber 102 is sufficient to generate plasma from the process gas. In an embodiment both the high-frequency RF generator 110 and the low-frequency RF generator 112 are used, and in an alternate embodiment, just the high-frequency RF generator 110 is used. In a process, the high-frequency RF generator 110 may be operated at frequencies of about 2-100 MHz; in a preferred embodiment at 13.56 MHz or 27 MHz. The low-frequency RF generator 112 may be operated at about 50 kHz to 2 MHz; in a preferred embodiment at about 350 to 600 kHz. The process parameters may be scaled based on the chamber volume, substrate size, and other factors. Similarly, the flow rates of process gas, may depend on the free volume of the vacuum chamber or processing zone.


An upper surface of the substrate pedestal module 106 supports a semiconductor substrate 108 during processing within the vacuum chamber 102. The substrate pedestal module 106 can include a chuck to hold the semiconductor substrate and/or lift pins to raise and lower the semiconductor substrate before, during and/or after the deposition and/or plasma treatment processes. In an alternate embodiment, the substrate pedestal module 106 can include a carrier ring to raise and lower the semiconductor substrate before, during and/or after the deposition and/or plasma treatment processes. The chuck may be an electrostatic chuck, a mechanical chuck, or various other types of chuck as are available for use in the industry and/or research. Details of a lift pin assembly for a substrate pedestal module including an electrostatic chuck can be found in commonly-assigned U.S. Pat. No. 8,840,754, which is incorporated herein by reference in its entirety. Details of a carrier ring for a substrate pedestal module can be found in commonly-assigned U.S. Pat. No. 6,860,965, which is incorporated herein by reference in its entirety. A backside gas supply 116 is operable to supply a heat transfer gas or purge gas through the substrate pedestal module 106 to a region below a lower surface of the semiconductor substrate during processing. The substrate pedestal module 106 includes the lower RF electrode therein wherein the lower RF electrode is preferably grounded during processing, however in an alternate embodiment, the lower RF electrode may be supplied with RF energy during processing.


To process a semiconductor substrate in the vacuum chamber 102 of the semiconductor substrate plasma processing apparatus 100, process gases are introduced from a process gas source 118 into the vacuum chamber 102 via inlet 120 and showerhead module 104 wherein the process gas is formed into plasma with RF energy such that a film may be deposited onto the upper surface of the semiconductor substrate. In an embodiment, multiple source gas lines 122 may be connected to a heated manifold 124. The gases may be premixed or supplied separately to the chamber. Appropriate valving and mass flow control mechanisms are employed to ensure that the correct gases are delivered through the showerhead module 104 during semiconductor substrate processing. During the processing, a backside heat transfer gas or purge gas is supplied to a region below a lower surface of the semiconductor substrate supported on the substrate pedestal module 102. Preferably, the processing is at least one of chemical vapor deposition processing, plasma-enhanced chemical vapor deposition processing, atomic layer deposition processing, plasma-enhanced atomic layer deposition processing, pulsed deposition layer processing, or plasma-enhanced pulsed deposition layer processing.


In certain embodiments, a system controller 126 is employed to control process conditions during deposition, post deposition treatments, and/or other process operations. The controller 126 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


In certain embodiments, the controller 126 controls all of the activities of the apparatus. The system controller 126 executes system control software including sets of instructions for controlling the timing of the processing operations, frequency and power of operations of the low-frequency RF generator 112 and the high-frequency RF generator 110, flow rates and temperatures of precursors and inert gases and their relative mixing, temperature of a semiconductor substrate 108 supported on an upper surface of the substrate pedestal module 106 and a plasma exposed surface of the showerhead module 104, pressure of the vacuum chamber 102, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.


High temperature chucks typically include a ceramic pedestal and a smaller diameter ceramic stem joined to the underside of the platen. See, for example, commonly-assigned U.S. Patent Publication Nos. 2016/0340781; 2016/0336213; and 2016/0333475, each of which is hereby incorporated by reference in its entirety.



FIG. 2 shows a platen 200 having three co-planar electrodes 202, 204, 206 embedded in a ceramic body (not shown). Electrode 202 is an outer ring-shaped electrode which surrounds D-shaped electrostatic clamping electrodes 204 and 206. In order to supply power to the outer ring-shaped electrode 202, a power distribution circuit 208 (see FIG. 3) is embedded in the ceramic body below the electrodes 202, 204, 206 and vertically extending conductive vias 210 connect the outer ring electrode 202 to the power distribution circuit 208. The power distribution circuit 208 includes an outer ring 212 underlying the outer ring-shaped electrode 202 and arms 214 extending diagonally across the outer ring 212. The power distribution circuit 208 allows power to be fed from a power feed terminal (not shown) located near the center of the underside of the platen. The electrostatic clamping electrodes 204, 206 are connected to power feed terminals (not shown) located near the center of the underside of the platen in spaces between the arms 214 of the power distribution circuit 208.



FIG. 4 shows an underside of the platen 200 wherein the arrangement of electrodes 202, 204, 206 can be seen along with terminals 216, 218, 220 located inside hollow ceramic stem 222 attached to ceramic body 224. Terminal 216 is attached to electrostatic clamping electrode 204, terminal 220 is attached to electrostatic clamping electrode 206, and terminal 218 is attached to the intersection of arms 214 of power distribution circuit 208. Thus, to manufacture the platen 200, it is necessary to carry out multiple sintering steps to embed the conductive power distribution circuit in the ceramic body 224 below the electrodes 202, 204, 206 with the result that the arms 214 and ring 212 can act as inductors and create undesired inductance effects during processing of a wafer. The ceramic body 224 includes three through holes 226 sized for passage of lift pins (not shown) for lifting and lowering a wafer onto a support surface of the platen 200.



FIG. 5 shows an electrostatic chuck comprising platen 300 having an outer ring-shaped electrode 302 surrounding electrostatic clamping electrodes 304, 306. The outer ring-shaped electrode 302 is designed in a way which obviates the need for a power distribution circuit. As shown, the outer ring-shaped electrode 302 includes a radially extending lead (power feed strip) 302a which extends diagonally across the ring-shaped electrode 302. The lead 302a allows a terminal (not shown) at a center of the underside of the platen 300 to be electrically connected to the outer ring-shaped electrode 302. The electrostatic chuck is preferably a bipolar chuck with one or more pairs of clamping electrodes having opposed polarities. For instance, the electrostatic chuck can include four clamping electrodes separated by feed strips extending diagonally across the outer ring-shaped electrode 302. In such case, the feed strips would be perpendicular and the clamping electrodes would be located inside the four quadrant shaped spaces formed by the outer ring-shaped electrode 302 and the diagonally extending feed strips.



FIG. 6 shows an underside of the platen 300 wherein a hollow ceramic stem 322 is attached to ceramic body 324. Terminal 316 is attached to electrostatic clamping electrode 304, terminal 320 is attached to electrostatic clamping electrode 306, and terminal 318 is attached to lead 302a of the ring-shaped outer electrode 302. The ceramic body 324 includes three through holes 326 sized for passage of lift pins (not shown) for lifting and lowering a wafer onto a support surface of the platen 300.


The platen 300 can be used as a high temperature electrostatic chuck of a substrate support module for sequential processing of individual semiconductor wafers wherein the platen 300 is a unitary body made in a single sintering step to provide coplanar electrostatic clamping and RF electrodes and one or more heaters below the coplanar electrodes. As mentioned above, in prior platen designs, an embedded power distribution circuit below the RF and electrostatic clamping electrodes included power distribution electrode arms which created undesirable inductance effects during wafer processing. By eliminating the power distribution electrode arms, it is possible to eliminate out-of-plane inductors and simplify the manufacturing process by conducting a single sintering step. In addition, by providing a feed strip 302 which extends diagonally across the outer ring-shaped electrode 302, it is possible to minimize adverse effects of disturbances to the RF field above the wafer being processed.


The pedestal 300 and stem 322 are preferably of ceramic material and a bottom surface of the pedestal 300 can be joined to a flange at an upper end of the stem 322 such as by brazing, friction welding, diffusion bonding, or other suitable technique. The interior of the stem 322 can include power supply leads, one or more thermocouple leads, and one or more gas supply tubes which supply an inert gas such as argon (Ar) or a heat transfer gas such as helium (He) which is delivered via suitable fluid passages to an underside of a semiconductor substrate located on support surface.


The power leads can be one or more feed rods which supply radio-frequency (RF), direct current (DC) and/or alternating current (AC) to electrodes embedded in the pedestal 300. The pedestal 300 is preferably a unitary body of sintered ceramic material such as aluminum oxide (alumina), yttria, aluminum nitride, boron nitride, silicon oxide, silicon carbide, silicon nitride, titanium oxide, zirconium oxide, or other suitable material or combination of materials. Each electrode preferably has a planar configuration and is preferably made of an electrically conductive metallic material (e.g., tungsten, molybdenum, tantalum, niobium, cobalt) or electrically conductive non-metallic material (e.g., aluminum oxide-tantalum carbide, aluminum oxide-silicon carbide, aluminum nitride-tungsten, aluminum nitride-tantalum, yttrium oxide-molybdenum). The electrodes can be formed from powder materials which are co-fired with the ceramic material of the pedestal. For example, the electrodes can be formed of conductive paste which is co-fired with layers of the ceramic material forming the body of the pedestal. For example, the paste can include conductive metal powder of nickel (Ni), tungsten (W), molybdenum (Mo), titanium (Ti), manganese (Mn), copper (Cu), silver (Ag), palladium (Pd), platinum (Pt), rhodium (Rh), Alternatively, the electrodes can be formed from a deposited material having a desired electrode pattern or a deposited film which is etched to form a desired electrode pattern. Still yet, the electrodes can comprise preformed grids, plates, wire mesh, or other suitable electrode material and/or configuration. In an embodiment, the electrodes include at least one electrostatic clamping electrode which is powered by a DC power source to provide DC chucking voltage (e.g., about 200 to about 2000 volts), at least one RF electrode powered by a RF power source to provide RF bias voltage (e.g., one or more frequencies of about 400 KHz to about 60 MHz at power levels of about 50 to about 3000 watts) and/or at least one electrode powered by DC and RF power sources via suitable circuitry.


The platen can be made by arranging coplanar electrodes in ceramic material and conducting a single sintering step to embed the electrodes in the sintered ceramic material. Examples of techniques for manufacturing ceramic chucks can be found in commonly-assigned U.S. Pat. Nos. 5,880,922; 6,483,690; and 8,637,194, the disclosures of which are hereby incorporated by reference. For example, the outer ring-shaped electrode with integral radially extending lead and the ESC electrodes can be screen printed on a green sheet of aluminum nitride, a green sheet of aluminum nitride or other suitable dielectric material can be placed over the screen printed electrodes, and the resulting compact can be heated pressed and sintered to form the platen. Terminals in holes extending into the underside of the sintered ceramic material can be bonded to each of the electrodes and the stem can be bonded to the underside of the platen.



FIG. 7 illustrates a platen 300 which includes electrically conductive electrodes 304, 306 such as an electrically conductive grids and feed strip electrode 302a which is electrically connected to an outer ring-shaped electrode 302 (not shown) embedded therein and a hollow ceramic support stem 322. The platen 300 and stem 322 are preferably made of a ceramic material such as aluminum nitride and a bottom surface of the platen 300 is joined to an upper end of the stem 322 such as by brazing, friction welding, diffusion bonding, or other suitable technique. A centrally located electrically conductive tube 330 is located inside the stem 322 with an upper end of the tube 330 electrically connected to embedded feed strip electrode 302a. An outlet of the tube 330 is in fluid communication with a gas passage 342 in an upper surface of the platen 300. The tube 330 can be supplied an inert gas such as argon (Ar) or nitrogen (N2) or a heat transfer gas such as helium (He) which is delivered via gas passage 342 to an underside of a semiconductor substrate (not shown) supported on the platen 300. The outer surface of the tube 330 can be sealed to the platen 300 by a hermetic seal 332. The inside of the stem 322 also houses other components such as electrical feed rods 338 which deliver power to other electrodes such as resistance heaters 340a, 340b and additional feed rods 336 which deliver power to electrostatic clamping electrodes 304, 306 in the platen 300. The rods 336 can be hollow for deliver gas through outlets to the underside of a wafer supported on the pedestal 300.


During processing of a semiconductor substrate such as deposition of films on a silicon wafer supported on the platen 300, the platen 300 may cycle between temperatures ranging from about 20° C. to 500° c. and higher. For processing a 300 mm wafer, the platen 300 can have a thickness of up to about 1 inch and a diameter of about 15 inches, the stem 322 can have a diameter of about 3 inches and the distance between the bottom of the stem 322 and the upper surface of the platen 300 can be about 5 inches. The tubes 330, 336 can have a diameter of about 4 mm, a length of about 7 to 8 inches. The inside of the stem 322 accommodates components such as electrical feeds such as palladium/rhodium (Pd/Rh) coated stainless steel or nickel (Ni) rods.


The feed rods 338 can be solid metal rods such as nickel (Ni) rods arranged at circumferentially spaced apart locations inward of an inner surface of the stem 322, and the two outer electrically conductive feed rods 336 (which can optionally be hollow rods to deliver gas to the upper surface of platen 300) are electrically connected to electrostatic clamping electrodes 304, 306. The solid feed rods 338 can supply power to resistance heaters 340a, 340b embedded in the platen 300 at a location below the electrostatic clamping electrodes 304, 306. Electrical connections between the central tube 330 and feed strip 302a, between the feed rods 336 and the electrodes 304, 306, and between the feed rods 338 and the heaters 340a, 340b can include solid terminals/studs/sockets as disclosed in commonly-assigned U.S. Pat. No. 9,088,085, the disclosure of which is hereby incorporated by reference. During manufacture of the platen 300, the tube 330 and feed rods 336, 338 can be bonded to the platen 300 and electrodes 302, 304, 306 via suitable sintering and/or brazing techniques.



FIG. 8 shows a bottom perspective view of the substrate support pedestal 106. As shown, central tube 330, feed rods 338 and outer tubes 336 extend outward from a lower end of the stem 322.



FIG. 9 is a cross-sectional view of the substrate support pedestal 106. As shown, the central tube 330 is electrically connected to feed strip electrode 302a and two feed rods 338 are electrically connected to one or more resistance heaters 340a, 340b embedded in the platen 300 at a location below the electrodes 302, 304, 306. For instance, a pair of feed rods 338 can be connected to an inner heater and another pair of feed rods 338 can be connected to an outer heater. If desired a single heater or more than two heaters can be embedded in the platen 300 in any desired geometrical arrangement. The central tube 330 supplies gas to an outlet 342 in the upper surface of the platen 300.


While the substrate pedestal module of the semiconductor substrate processing apparatus has been described in detail with reference to specific embodiments thereof, it will be apparent to those skilled in the art that various changes and modifications can be made, and equivalents employed, without departing from the scope of the appended claims.

Claims
  • 1. An electrostatic chuck, comprising: a platen of ceramic material having an upper surface configured to support a substrate;a first D-shaped electrostatic clamping electrode embedded within the platen;a second D-shaped electrostatic clamping electrode embedded within the platen; andan outer ring-shaped RF electrode, embedded within the platen, that surrounds the first and second D-shaped electrostatic clamping electrodes and comprises a feed strip that extends radially across the platen between the first and second D-shaped electrostatic clamping electrodes, wherein the outer ring-shaped RF electrode and the first and second D-shaped electrostatic clamping electrodes are coplanar.
  • 2. The electrostatic chuck of claim 1, wherein the first and second D-shaped electrostatic clamping electrodes have opposite polarities.
  • 3. The electrostatic chuck of claim 1, wherein the first and second D-shaped electrostatic clamping electrode are configured to be powered by a DC chucking voltage between about 200 V and about 2000 V to electrostatically clamp the substrate, and wherein the outer ring-shaped RF electrode is configured to be powered by an RF power source configured to provide an RF bias voltage at a frequency between about 400 kHz and about 60 MHz at a power between about 50 W and about 3000 W.
  • 4. The electrostatic chuck of claim 1, further comprising: a first terminal at a center of the platen and electrically connected to the feed strip;a second terminal radially offset from the first terminal and electrically connected to the first D-shaped electrostatic clamping electrode; anda third terminal radially offset from the first terminal and electrically connected to the second D-shaped electrostatic clamping electrode.
  • 5. The electrostatic chuck of claim 4, further comprising: a plurality of coplanar resistance heaters embedded in the platen at a location beneath the first and second D-shaped electrostatic clamping electrodes, the plurality of coplanar resistance heaters electrically connected to fourth terminals separate from the first, second, and third terminals.
  • 6. An electrostatic chuck, comprising: a ceramic platen having an upper surface configured to support a substrate thereon during processing;a ceramic stem attached to the ceramic platen;at least three coplanar electrodes embedded in the ceramic platen, wherein the at least three coplanar electrodes comprise at least two inner electrostatic clamping electrodes and at least one outer ring-shaped RF electrode that surrounds the at least two inner electrostatic clamping electrodes, the at least one outer ring-shaped RF electrode has a feed strip that extends diagonally across the ceramic platen between the at least two inner electrostatic clamping electrodes, the feed strip connected to the outer ring-shaped RF electrode; andat least three terminals located inside the ceramic stem, wherein the at least three terminals comprises at least two first terminals connected to undersides of the at least two inner electrostatic clamping electrodes and at least one second terminal connected to an underside of the outer ring-shaped RF electrode at the feed strip.
  • 7. The electrostatic chuck of claim 6, further comprising: at least three electrical feed rods located in the ceramic stem, wherein the at least three electrical feed rods are configured to supply power to the at least three coplanar electrodes via the at least three terminals.
  • 8. The electrostatic chuck of claim 6, further comprising: at least two coplanar resistance heaters embedded in the ceramic platen at a location beneath the at least three coplanar electrodes.
  • 9. The electrostatic chuck of claim 6, wherein the at least two inner electrostatic clamping electrodes are configured to be powered by a DC chucking voltage between about 200 V and about 2000 V to electrostatically clamp the substrate, and wherein the outer ring-shaped RF electrode is configured to be powered by an RF power source configured to provide an RF bias voltage at a frequency between about 400 kHz and about 60 MHz at a power between about 50 W and about 3000 W.
  • 10. The electrostatic chuck of claim 6, wherein the at least two inner electrostatic clamping electrodes comprise one or more pairs of electrostatic clamping electrodes having opposite polarities.
  • 11. The electrostatic chuck of claim 6, wherein the ceramic platen comprises three or more holes configured to receive lift pins.
  • 12. The electrostatic chuck of claim 6, wherein the at least two inner electrostatic clamping electrodes comprise a first D-shaped electrostatic clamping electrode and a second D-shaped electrostatic clamping electrode opposite one another, wherein the first and second D-shaped electrostatic clamping electrodes are inward of the at least one outer ring-shaped RF electrode.
  • 13. The electrostatic chuck of claim 12, wherein the feed strip extends diagonally across the platen between the first and second D-shaped electrostatic clamping electrodes to connect to the outer ring-shaped RF electrode at two locations 180 degrees apart.
  • 14. A method of manufacturing an electrostatic chuck, the method comprising: providing a plurality of coplanar electrodes in a ceramic material of a ceramic platen, wherein the plurality of electrodes comprises two D-shaped electrostatic clamping electrodes and at least one outer ring-shaped RF electrode that surrounds the two D-shaped electrostatic clamping electrodes, wherein the outer ring-shaped RF electrode comprises a radially extending feed strip that extends diagonally across the ceramic platen between the two D-shaped electrostatic clamping electrodes and is connected to the outer ring-shaped RF electrode; andembedding the plurality of coplanar electrodes in the ceramic material in a single sintering step to form an electrostatic chuck.
  • 15. The method of claim 14, wherein providing the plurality of coplanar electrodes in the ceramic material comprises providing a plurality of terminals in holes of the ceramic platen, wherein the plurality of terminals connect to undersides of corresponding coplanar electrodes in the ceramic platen.
  • 16. The method of claim 14, wherein providing the plurality of coplanar electrodes in the ceramic material comprises screen printing the plurality of coplanar electrodes on a green sheet of aluminum nitride or other dielectric material.
  • 17. The method of claim 14, wherein embedding the plurality of coplanar electrodes comprises heat pressing and sintering the ceramic material with the plurality of coplanar electrodes to form the electrostatic chuck.
  • 18. The method of claim 14, further comprising: attaching a ceramic stem to the ceramic platen by diffusion bonding, wherein a plurality of electrical feed rods are housed in the ceramic stem to supply power to the plurality of coplanar electrodes.
  • 19. The method of claim 18, wherein two first terminals are connected to undersides of the two D-shaped electrostatic clamping electrodes in the ceramic platen and a second terminal is connected to an underside of the outer ring-shaped RF electrode at the radially extending feed strip.
  • 20. The method of claim 14, wherein the ceramic material of the ceramic platen and the plurality of electrodes comprise a unitary body.
US Referenced Citations (154)
Number Name Date Kind
4692836 Suzuki Sep 1987 A
5463526 Mundt Oct 1995 A
5507874 Su et al. Apr 1996 A
5560780 Wu et al. Oct 1996 A
5633073 Cheung et al. May 1997 A
5737178 Herchen Apr 1998 A
5812362 Ravi Sep 1998 A
5829791 Kotsubo et al. Nov 1998 A
5841624 Xu et al. Nov 1998 A
5942282 Tada et al. Aug 1999 A
6066836 Chen et al. May 2000 A
6072685 Herchen Jun 2000 A
6081414 Flanigan et al. Jun 2000 A
6104596 Hausmann Aug 2000 A
6151203 Shamouilian et al. Nov 2000 A
6213478 Nishikawa Apr 2001 B1
6221221 Al-Shaikh et al. Apr 2001 B1
6239403 Dible et al. May 2001 B1
6261977 Tsai et al. Jul 2001 B1
6403491 Liu et al. Jun 2002 B1
6466881 Shih et al. Oct 2002 B1
6592709 Lubomirsky Jul 2003 B1
6776892 Ritzdorf et al. Aug 2004 B1
6858265 Redeker et al. Feb 2005 B2
6879051 Singh et al. Apr 2005 B1
7625227 Henderson et al. Dec 2009 B1
9213021 Plant et al. Dec 2015 B2
9850573 Sun Dec 2017 B1
10079154 Le et al. Sep 2018 B1
10147610 Lingampalli Dec 2018 B1
10403535 Ye et al. Sep 2019 B2
10431467 Lingampalli Oct 2019 B2
10937684 Horiuchi Mar 2021 B2
11086233 Topping et al. Aug 2021 B2
11183368 French Nov 2021 B2
11289355 Gomm Mar 2022 B2
11469084 Thomas et al. Oct 2022 B2
20010019472 Kanno et al. Sep 2001 A1
20010027972 Yamaguchi Oct 2001 A1
20030051665 Zhao et al. Mar 2003 A1
20030180459 Redeker et al. Sep 2003 A1
20040074869 Wang et al. Apr 2004 A1
20040137169 Carollo Jul 2004 A1
20050042881 Nishimoto et al. Feb 2005 A1
20050183669 Parkhe et al. Aug 2005 A1
20050191827 Collins et al. Sep 2005 A1
20050213279 Hayakawa Sep 2005 A1
20050274324 Takahashi et al. Dec 2005 A1
20060005930 Ikeda et al. Jan 2006 A1
20060011611 Goto Jan 2006 A1
20060081558 Collins et al. Apr 2006 A1
20060120011 Handa et al. Jun 2006 A1
20060158821 Miyashita Jul 2006 A1
20060237442 Goto et al. Oct 2006 A1
20060280875 Tomita et al. Dec 2006 A1
20070141729 Dhindsa et al. Jun 2007 A1
20070223173 Fujisawa Sep 2007 A1
20070253139 Nakano et al. Nov 2007 A1
20070256786 Zhou et al. Nov 2007 A1
20080009417 Lou et al. Jan 2008 A1
20080029032 Sun et al. Feb 2008 A1
20080037195 Himori et al. Feb 2008 A1
20080062609 Himori Mar 2008 A1
20080167720 Melkent Jul 2008 A1
20080236493 Sakao Oct 2008 A1
20080258411 Miura et al. Oct 2008 A1
20090284894 Cooke Nov 2009 A1
20090314208 Zhou et al. Dec 2009 A1
20100039747 Sansoni et al. Feb 2010 A1
20100104852 Fletcher et al. Apr 2010 A1
20100126847 Dhindsa et al. May 2010 A1
20100323124 Vartabedian et al. Dec 2010 A1
20110031217 Himori Feb 2011 A1
20110096461 Yoshikawa et al. Apr 2011 A1
20120044609 Cooke et al. Feb 2012 A1
20120164834 Jennings Jun 2012 A1
20120247678 Takahashi et al. Oct 2012 A1
20130070384 Cooke et al. Mar 2013 A1
20130087447 Bodke et al. Apr 2013 A1
20130126206 Zhou et al. May 2013 A1
20130155569 Suuronen et al. Jun 2013 A1
20140048720 Hayakawa et al. Feb 2014 A1
20140087587 Lind Mar 2014 A1
20140118880 He et al. May 2014 A1
20140154465 Sun et al. Jun 2014 A1
20140159325 Parkhe et al. Jun 2014 A1
20140177123 Thach et al. Jun 2014 A1
20140203526 Banda et al. Jul 2014 A1
20140334060 Parkhe et al. Nov 2014 A1
20140355169 Maeta et al. Dec 2014 A1
20140356538 Schmitt et al. Dec 2014 A1
20150044947 Lu et al. Feb 2015 A1
20150116889 Yamasaki et al. Apr 2015 A1
20150179412 Chhatre et al. Jun 2015 A1
20150228528 Behdjat Aug 2015 A1
20150241783 Carcasi et al. Aug 2015 A1
20150311043 Sun et al. Oct 2015 A1
20150311105 Sadjadi et al. Oct 2015 A1
20150311108 Horiuchi Oct 2015 A1
20150323050 Ohno Nov 2015 A1
20150371876 Terauchi et al. Dec 2015 A1
20160002779 Lin Jan 2016 A1
20160064264 Kulshreshtha et al. Mar 2016 A1
20160090650 Qian et al. Mar 2016 A1
20160111315 Parkhe Apr 2016 A1
20160196984 Lill et al. Jul 2016 A1
20160281230 Varadarajan et al. Sep 2016 A1
20160333475 Gomm et al. Nov 2016 A1
20160336210 Cooke et al. Nov 2016 A1
20160336213 Gomm et al. Nov 2016 A1
20160340781 Thomas et al. Nov 2016 A1
20160343600 Parkhe Nov 2016 A1
20160372307 Yang et al. Dec 2016 A1
20170018411 Sriraman et al. Jan 2017 A1
20170040148 Augustino et al. Feb 2017 A1
20170103908 Lew et al. Apr 2017 A1
20170110358 Sadjadi et al. Apr 2017 A1
20170110385 Kawajiri et al. Apr 2017 A1
20170140970 Boyd, Jr. et al. May 2017 A1
20170256431 Parkhe Sep 2017 A1
20180025891 Marakhtanov et al. Jan 2018 A1
20180061684 Parkhe Mar 2018 A1
20180096869 Yoshida et al. Apr 2018 A1
20180112311 Fenwick et al. Apr 2018 A1
20180308738 Tobe Oct 2018 A1
20180318890 Yasseri et al. Nov 2018 A1
20180350568 Mitsumori Dec 2018 A1
20180350649 Gomm Dec 2018 A1
20190019713 Hidaka et al. Jan 2019 A1
20190067076 Zvokelj Feb 2019 A1
20190071778 Thomas et al. Mar 2019 A1
20190115241 Vellore et al. Apr 2019 A1
20190136373 Yeh et al. May 2019 A1
20190157052 Doan et al. May 2019 A1
20190218663 Funakubo et al. Jul 2019 A1
20190221406 Funakubo et al. Jul 2019 A1
20190237341 Yu et al. Aug 2019 A1
20190237353 Thomas et al. Aug 2019 A1
20190267268 Abel et al. Aug 2019 A1
20190276366 Sun et al. Sep 2019 A1
20190294050 Topping et al. Sep 2019 A1
20190341289 Parkhe Nov 2019 A1
20190355556 Takahashi Nov 2019 A1
20200013590 Liu et al. Jan 2020 A1
20200043703 French et al. Feb 2020 A1
20200340102 Kimura Oct 2020 A1
20210043490 Vasquez et al. Feb 2021 A1
20210071300 Bajaj et al. Mar 2021 A1
20210265138 Ikeda Aug 2021 A1
20210333715 Topping et al. Oct 2021 A1
20210340668 Macpherson et al. Nov 2021 A1
20220044909 French Feb 2022 A1
20220181127 Erickson et al. Jun 2022 A1
20220415620 Thomas et al. Dec 2022 A1
Foreign Referenced Citations (57)
Number Date Country
101495670 Jul 2009 CN
106148915 Nov 2016 CN
106148916 Nov 2016 CN
2015343 Jan 2009 EP
H07201496 Aug 1995 JP
H08154387 Jun 1996 JP
H09176860 Jul 1997 JP
H09213778 Aug 1997 JP
2001237051 Aug 2001 JP
2002512448 Apr 2002 JP
2003124296 Apr 2003 JP
2003160874 Jun 2003 JP
2004095722 Mar 2004 JP
2005018992 Jan 2005 JP
2005072286 Mar 2005 JP
2005347620 Dec 2005 JP
2006302887 Nov 2006 JP
4034145 Jan 2008 JP
2008270197 Nov 2008 JP
2009123929 Jun 2009 JP
2009256789 Nov 2009 JP
2010109316 May 2010 JP
2011049428 Mar 2011 JP
2011061040 Mar 2011 JP
2014038928 Feb 2014 JP
2014505362 Feb 2014 JP
2014082449 May 2014 JP
2016213456 Dec 2016 JP
2016213463 Dec 2016 JP
2017055100 Mar 2017 JP
2017228526 Dec 2017 JP
2018117024 Jul 2018 JP
20050115940 Dec 2005 KR
20060050341 May 2006 KR
20080077202 Aug 2008 KR
20090081717 Jul 2009 KR
101333631 Nov 2013 KR
20140097312 Aug 2014 KR
101465640 Nov 2014 KR
20150099400 Aug 2015 KR
20160000400 Jan 2016 KR
20160127717 Nov 2016 KR
20170042359 Apr 2017 KR
20170054239 May 2017 KR
20180000291 Jan 2018 KR
20180011711 Feb 2018 KR
200402095 Feb 2004 TW
201119524 Jun 2011 TW
201525184 Jul 2015 TW
201535453 Sep 2015 TW
201535588 Sep 2015 TW
WO-2010087385 Aug 2010 WO
WO-2011099481 Aug 2011 WO
WO-2012087737 Jun 2012 WO
WO-2013162820 Oct 2013 WO
WO-2014057771 Apr 2014 WO
WO-2015105647 Jul 2015 WO
Non-Patent Literature Citations (77)
Entry
European Extended Search Report dated Feb. 8, 2021 issued in Application No. EP 188093256.
Final Office Action dated Apr. 30, 2020 issued in U.S. Appl. No. 15/612,423.
Final Office Action dated Mar. 25, 2021 issued in U.S. Appl. No. 15/612,423.
International Preliminary Report on Patentability dated Aug. 13, 2020 issued in Application No. PCT/US2019/015865.
International Preliminary Report on Patentability dated Dec. 12, 2019 issued in Application No. PCT/US2018/034998.
International Preliminary Report on Patentability dated Mar. 10, 2020 issued in Application No. PCT/US2018/049267.
International Preliminary Report on Patentability dated Oct. 1, 2020 issued in Application No. PCT/US2019/022046.
International Preliminary Report on Patentability dated Feb. 2, 2021, in Application No. PCT/US2019/044113.
International Search Report and Written Opinion dated Jun. 28, 2019 issued in Application No. PCT/US2019/022046.
International Search Report and Written Opinion dated May 17, 2019 issued in Application No. PCT/US2019/015865.
International Search Report and Written Opinion dated Sep. 6, 2018 issued in Application No. PCT/US2018/034998.
International Search Report and Written Opinion dated Dec. 19, 2019, in Application No. PCT/US2019/044113.
JP Office Action dated Jun. 14, 2022, in Application No. JP2019-566224 With English Translation.
JP Office Action dated Apr. 4, 2023, in Application No. JP2020-541696 with English translation.
JP Office Action dated Oct. 18, 2022, in Application No. JP2019-566224 With English Translation.
Korean Office Action dated Jun. 7, 2021 issued in Application No. KR 10-2021-0056493.
KR Office Action and Search report dated Aug. 30, 2019 in Application No. KR10-2019-0073864 With English Translation.
KR Office Action dated Sep. 28, 2022 in Application No. KR10-2021-7032163 with English translation.
KR Office Action dated Apr. 6, 2022, in Application No. KR1020217032163 with English translation.
KR Office Action dated Apr. 6, 2022, in Application No. KR1020217033272 with English translation.
KR Office Action dated Apr. 6, 2022, in Application No. KR1020217033273 with English translation.
KR Office Action dated Aug. 2, 2022 in Application No. KR10-2022-0034122 with English translation.
KR Office Action dated Aug. 30, 2019 in Application No. 10-2019-0073864.
KR Office Action dated Dec. 21, 2021, in Application No. KR10-2021-0056493 with English translation.
KR Office Action dated Feb. 3, 2023 in Application No. KR10-2021-7032163 with English translation.
KR Office Action dated Feb. 23, 2023 in Application No. KR10-2020-7000026 with English translation.
KR Office Action dated Feb. 27, 2023, in Application No. KR10-2020-7025028 with English translation.
KR Office Action dated Jan. 31, 2023 in Application No. KR10-2021-7033273 with English translation.
KR Office Action dated Mar. 23, 2022, in Application No. KR 10-2019-7037514 with English Translation.
KR Office Action dated May 6, 2022, in Application No. KR1020207000026.
KR Office Action dated Sep. 25, 2022 in Application No. KR10-2020-7000026 with English translation.
KR Office Action dated Sep. 26, 2022 in Application No. KR10-2021-7033273 with English translation.
KR Office Action dated Sep. 27, 2022, in Application No. KR10-2021-7033272 with English translation.
KR Search Report (no translation provided) dated Aug. 1, 2019, in Application No. 10-2019-0073864.
Notice of Allowance dated Apr. 8, 2021 issued in U.S. Appl. No. 15/926,349.
Notice of Allowance dated Nov. 24, 2021 issued in U.S. Appl. No. 15/612,423.
Office Action dated Jan. 3, 2020 issued in U.S. Appl. No. 15/612,423.
Office Action dated Oct. 16, 2020 issued in U.S. Appl. No. 15/926,349.
Office Action dated Oct. 6, 2020 issued in U.S. Appl. No. 15/612,423.
PCT International Search Report and Written Opinion of the International Searching Authority issued in corresponding International Patent Application No. PCT/US2018/049267 dated Dec. 26, 2018 (Forms PCT/ISA/220, 210, 237) (12 total pages).
Schwartz, M., “Encyclopedia and Handbook of Materials, Parts, and Finishes”, 3rd Edition, Glass-Ceramics Taylor & Francis, 2016, 27 pages.
SG Office Action dated Mar. 2, 2022, in Application No. SG11201911409S.
Singapore Notice of Eligibility and Examination Report dated Mar. 2, 2022 issued in Application No. SG 11201911409S.
Singapore Search Report and Written Opinion dated Feb. 2, 2021 issued in Application No. SG 11201911409S.
TW Office Action dated Oct. 22, 2021, in application No. TW107118835 with English translation.
TW Office Action dated Sep. 30, 2022 In Application No. TW107130798 with English translation.
U.S. Advisory Action dated Feb. 6, 2023 in U.S. Appl. No. 17/369,694.
U.S. Final Office Action dated Jan. 19, 2022 issued in U.S. Appl. No. 15/696,068.
U.S. Final office Action dated Nov. 21, 2022 in U.S. Appl. No. 17/369,694.
U.S. Final Office Action dated Sep. 14, 2020 issued in U.S. Appl. No. 15/696,068.
U.S. Non-Final Office Action dated Jan. 19, 2023 in U.S. Appl. No. 16/966,833.
U.S. Non-Final Office Action dated Jul. 28, 2022, in U.S. Appl. No. 17/369,694.
U.S. Non-Final Office Action dated Mar. 7, 2023 in U.S. Appl. No. 17/369,694.
U.S. Notice of Allowance dated Jun. 1, 2022 in U.S. Appl. No. 15/696,068.
U.S. Notice of Allowance dated Jul. 23, 2021, issued in U.S. Appl. No. 16/052,877.
U.S. Office Action dated Apr. 28, 2020 issued in U.S. Appl. No. 15/696,068.
U.S. Office Action dated Jun. 30, 2021 issued in U.S. Appl. No. 15/696,068.
U.S. Office Action dated Apr. 15, 2021, issued in U.S. Appl. No. 16/052,877.
U.S. Restriction Requirement dated Jan. 24, 2020 in U.S. Appl. No. 15/696,068.
KR Office Action dated Jul. 20, 2023, in application No. KR10-2023-0039502 with English translation.
KR Office Action dated Jul. 21, 2023, in Application No. KR10-2022-7040239 with English Translation.
KR Office Action dated May 16, 2023, in application No. KR10-2023-0039502 with English translation.
KR Office Action dated Sep. 27, 2023, in application No. KR10-2020-7025028.
Merriam-Webster Dictionary definition of “distal” retrieved from Merriam-Webster.com (Year: 2023).
TW Office Action dated Aug. 18, 2023, in application No. TW107130798 with English translation.
U.S. Corrected Notice of Allowance dated Sep. 27, 2023, in U.S. Appl. No. 17/369,694.
U.S. Final office Action dated Aug. 7, 2023 in U.S. Appl. No. 16/966,833.
U.S. Non-Final Office Action dated Sep. 25, 2023, in U.S. Appl. No. 17/823,744.
U.S. Notice of Allowance dated Jul. 25, 2023 in U.S. Appl. No. 17/369,694.
TW Office Action dated Aug. 15, 2023, in application No. TW111140610 with English translation.
CN Office Action dated Feb. 28, 2023 in Application No. 201880057283.X with English translation.
CN Office Action dated Mar. 18, 2023, in Application No. CN201880036474.8 with English translation.
JP Office Action dated Jun. 13, 2023 in Application No. JP2021-505710 with English translation.
KR Office Action dated Apr. 27, 2023 in Application No. KR10-2020-7030025 with English translation.
KR Office Action dated Feb. 3, 2023 in Application No. KR10-2021-7033272 with English translation.
KR Search Report dated Aug. 1, 2019, in Application No. 10-2019-0073864 with English translation.
TW Office Action dated May 30, 2023, in application No. TW108126884 with English translation.
Related Publications (1)
Number Date Country
20220181184 A1 Jun 2022 US
Continuations (1)
Number Date Country
Parent 15612423 Jun 2017 US
Child 17652243 US