Feature fill with nucleation inhibition

Information

  • Patent Grant
  • 9997405
  • Patent Number
    9,997,405
  • Date Filed
    Friday, September 25, 2015
    8 years ago
  • Date Issued
    Tuesday, June 12, 2018
    6 years ago
Abstract
Described herein are methods of filling features with tungsten, and related systems and apparatus, involving inhibition of tungsten nucleation. In some embodiments, the methods involve selective inhibition along a feature profile. Methods of selectively inhibiting tungsten nucleation can include exposing the feature to a direct or remote plasma. Pre-inhibition and post-inhibition treatments are used to modulate the inhibition effect, facilitating feature fill using inhibition across a wide process window. The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for both conformal fill and bottom-up/inside-out fill. Examples of applications include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using through-silicon vias.
Description
BACKGROUND

Deposition of conductive materials using chemical vapor deposition (CVD) techniques is an integral part of many semiconductor fabrication processes. These materials may be used for horizontal interconnects, vias between adjacent metal layers, contacts between first metal layers and devices on the silicon substrate, and high aspect ratio features. In a conventional tungsten deposition process, a substrate is heated to a predetermined process temperature in a deposition chamber, and a thin layer of tungsten-containing materials that serves as a seed or nucleation layer is deposited. Thereafter, the remainder of the tungsten-containing material (the bulk layer) is deposited on the nucleation layer. Conventionally, the tungsten-containing materials are formed by the reduction of tungsten hexafluoride (WF6) with hydrogen (H2). Tungsten-containing materials are deposited over an entire exposed surface area of the substrate including features and a field region.


Depositing tungsten-containing materials into small and, especially, high aspect ratio, features may cause formation of seams and voids inside the filled features. Large seams may lead to high resistance, contamination, loss of filled materials, and otherwise degrade performance of integrated circuits. For example, a seam may extend close to the field region after filling process and then open during chemical-mechanical planarization.


SUMMARY

Described herein are methods of filling features with tungsten, and related systems and apparatus, involving inhibition of tungsten nucleation. In some embodiments, the methods involve selective inhibition along a feature profile. Methods of selectively inhibiting tungsten nucleation can include exposing the feature to a direct or remote plasma. Pre-inhibition and post-inhibition treatments are used to modulate the inhibition effect, facilitating feature fill using inhibition across a wide process window. The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for both conformal fill and bottom-up/inside-out fill. Examples of applications include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using through-silicon vias.


One aspect relates to a method including providing a substrate including a feature having one or more feature openings and a feature interior; selectively inhibiting tungsten nucleation in the feature such that there is a differential inhibition profile along a feature axis; modulating the differential inhibition profile to form a modified differential inhibition profile; and selectively depositing tungsten in the feature in accordance with the modified differential inhibition profile.


In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.


Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.


In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.


In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.


In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.


In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.


In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.


Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.


In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.


In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.


In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.


In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.


In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.


Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.


In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.


In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.


In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.


In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.


In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.


Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.


In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.


In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.


In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.


In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.


Another aspect involves a method including providing a substrate including a feature having one or more feature openings and a feature interior; exposing the feature to one of: an oxidizing environment, a vacuum break, a reducing agent soak, or a tungsten-containing agent soak; after exposing the feature, selectively inhibiting tungsten nucleation in the feature such that there is a differential inhibition profile along a feature axis; and selectively depositing tungsten in the feature in accordance with the modified differential inhibition profile.


In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. The plasma may contains one or more of nitrogen, hydrogen, oxygen and carbon activated species.


The plasma may be nitrogen-based or hydrogen-based in some embodiments.


In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. The method may further involve depositing a tungsten layer in the feature prior to selective inhibition.


In some embodiments, exposing the feature includes soaking the feature in a reducing agent or tungsten-containing agent.


In some embodiments, the method involves modulating the differential inhibition profile.


In some embodiments, the method involves, after selectively depositing tungsten in the feature, depositing tungsten in the feature to complete feature fill.


In some embodiments, the method involves, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.


In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.


In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.


Another aspect is a method involving, prior to processing a one or more substrates in a tungsten deposition chamber, exposing the tungsten deposition chamber to an inhibition treatment.


Another aspect is an apparatus including one or more chambers configured to support a substrate; an in situ plasma generator configured to generate a plasma in one or more of the chambers; gas inlets configured to direct gas into each of the one or more chambers; and a controller including program instructions for performing any of the methods above.


These and other aspects are described further below with reference to the drawings.





BRIEF DESCRIPTION OF DRAWINGS


FIGS. 1A-1G show examples of various structures that can be filled according to the processes described herein.



FIGS. 2A-2C are process flow diagrams illustrating certain operations in methods of filling features with tungsten.



FIG. 2D is a graph showing growth delay time (after inhibition) as a function of thickness of tungsten layer deposited prior to the inhibition treatment.



FIGS. 3A-3C, 4A-4D, 4F, 4G, and 4H are process flow diagrams illustrating certain operations in methods of selective inhibition.



FIG. 3D is a graph showing inhibition modulation as function of pre-inhibition exposure to air duration.



FIG. 4E is a graph showing inhibition modulation as a function of post-inhibition anneal duration.



FIG. 4I is a bar graph showing the effect of a post-inhibition hydrogen plasma on inhibition.



FIGS. 5-7 are schematic diagrams showing features at various stages of feature fill.



FIGS. 8, 9A and 9B are schematic diagrams showing examples of apparatus suitable for practicing the methods described herein.



FIG. 10 shows a process diagram illustrating operations in a method of cleaning a deposition chamber.





DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS

In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail to not unnecessarily obscure the present invention. While the invention will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the invention to the embodiments.


Described herein are methods of filling features with tungsten (W) and related systems and apparatus. Examples of application include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate/wordline fill, and 3-D integration with through-silicon vias (TSVs). The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for conformal and bottom-up or inside-out fill.


According to various embodiments, a features can be characterized by one or more of narrow and/or re-entrant openings, constrictions within the features, and high aspect ratios. Examples of features that can be filled are depicted in FIGS. 1A-1C. FIG. 1A shows an example of a cross-sectional depiction of a vertical feature 101 to be filled with tungsten. The feature can include a feature hole 105 in a substrate 103. The substrate may be a silicon wafer, e.g., 200-mm wafer, 300-mm wafer, or 450-mm wafer, including wafers having one or more layers of material such as dielectric, conducting, or semi-conducting material deposited thereon. In some embodiments, the feature hole 105 may have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1 or higher. The feature hole 105 may also have a dimension near the opening, e.g., an opening diameter or line width, of between about 10 nm to 500 nm. For example, the opening dimension may be between about 25 nm to 300 nm. The feature hole 105 may be referred to as an unfilled feature or simply a feature. The feature, and any feature, may be characterized in part by an axis 118 that extends through the length of the feature, with vertically-oriented features having vertical axes and horizontally-oriented features having horizontal axes.



FIG. 1B shows an example of a feature 101 that has a re-entrant profile. A re-entrant profile is a profile that narrows from a closed bottom end to the feature opening or from an interior of the feature to the feature opening. According to various embodiments, the profile may narrow gradually and/or include an overhang at the feature opening. FIG. 1B shows an example of the latter, with an underlayer 113 lining the interior surfaces of the feature hole 105. The underlayer 113 can be for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, a combination of thereof, or any other appropriate material. Examples of such underlayers include titanium nitride (TiN) underlayers, titanium/titanium nitride (Ti/TiN) underlayers, and tungsten nitride (WN) underlayers. The underlayer 113 forms an overhang 115 such that the underlayer 113 is thicker near the opening of the feature 101 than inside the feature 101.


In some embodiments, features having one or more constrictions within the feature may be filled. FIG. 1C shows examples of views of various filled features having constrictions. Each of the examples (a), (b) and (c) in FIG. 1C includes a constriction 109 at a midpoint of the feature. The constriction 109 can be, for example, between about 15 nm to 20 nm wide. Constrictions can cause pinch off during deposition of tungsten in the feature using conventional techniques, with deposited tungsten blocking further deposition past the constriction before that portion of the feature is filled, resulting in voids in the feature. Example (b) further includes a liner/barrier overhang 115 at the feature opening. Such an overhang could also be a potential pinch-off point. Example (c) includes a constriction 112 further away from the field region than the overhang 115 in example (b). As described further below, methods described herein allow void-free fill as depicted in FIG. 1C.


Horizontal features, such as in 3-D memory structures, can also be filled. FIG. 1D shows an example of a word line 150 in a VNAND structure 148 that includes a constriction 151. In some embodiments, the constrictions can be due to the presence of pillars in a VNAND or other structure. FIG. 1E, for example, shows a plan view of pillars 125 in a VNAND structure, with FIG. 1F showing a simplified schematic of a cross-sectional depiction of the pillars 125. Arrows in FIG. 1E represent deposition material; as pillars 125 are disposed between an area 127 and a gas inlet or other deposition source, adjacent pillars can result in constrictions that present challenges in void free fill of the area 127.



FIG. 1G provides another example of a view horizontal feature, for example, of a VNAND or other structure including pillar constrictions 151. The example in FIG. 1G is open-ended, with material to be deposited able to enter laterally from two sides as indicated by the arrows. (It should be noted that example in FIG. 1G can be seen as a 2-D rendering 3-D features of the structure, with the FIG. 1G being a cross-sectional depiction of an area to be filled and pillar constrictions shown in the figure representing constrictions that would be seen in a plan rather than cross-sectional view.) In some embodiments, 3-D structures can be characterized with the area to be filled extending along three dimensions (e.g., in the X, Y and Z-directions in the example of FIG. 1F), and can present more challenges for fill than filling holes or trenches that extend along one or two dimensions. For example, controlling fill of a 3-D structure can be challenging as deposition gasses may enter a feature from multiple dimensions.


Filling features with tungsten-containing materials may cause formation of voids and seams inside the filled features. A void is region in the feature that is left unfilled. A void can form, for example, when the deposited material forms a pinch point within the feature, sealing off an unfilled space within the feature preventing reactant entry and deposition.


There are multiple potential causes for void and seam formation. One is an overhang formed near the feature opening during deposition of tungsten-containing materials or, more typically, other materials, such as a diffusion barrier layer or a nucleation layer. An example of an overhang is shown in FIG. 1B.


Another cause of void or seam formation that is not illustrated in FIG. 1B but that nevertheless may lead to seam formation or enlarging seams is curved sidewalls of feature holes. Features having such curved sidewalls are also referred to as bowed features. In a bowed feature, the cross-sectional dimension of the cavity near the opening is smaller than that inside the feature. Deposition challenges caused by the narrowed openings of bowed features are similar to those caused by overhangs as described above. Constrictions within a feature such as shown in FIGS. 1C, 1D and 1G also present challenges for tungsten fill with few or no voids and seams.


Even if void free fill is achieved, tungsten in the feature may contain a seam running through the axis or middle of the via, trench, line or other feature. This is because tungsten growth can begin at the sidewall and continue until the tungsten grains meet with tungsten growing from the opposite sidewall. This seam can allow for trapping of impurities including fluorine-containing compounds such as hydrofluoric acid (HF). During chemical-mechanical planarization (CMP), coring can also propagate from the seam. According to various embodiments, the methods described herein can reduce or eliminate void and seam formation. The methods described herein may also address one or more of the following:


1) Very challenging profiles: Void free fill can be achieved in most re-entrant features using deposition-etch-deposition (dep-etch-dep) cycles as described in U.S. Pat. No. 8,435,894, incorporated by reference herein. However, depending on the dimensions and geometry, multiple dep-etch-dep cycles may be needed to achieve void-free fill. This can affect process stability and throughput. Embodiments described herein can provide feature fill with fewer or no dep-etch-dep cycles.


2) Small features and liner/barrier impact: In cases where the feature sizes are extremely small, tuning the etch process without impacting the integrity of a liner/barrier underlayer can be very difficult. In some cases intermittent titanium (Ti) attack can occur during a W-selective etch. This may be due to formation of a passivating titanium fluoride (TiFx) layer during the etch.


3) Scattering at W grain boundaries: The presence of multiple W grains inside the feature can result in electron loss due to grain boundary scattering. As a result, actual device performance will be degraded compared to theoretical predictions and blanket wafer results.


4) Reduced via volume for W fill: Especially in smaller and newer features, a significant part of the metal contact is used up by the W barrier (e.g., a TiN or WN, etc. barrier). These films are typically higher resistivity than W and negatively impact electrical characteristics like contact resistance.



FIG. 2A is a process flow diagram illustrating certain operations in a method of filling a feature with tungsten. The method begins at a block 201 with selective inhibition of a feature. Selective inhibition, which may also be referred to as preferential inhibition, preferential passivation, selective passivation, differential inhibition, or differential passivation, involves inhibiting subsequent tungsten nucleation on a portion of the feature, while not inhibiting nucleation (or inhibiting nucleation to a lesser extent) on the remainder of the feature. For example, in some embodiments, a feature is selectively inhibited at a feature opening, while nucleation inside the feature is not inhibited. Selective inhibition is described further below, and can involve, for example, selectively exposing a portion of the feature to activated species of a plasma. In certain embodiments, for example, a feature opening is selectively exposed to a plasma generated from molecular nitrogen gas. As discussed further below, a desired inhibition profile in a feature can be formed by appropriately selecting one or more of inhibition chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters.


Once the feature is selectively inhibited, the method can continue at block 203 with selective deposition of tungsten according to the inhibition profile. Block 203 may involve one or more chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) processes, including thermal and plasma-enhanced CVD and/or ALD processes. The deposition is selective in that the tungsten preferentially grows on the lesser- and non-inhibited portions of the feature. In some embodiments, block 203 involves selectively depositing tungsten in a bottom or interior portion of the feature until a constriction is reached or passed.


After selective deposition according to the inhibition profile is performed, the method can continue at block 205 with filling the rest of the feature. In certain embodiments, block 205 involves a CVD process in which a tungsten-containing precursor is reduced by hydrogen to deposit tungsten. While tungsten hexafluoride (WF6) is often used, the process may be performed with other tungsten precursors, including, but not limited to, tungsten hexachloride (WCl6), organo-metallic precursors, and precursors that are free of fluorine such as MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten). In addition, while hydrogen can be used as the reducing agent in the CVD deposition, other reducing agents including silane may be used in addition or instead of hydrogen. In another embodiment, tungsten hexacarbonyl (W(CO)6) may be used with or without a reducing agent. Unlike with ALD and pulsed nucleation layer (PNL) processes described further below, in a CVD technique, the WF6 and H2 or other reactants are simultaneously introduced into the reaction chamber. This produces a continuous chemical reaction of mix reactant gases that continuously forms tungsten film on the substrate surface. Methods of depositing tungsten films using CVD are described in U.S. Pat. Nos. 8,551,885 and 8,623,733, which are incorporated by reference herein in their entireties for the purposes of describing tungsten deposition processes. According to various embodiments, the methods described herein are not limited to a particular method of filling a feature but may include any appropriate deposition technique.


In some embodiments, block 205 may involve continuing a CVD deposition process started at block 203. Such a CVD process may result in deposition on the inhibited portions of the feature, with nucleation occurring more slowly than on the non-inhibited portions of the feature. In some embodiments, block 205 may involve deposition of a tungsten nucleation layer over at least the inhibited portions of the feature.


According to various embodiments, the feature surface that is selectively inhibited can be a barrier or liner layer, such as a metal nitride layer, or it can be a layer deposited to promote nucleation of tungsten. FIG. 2B shows an example of a method in which a tungsten nucleation layer is deposited in the feature prior to selective inhibition. The method begins at block 301 with deposition of the thin conformal layer of tungsten in the feature. The layer can facilitate subsequent deposition of bulk tungsten-containing material thereon. In certain embodiments, the nucleation layer is deposited using a PNL technique. In a PNL technique, pulses of a reducing agent, purge gases, and tungsten-containing precursor can be sequentially injected into and purged from the reaction chamber. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate, including ALD techniques. PNL techniques for depositing tungsten nucleation layers are described in U.S. Pat. Nos. 6,635,965; 7,589,017; 7,141,494; 7,772,114; 8,058,170 and 8,623,733 and in U.S. patent application Ser. No. 12/755,248, which are incorporated by reference herein in their entireties for the purposes of describing tungsten deposition processes. Block 301 is not limited to a particular method of tungsten nucleation layer deposition, but includes PNL, ALD, CVD, and physical vapor deposition (PVD) techniques for depositing a thin conformal layer. The nucleation layer can be sufficiently thick to fully cover the feature to support high quality bulk deposition; however, because the resistivity of the nucleation layer is higher than that of the bulk layer, the thickness of the nucleation layer may be minimized to keep the total resistance as low as possible. Example thicknesses of films deposited in block 301 can range from less than 10 Å to 100 Å. After deposition of the thin conformal layer of tungsten in block 301, the method can continue with blocks 201, 203, and 205 as described above with reference to FIG. 2A. An example of filling a feature according to a method of FIG. 2B is described below with reference to FIG. 5.


In some embodiments, the thickness of the layer deposited in block 301 may be used to modulate the inhibition effect of the subsequent operation. FIG. 2D shows growth delay time (after inhibition) as a function of thickness of tungsten layer deposited prior to the inhibition treatment. The thinner the layer, the stronger the inhibiting effect.



FIG. 2C shows an example of a method in which completing filling the feature (e.g., block 205 in FIG. 2A) can involve repeating selective inhibition and deposition operations. The method can begin at block 201, as described above with respect to FIG. 2A, in which the feature is selectively inhibited, and continue at block 203 with selective deposition according to the inhibition profile. Blocks 201 and 203 are then repeated one or more times (block 401) to complete feature fill.


Still further, selective inhibition can be used in conjunction with selective deposition. Selective deposition techniques are described in U.S. Provisional Patent Application No. 61/616,377, incorporated by reference herein.


According to various embodiments, selective inhibition can involve exposure to activated species that passivate the feature surfaces. For example, in certain embodiments, a tungsten surface can be passivated by exposure to a nitrogen-based or hydrogen-based plasma. In some embodiments, inhibition can involve a chemical reaction between activated species and the feature surface to form a thin layer of a compound material such as tungsten nitride (WN) or tungsten carbide (WC). In some embodiments, inhibition can involve a surface effect such as adsorption that passivates the surface without forming a layer of a compound material. Activated species may be formed by any appropriate method including by plasma generation and/or exposure to ultraviolet (UV) radiation. In some embodiments, the substrate including the feature is exposed to a plasma generated from one or more gases fed into the chamber in which the substrate sits. In some embodiments, one or more gases may be fed into a remote plasma generator, with activated species formed in the remote plasma generator fed into a chamber in which the substrate sits. The plasma source can be any type of source including radio frequency (RF) plasma source or microwave source. The plasma can be inductively and/or capacitively-coupled. Activated species can include atomic species, radical species, and ionic species. In certain embodiments, exposure to a remotely-generated plasma includes exposure to radical and atomized species, with substantially no ionic species present in the plasma such that the inhibition process is not ion-mediated. In other embodiments, ion species may be present in a remotely-generated plasma. In certain embodiments, exposure to an in-situ plasma involves ion-mediated inhibition. For the purposes of this application, activated species are distinguished from recombined species and from the gases initially fed into a plasma generator.


Inhibition chemistries can be tailored to the surface that will be subsequently exposed to deposition gases. For tungsten surfaces, as formed for example in a method described with reference to FIG. 2B, exposure to nitrogen-based and/or hydrogen-based plasmas inhibits subsequent tungsten deposition on the W surfaces. Other chemistries that may be used for inhibition of tungsten surfaces include oxygen-based plasmas and hydrocarbon-based plasmas. For example, molecular oxygen or methane may be introduced to a plasma generator.


As used herein, a nitrogen-based plasma is a plasma in which the main non-inert component is nitrogen. An inert component such as argon, xenon, or krypton may be used as a carrier gas. In some embodiments, no other non-inert components are present in the gas from which the plasma is generated except in trace amounts. In some embodiments, inhibition chemistries may be nitrogen-containing, hydrogen-containing, oxygen-containing, and/or carbon-containing, with one or more additional reactive species present in the plasma. For example, U.S. Pat. No. 8,124,531, incorporated by reference herein, describes passivation of a tungsten surface by exposure to nitrogen trifluoride (NF3). Similarly, fluorocarbons such as CF4 or C2F8 may be used. However, in certain embodiments, the inhibition species are fluorine-free to prevent etching during selective inhibition.


In certain embodiments, UV radiation may be used in addition to or instead of plasma to provide activated species. Gases may be exposed to UV light upstream of and/or inside a reaction chamber in which the substrate sits. Moreover, in certain embodiments, non-plasma, non-UV, thermal inhibition processes may be used. In addition to tungsten surfaces, nucleation may be inhibited on liner/barrier layers surfaces such as TiN and/or WN surfaces. Any chemistry that passivates these surfaces may be used. For TiN and WN, this can include exposure to nitrogen-based or nitrogen-containing chemistries. In certain embodiments, the chemistries described above for W may also be employed for TiN, WN, or other liner layer surfaces.


Tuning an inhibition profile can involve appropriately controlling an inhibition chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters. For in-situ plasma processes (or other processes in which ionic species are present), a bias can be applied to the substrate. Substrate bias can, in some embodiments, significantly affect an inhibition profile, with increasing bias power resulting in active species deeper within the feature. For example, 100 W DC bias on a 300 mm substrate may result inhibition the top half of a 1500 nm deep structure, while a 700 W bias may result in inhibition of the entire structure. The absolute bias power appropriate a particular selective inhibition will depend on the substrate size, the system, plasma type, and other process parameters, as well as the desired inhibition profile; however, bias power can be used to tune top-to-bottom selectivity, with decreasing bias power resulting in higher selectivity. For 3-D structures in which selectivity is desired in a lateral direction (tungsten deposition preferred in the interior of the structure), but not in a vertical direction, increased bias power can be used to promote top-to-bottom deposition uniformity.


While bias power can be used in certain embodiments as the primary or only knob to tune an inhibition profile for ionic species, in certain situations, performing selective inhibition uses other parameters in addition to or instead of bias power. These include remotely generated non-ionic plasma processes and non-plasma processes. Also, in many systems, a substrate bias can be easily applied to tune selectivity in vertical but not lateral direction. Accordingly, for 3-D structures in which lateral selectivity is desired, parameters other than bias may be controlled, as described above.


Inhibition chemistry can also be used to tune an inhibition profile, with different ratios of active inhibiting species used. For example, for inhibition of W surfaces, nitrogen may have a stronger inhibiting effect than hydrogen; adjusting the ratio of N2 and H2 gas in a forming gas-based plasma can be used to tune a profile. The plasma power may also be used to tune an inhibition profile, with different ratios of active species tuned by plasma power. Process pressure can be used to tune a profile, as pressure can cause more recombination (deactivating active species) as well as pushing active species further into a feature. Process time may also be used to tune inhibition profiles, with increasing treatment time causing inhibition deeper into a feature.


In some embodiments, selective inhibition can be achieved by performing operation 203 in a mass transport limited regime. In this regime, the inhibition rate inside the feature is limited by amounts of and/or relative compositions of different inhibition material components (e.g., an initial inhibition species, activated inhibition species, and recombined inhibition species) that diffuse into the feature. In certain examples, inhibition rates depend on various components' concentrations at different locations inside the feature.


Mass transport limiting conditions may be characterized, in part, by overall inhibition concentration variations. In certain embodiments, a concentration is less inside the feature than near its opening resulting in a higher inhibition rate near the opening than inside. This in turn leads to selective inhibition near the feature opening. Mass transport limiting process conditions may be achieved by supplying limited amounts of inhibition species into the processing chamber (e.g., use low inhibition gas flow rates relative to the cavity profile and dimensions), while maintaining relative high inhibition rates near the feature opening to consume some activated species as they diffuse into the feature. In certain embodiment, a concentration gradient is substantial, which may be caused relatively high inhibition kinetics and relatively low inhibition supply. In certain embodiments, an inhibition rate near the opening may also be mass transport limited, though this condition is not required to achieve selective inhibition.


In addition to the overall inhibition concentration variations inside features, selective inhibition may be influenced by relative concentrations of different inhibition species throughout the feature. These relative concentrations in turn can depend on relative dynamics of dissociation and recombination processes of the inhibition species. As described above, an initial inhibition material, such as molecular nitrogen, can be passed through a remote plasma generator and/or subjected to an in-situ plasma to generate activated species (e.g., atomic nitrogen, nitrogen ions). However, activated species may recombine into less active recombined species (e.g., nitrogen molecules) and/or react with W, WN, TiN, or other feature surfaces along their diffusion paths. As such, different parts of the feature may be exposed to different concentrations of different inhibition materials, e.g., an initial inhibition gas, activated inhibition species, and recombined inhibition species. This provides additional opportunities for controlling selective inhibition. For example, activated species are generally more reactive than initial inhibition gases and recombined inhibition species. Furthermore, in some cases, the activated species may be less sensitive to temperature variations than the recombined species. Therefore, process conditions may be controlled in such a way that removal is predominantly attributed to activated species. As noted above, some species may be more reactive than others. Furthermore, specific process conditions may result in activated species being present at higher concentrations near features' openings than inside the features. For example, some activated species may be consumed (e.g., reacted with feature surface materials and/or adsorbed on the surface) and/or recombined while diffusing deeper into the features, especially in small high aspect ratio features. Recombination of activated species can also occur outside of features, e.g., in the showerhead or the processing chamber, and can depends on chamber pressure. Therefore, chamber pressure may be controlled to adjust concentrations of activated species at various points of the chamber and features.


Flow rates of the inhibition gas can depend on a size of the chamber, reaction rates, and other parameters. A flow rate can be selected in such a way that more inhibition material is concentrated near the opening than inside the feature. In certain embodiments, these flow rates cause mass-transport limited selective inhibition. For example, a flow rate for a 195-liter chamber per station may be between about 25 sccm and 10,000 sccm or, in specific embodiments, between about 50 sccm and 1,000 sccm. In certain embodiments, the flow rate is less than about 2,000 sccm, less than about 1,000 sccm, or more less than about 500 sccm. It should be noted that these values are presented for one individual station configured for processing a 300-mm substrate. These flow rates can be scaled up or down depending on a substrate size, a number of stations in the apparatus (e.g., quadruple for a four station apparatus), a processing chamber volume, and other factors.


In certain embodiments, the substrate can be heated up or cooled down before selective inhibition. Various devices may be used to bring the substrate to the predetermined temperature, such as a heating or cooling element in a station (e.g., an electrical resistance heater installed in a pedestal or a heat transfer fluid circulated through a pedestal), infrared lamps above the substrate, igniting plasma, etc.


A predetermined temperature for the substrate can be selected to induce a chemical reaction between the feature surface and inhibition species and/or promote adsorption of the inhibition species, as well as to control the rate of the reaction or adsorption. For example, a temperature may be selected to have high reaction rate such that more inhibition occurs near the opening than inside the feature. Furthermore, a temperature may be also selected to control recombination of activated species (e.g., recombination of atomic nitrogen into molecular nitrogen) and/or control which species (e.g., activated or recombined species) contribute predominantly to inhibition. In certain embodiments, a substrate is maintained at less than about 300° C., or more particularly at less than about 250° C., or less than about 150° C., or even less than about 100° C. In other embodiments, a substrate is heated to between about 300° C. and 450° C. or, in more specific embodiments, to between about 350° C. and 400° C. Other temperature ranges may be used for different types of inhibition chemistries. Exposure time can also be selected to cause selective inhibition. Example exposure times can range from about 10 s to 500 s, depending on desired selectivity and feature depth.


In some embodiments, the inhibition treatments described above are modulated to improve selectivity and tune the inhibition profile. FIGS. 3A-3C and 4A-4D provide examples of flow charts of selectively inhibiting tungsten deposition in a feature. FIGS. 3A-3C provide examples of treating a substrate prior to exposing the substrate to an nitrogen-based plasma or other inhibition chemistry. First, in FIG. 3A, the process begins by exposing a substrate including a feature to a controlled vacuum break (350). As used herein, a vacuum break refers to a period wherein the substrate is not under vacuum. In block 350, the substrate may be exposed to atmospheric pressure, for example, in a storage cassette (e.g., a front opening unified pod or FOUP) or in a loadlock. In some embodiments, the substrate may be exposed to atmospheric temperature and/or gasses (i.e., air). Alternatively, temperature and gas composition may be controlled. The duration of block 350 may be controlled to effectively modulate the subsequent inhibition treatment. Next, the substrate is exposed to an inhibition treatment as discussed above (352). In a particular example, the substrate is exposed to a nitrogen-based plasma. The process shown in FIG. 3A may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 350 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2A. In one example, a thin tungsten film may be deposited in a feature in a first vacuum chamber, followed by a controlled vacuum break in a FOUP or loadlock, followed by exposure to a nitrogen-based plasma in a second vacuum chamber.


The process of FIG. 3B is similar to that of FIG. 3A, with a substrate including a feature exposed to an oxidizing chemistry (354). In some embodiments, block 354 may be performed outside a reaction chamber, for example in a FOUP or loadlock. Alternatively, block 354 may involve exposing a substrate to an oxidizing gas, such as oxygen (O2), ozone (O3), carbon dioxide (CO2), water (H2O), etc. in a process chamber. Block 354 may be performed under vacuum or at atmospheric pressure. According to various embodiments, block 354 may or may not involve the use of plasma- or UV-activated species. For example, block 354 may involve exposing the substrate to O2 under non-plasma conditions such that the O2 is not activated. Block 354 is followed by exposing the substrate to an inhibition treatment (352). In a particular example, the substrate is exposed to a nitrogen-based plasma. Blocks 354 and 352 may be performed in the same chamber or different chambers. The process shown in FIG. 3B may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 354 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2B.


In some embodiments, block 350 in FIG. 3A or block 354 in FIG. 3B in involves formation of an oxide film in the feature. For example, in implementations in which there is a thin conformal tungsten film deposited in the feature (e.g., as in block 301 of FIG. 2B), tungsten oxide (WOx) may be formed in the feature. In some embodiments, WOx formation in a feature is non-conformal.



FIG. 3D shows growth delay of a tungsten deposition performed after the following sequence: a) deposition of tungsten layer, b) exposure to air (vacuum break) and c) exposure to a nitrogen-based plasma inhibiting treatment. The delay time is shown as a function of the air exposure time. As shown in FIG. 3D, an air break modulates the inhibition effect of the nitrogen plasma by lessening the effect.


The process of FIG. 3C involves exposing a substrate including a feature to a reactive chemistry (356). Examples of reactive chemistries include reducing chemistries (e.g., diborane (B2H6) or silane (SiH4)) and tungsten-containing chemistries (e.g., WF6 or WCl6). Block 356 is followed by exposing the substrate to an inhibition treatment (352). In a particular example, the substrate is exposed to a nitrogen-based plasma. Blocks 356 and 352 may be performed in the same chamber or different chambers. The process shown in FIG. 3C may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 356 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2B. Block 356 may be referred to as a soak, and is generally a non-plasma operation.


Table 1, below, compares inhibition performed after a diborane soak with inhibition performed after no soak. For both processes, a 100 Å tungsten nucleation layer was deposited, followed by the soak/no soak operation, followed by exposure to a nitrogen plasma. The deposition operation following the inhibition treatment was 300 seconds (including delay).















300 second W
300 second W


Pre-inhibition B2H6
deposition:
deposition:


soaking (seconds)
thickness (Å)
delay (seconds)

















0
897
221


15
100
>300 s










The results in Table 1 indicate that the B2H6 rich surface modulates the inhibition effect by increasing it.



FIGS. 4A-4D provide examples of treating a substrate after exposing the substrate to an nitrogen-based plasma or other inhibition chemistry and prior to tungsten deposition. The treatment modulates the inhibition. First, in FIG. 4A, the process includes exposing a substrate including a feature to an inhibition treatment as discussed above (450). In a particular example, the substrate is exposed to a nitrogen-based plasma. Next, the substrate is annealed (452). Block 452 may involve raising the temperature, e.g., by at least 50° C., 100° C. or 200° C. The annealing may be performed in an inert ambient, or in an oxidizing environment, for example. Blocks 450 and 452 may be performed in the same chamber or different chambers. The process shown in FIG. 4A may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. Block 452 may be performed in a chamber where a subsequent tungsten deposition operation is to be performed. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 452 forming a modified differential inhibition profile along the feature axis.


The process of FIG. 4B involves exposing a substrate including a feature to a reactive chemistry (454) after exposing it to an inhibition treatment (450) as described above. Examples of reactive chemistries include reducing chemistries (e.g., B2H6, SiH4) and tungsten-containing chemistries (e.g., WF6, WCl6). Blocks 450 and 454 may be performed in the same chamber or different chambers. The process shown in FIG. 4B may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, the reactive chemistry in block 454 is one or more compounds used in a subsequent tungsten deposition operation. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 454 may be referred to as a soak, and is generally a non-plasma operation. Block 450 may form a differential inhibition profile along a feature axis, with block 454 forming a modified differential inhibition profile along the feature axis.


The process of FIG. 4C involves exposing a substrate including a feature to an oxidizing chemistry (456) after exposing it to an inhibition treatment (450) as described above. Examples of oxidizing chemistries include O2, O3, CO2, and H2O. Block 456 may be performed at the same or different temperature than block 450. According to various embodiments, block 456 may or may not involve the use of plasma- or UV-activated species. For example, block 456 may involve exposing the substrate to O2 under non-plasma conditions such that the O2 is not activated. Blocks 450 and 456 may be performed in the same chamber or different chambers. The process shown in FIG. 4C may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 456 forming a modified differential inhibition profile along the feature axis.


The process of FIG. 4D involves exposing a substrate including a feature to a sputtering gas (458) after exposing it to an inhibition treatment (450) as described above. Examples of sputtering gases include Ar and H2. Blocks 450 and 458 may be performed in the same chamber or different chambers. The process shown in FIG. 4D may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 458 forming a modified differential inhibition profile along the feature axis.



FIG. 4E shows growth delay of a tungsten deposition performed after the following sequence: a) deposition of a tungsten layer, b) exposure to a nitrogen-based plasma inhibiting treatment, and c) exposure to a thermal anneal. As shown in FIG. 4E, annealing modulates the inhibition effect of the nitrogen plasma by lessening the effect.


Table 2, below, compares inhibition prior to a diborane soak with inhibition performed prior to no soak. For both processes, a tungsten layer was deposited, followed by exposure to a nitrogen plasma, followed by a soak/no soak operation.














Post-inhibition B2H6
W deposition thickness
W growth delay


soaking (seconds)
(Å)
(seconds)

















0
564
1044


3
3187
170










The results in Table 2 indicate that the post-inhibition B2H6 soaking modulates the inhibition effect by decreasing it. This may be because the soaking with a reactive gas increases the nucleation sites.


The process of FIG. 4F involves exposing a substrate including a feature to an H-containing plasma (460) after exposing it to an inhibition treatment (450) as described above. Examples of H-containing plasmas include remote and in situ plasmas generated from hydrogen (H2) gas. Blocks 450 and 460 may be performed in the same chamber or different chambers. The process shown in FIG. 4F may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 460 forming a modified differential inhibition profile along the feature axis. FIG. 4I shows delay time from a deposition—inhibition—deposition process as compared to a deposition—inhibition—H2 plasma—deposition process. As shown in FIG. 4I, exposure to the H2 plasma reduces the inhibition effect.


Various post-inhibition treatments above may be used to decrease the inhibition effect and can be referred to as “de-inhibition” treatments. FIGS. 4G and 4H are examples of flow charts that show operations in using such treatments to fill a feature with tungsten. In FIG. 4G, tungsten is deposited in a feature (449). Block 449 involves partially filling the feature with tungsten. In some embodiments, block 449 involves depositing a thin conformal film as described above with respect to block 301 of FIG. 2B. The substrate is then exposed to an inhibition treatment (450) as described above. After exposing the substrate to an inhibition treatment, the substrate is exposed to a de-inhibition treatment that reduces the inhibition effect. Examples of de-inhibition treatments are given above and include an H-containing plasma, a reducing agent thermal soak, and a thermal anneal. Selective deposition of tungsten in then performed in accordance with the inhibition profile (203) as described above.


In FIG. 4H, blocks 449 and 450 are performed as described above with respect to FIG. 4G. After block 450, a selective deposition is performed in accordance with the inhibition profile obtained in block 450 (203). The selective deposition is followed by exposing the substrate to a de-inhibition treatment (458) as described above. Another selective deposition of tungsten is performed in accordance with the inhibition profile obtained in block 458 (203). In some embodiments, block 458 may remove the inhibition effect, such the deposition in block 203 is not preferential or selective to a particular region of the feature.


The process shown in FIG. 4G can be used to reduce the inhibition effect across all features to be filled on a substrate. The process shown in FIG. 4H allows complete fill of some features, e.g., narrow or high aspect ratio or otherwise challenging features before reducing the inhibition effect on partially filled features.


As described above, aspects of the disclosure can be used for VNAND wordline (WL) fill. While the below discussion provides a framework for various methods, the methods are not so limited and can be implemented in other applications as well, including logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate/wordline fill, and 3D integration (TSV).



FIG. 1F, described above, provides an example of a VNAND wordline structure to be filled. As discussed above, feature fill of these structures can present several challenges including constrictions presented by pillar placement. In addition, a high feature density can cause a loading effect such that reactants are used up prior to complete fill.


Various methods are described below for void-free fill through the entire WL. In certain embodiments, low resistivity tungsten is deposited. FIG. 5 shows a sequence in which non-conformal selective inhibition is used to fill in the interior of the feature before pinch off. In FIG. 5, a structure 500 is provided with a liner layer surface 502. The liner layer surface 502 may be for example, TiN or WN. Next, a W nucleation layer 504 is conformally deposited on the liner layer 502. A PNL process as described above can be used. Note that in some embodiments, this operation of depositing a conformal nucleation layer may be omitted. Next, the structure is exposed to an inhibition chemistry to selectively inhibit portions 506 of the structure 500. In this example, the portions 508 through the pillar constrictions 151 are selectively inhibited Inhibition can involve for example, exposure to a direct (in-situ) plasma generated from a gas such as N2, H2, forming gas, NH3, O2, CH4, etc. Other methods of exposing the feature to inhibition species are described above. Next, a CVD process is performed to selectively deposit tungsten in accordance with the inhibition profile: bulk tungsten 510 is preferentially deposited on the non-inhibited portions of the nucleation layer 504, such that hard-to-fill regions behind constrictions are filled. The remainder of the feature is then filled with bulk tungsten 510. As described above with reference to FIG. 2A, the same CVD process used to selectively deposit tungsten may be used to remainder of the feature, or a different CVD process using a different chemistry or process conditions and/or performed after a nucleation layer is deposited may be used.


In some embodiments, methods described herein may be used for tungsten via fill. FIG. 6 shows an example of a feature hole 105 including an underlayer 113, which can be, for example, a metal nitride or other barrier layer. A tungsten layer 653 is conformally deposited in the feature hole 10, for example, by a PNL and/or CVD method. (Note that while the tungsten layer 653 is conformally deposited in the feature hole 105 in the example of FIG. 6, in some other embodiments, tungsten nucleation on the underlayer 113 can be selectively inhibited prior to selective deposition of the tungsten layer 653.) Further deposition on the tungsten layer 653 is then selectively inhibited, forming inhibited portion 655 of the tungsten layer 653 near the feature opening. Tungsten is then selectively deposited by a PNL and/or CVD method in accordance with the inhibition profile such that tungsten is preferentially deposited near the bottom and mid-section of the feature. Deposition continues, in some embodiments with one or more selective inhibition cycles, until the feature is filled. As described above, in some embodiments, the inhibition effect at the feature top can be overcome by a long enough deposition time, while in some embodiments, an additional nucleation layer deposition or other treatment may be performed to lessen or remove the passivation at the feature opening once deposition there is desired. Note that in some embodiments, feature fill may still include formation of a seam, such as seam 657 depicted in FIG. 6. In other embodiments, the feature fill may be void-free and seam-free. Even if a seam is present, it may be smaller than obtained with a conventionally filled feature, reducing the problem of coring during CMP. The sequence depicted in the example of FIG. 6 ends post-CMP with a relatively small void present.


In some embodiments, the processes described herein may be used advantageously even for features that do not have constrictions or possible pinch-off points. For example, the processes may be used for bottom-up, rather than conformal, fill of a feature. FIG. 7 depicts a sequence in which a feature 700 is filled by a method according to certain embodiments. A thin conformal layer of tungsten 753 is deposited initially, followed by selective inhibition to form inhibited portions 755, layer 753 at the bottom of the feature not treated. CVD deposition results in a bulk film 757 deposited on at the bottom of the feature. This is then followed by repeated cycles of selective CVD deposition and selective inhibition until the feature is filled with bulk tungsten 757. Because nucleation on the sidewalls of the feature is inhibited except near the bottom of the feature, fill is bottom-up. In some embodiments, different parameters may be used in successive inhibitions to tune the inhibition profile appropriately as the bottom of the feature grows closer to the feature opening. For example, a bias power and/or treatment time may be decreased is successive inhibition treatments.


EXPERIMENTAL

3D VNAND features similar to the schematic depiction in FIG. 1F were exposed to plasmas generated from N2H2 gas after deposition of an initial tungsten seed layer. The substrate was biased with a DC bias, with bias power varied from 100 W to 700 W and exposure time varied between 20 s and 200 s. Longer time resulted in deeper and wider inhibition, with higher bias power resulting in deeper inhibition.


Table 1 shows effect of treatment time. All inhibition treatments used exposure to a direct LFRF 2000 W N2H2 plasma with a DC bias of 100 W on the substrate.









TABLE 1







Effect of treatment time on inhibition profile












Initial
Inhibition





Tungsten
Treatment
Subsequent
Selective



Layer
Time
Deposition
Deposition















A
Nucleation +
None
400 s CVD at
Non-selective



30 s CVD at

300° C.
deposition



300° C.


B
same as A
60 s
same as A
Non-selective






deposition


C
same as A
90 s
same as A
Yes - deposition only






from bottom of






feature to slightly less






than vertical






midpoint. Lateral






deposition (wider) at






bottom of feature.


D
same as A
140 s 
same as A
No deposition










While varying treatment time resulted in vertical and lateral tuning of inhibition profile as described in Table 1 (split C), varying bias power correlated higher to vertical tuning of inhibition profile, with lateral variation a secondary effect.


As described above, the inhibition effect may be overcome by certain CVD conditions, including longer CVD time and/or higher temperatures, more aggressive chemistry, etc. Table 2 below, shows the effect of CVD time on selective deposition.









TABLE 2







Effect of CVD time on selective deposition














Subsequent




Initial

CVD



Tungsten
Inhibition
Deposition
Selective



Layer
Treatment
Time (300° C.)
Deposition















E
Nucleation +
H2N2 2000W
0
no deposition



30 s CVD at
RF direct



300° C.
plasma, 90 s,




100 W DC bias


F
same as E
same as E
200 s
Yes - small amount






of deposition






extending about ⅙






height of feature






from bottom


G
same as E
same as E
400 s
Yes - deposition






only from bottom of






feature to slightly






less than vertical






midpoint. Lateral






deposition wider at






bottom of feature.


H
same as E
same as E
700 s
Yes - deposition






through full height






of feature, with






lateral deposition






wider at bottom of






feature










Apparatus


Any suitable chamber may be used to implement this novel method. Examples of deposition apparatuses include various systems, e.g., ALTUS and ALTUS Max, available from Lam Research, Inc. of Fremont, Calif., or any of a variety of other commercially available processing systems.



FIG. 8 illustrates a schematic representation of an apparatus 800 for processing a partially fabricated semiconductor substrate in accordance with certain embodiments. The apparatus 800 includes a chamber 818 with a pedestal 820, a shower head 814, and an in-situ plasma generator 816. The apparatus 800 also includes a system controller 822 to receive input and/or supply control signals to various devices.


In certain embodiments, a inhibition gas and, if present, inert gases, such as argon, helium and others, can be supplied to the remote plasma generator 806 from a source 802, which may be a storage tank. Any suitable remote plasma generator may be used for activating the etchant before introducing it into the chamber 818. For example, a Remote Plasma Cleaning (RPC) units, such as ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645, all available from MKS Instruments of Andover, Mass., may be used. An RPC unit is typically a self-contained device generating weakly ionized plasma using the supplied etchant. Imbedded into the RPC unit a high power RF generator provides energy to the electrons in the plasma. This energy is then transferred to the neutral inhibition gas molecules leading to temperature in the order of 2000K causing thermal dissociation of these molecules. An RPC unit may dissociate more than 60% of incoming molecules because of its high RF energy and special channel geometry causing the gas to adsorb most of this energy.


In certain embodiments, an inhibition gas is flown from the remote plasma generator 806 through a connecting line 808 into the chamber 818, where the mixture is distributed through the shower head 814. In other embodiments, an inhibition gas is flown into the chamber 818 directly completely bypassing the remote plasma generator 806 (e.g., the system 800 does not include such generator). Alternatively, the remote plasma generator 806 may be turned off while flowing the inhibition gas into the chamber 818, for example, because activation of the inhibition gas is not needed or will be supplied by an in situ plasma generator.


The shower head 814 or the pedestal 820 typically may have an internal plasma generator 816 attached to it. In one example, the generator 816 is a High Frequency (HF) generator capable of providing between about 0 W and 10,000 W at frequencies between about 1 MHz and 100 MHz. In another example, the generator 816 is a Low Frequency (LF) generator capable of providing between about 0 W and 10,000 W at frequencies as low as about 100 KHz. In a more specific embodiment, a HF generator may deliver between about 0 W to 5,000 W at about 13.56 MHz. The RF generator 816 may generate in-situ plasma to active inhibition species. In certain embodiments, the RF generator 816 can be used with the remote plasma generator 806 or not used. In certain embodiments, no plasma generator is used during deposition.


The chamber 818 may include a sensor 824 for sensing various process parameters, such as degree of deposition, concentrations, pressure, temperature, and others. The sensor 824 may provide information on chamber conditions during the process to the system controller 822. Examples of the sensor 824 include mass flow controllers, pressure sensors, thermocouples, and others. The sensor 824 may also include an infra-red detector or optical detector to monitor presence of gases in the chamber and control measures.


Deposition and selective inhibition operations can generate various volatile species that are evacuated from the chamber 818. Moreover, processing is performed at certain predetermined pressure levels the chamber 818. Both of these functions are achieved using a vacuum outlet 826, which may be a vacuum pump.


In certain embodiments, a system controller 822 is employed to control process parameters. The system controller 822 typically includes one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Typically there will be a user interface associated with system controller 822. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


In certain embodiments, the system controller 822 controls the substrate temperature, inhibition gas flow rate, power output of the remote plasma generator 806 and/or in situ plasma generator 816, pressure inside the chamber 818 and other process parameters. The system controller 822 executes system control software including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.


The computer program code for controlling the processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the described processes. Examples of programs or sections of programs for this purpose include process gas control code, pressure control code, and plasma control code.


The controller parameters relate to process conditions such as, for example, timing of each operation, pressure inside the chamber, substrate temperature, inhibition gas flow rates, inhibition modulation gas flow rates, etc. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 822. The signals for controlling the process are output on the analog and digital output connections of the apparatus 800. Further description of a system controller such as system controller 822 is provided below.


Multi-Station Apparatus



FIG. 9A shows an example of a multi-station apparatus 900. The apparatus 900 includes a process chamber 901 and one or more cassettes 903 (e.g., Front Opening Unified Pods) for holding substrates to be processed and substrates that have completed processing. The chamber 901 may have a number of stations, for example, two stations, three stations, four stations, five stations, six stations, seven stations, eight stations, ten stations, or any other number of stations. The number of stations in usually determined by a complexity of the processing operations and a number of these operations that can be performed in a shared environment. FIG. 9A illustrates the process chamber 901 that includes six stations, labeled 911 through 916. All stations in the multi-station apparatus 900 with a single process chamber 903 are exposed to the same pressure environment. However, each station may have a designated reactant distribution system and local plasma and heating conditions achieved by a dedicated plasma generator and pedestal, such as the ones illustrated in FIG. 8.


A substrate to be processed is loaded from one of the cassettes 903 through a load-lock 905 into the station 911. An external robot 907 may be used to transfer the substrate from the cassette 903 and into the load-lock 905. In the depicted embodiment, there are two separate load locks 905. These are typically equipped with substrate transferring devices to move substrates from the load-lock 905 (once the pressure is equilibrated to a level corresponding to the internal environment of the process chamber 903) into the station 911 and from the station 916 back into the load-lock 905 for removal from the processing chamber 903. A mechanism 909 is used to transfer substrates among the processing stations 911-916 and support some of the substrates during the process as described below.


In certain embodiments, one or more stations may be reserved for heating the substrate. Such stations may have a heating lamp (not shown) positioned above the substrate and/or a heating pedestal supporting the substrate similar to one illustrated in FIG. 8. For example, a station 911 may receive a substrate from a load-lock and be used to pre-heat the substrate before being further processed. Other stations may be used for filling high aspect ratio features including deposition and selective inhibition operations.


After the substrate is heated or otherwise processed at the station 911, the substrate is moved successively to the processing stations 912, 913, 914, 915, and 916, which may or may not be arranged sequentially. The multi-station apparatus 900 can be configured such that all stations are exposed to the same pressure environment. In so doing, the substrates are transferred from the station 911 to other stations in the chamber 901 without a need for transfer ports, such as load-locks.


In certain embodiments, one or more stations may be used to fill features with tungsten-containing materials. For example, stations 912 may be used for an initial deposition operation, station 913 may be used for a corresponding selective inhibition operation. In the embodiments where a deposition-inhibition cycle is repeated, stations 914 may be used for another deposition operations and station 915 may be used for another inhibition operation. Section 916 may be used for the final filling operation. It should be understood that any configurations of station designations to specific processes (heating, filling, and removal) may be used. In some implementations, any of the stations can be dedicated to one or more of PNL (or ALD) deposition, selective inhibition, pre- or post-inhibition modulation treatments, and CVD deposition.


As an alternative to the multi-station apparatus described above, the method may be implemented in a single substrate chamber or a multi-station chamber processing a substrate(s) in a single processing station in batch mode (i.e., non-sequential). In this aspect of the invention, the substrate is loaded into the chamber and positioned on the pedestal of the single processing station (whether it is an apparatus having only one processing station or an apparatus having multi-stations running in batch mode). The substrate may be then heated and the deposition operation may be conducted. The process conditions in the chamber may be then adjusted and the selective inhibition of the deposited layer is then performed. The process may continue with one or more deposition-inhibition cycles (if performed) and with the final filling operation all performed on the same station. Alternatively, a single station apparatus may be first used to perform only one of the operation in the new method (e.g., depositing, selective inhibition, final filling) on multiple substrates after which the substrates may be returned back to the same station or moved to a different station (e.g., of a different apparatus) to perform one or more of the remaining operations.


Multi-Chamber Apparatus



FIG. 9B is a schematic illustration of a multi-chamber apparatus 920 that may be used in accordance with certain embodiments. As shown, the apparatus 920 has three separate chambers 921, 923, and 925. Each of these chambers is illustrated with two pedestals. It should be understood that an apparatus may have any number of chambers (e.g., one, two, three, four, five, six, etc.) and each chamber may have any number of chambers (e.g., one, two, three, four, five, six, etc.). Each chamber 921-525 has its own pressure environment, which is not shared between chambers. Each chamber may have one or more corresponding transfer ports (e.g., load-locks). The apparatus may also have a shared substrate handling robot 927 for transferring substrates between the transfer ports one or more cassettes 929.


As noted above, separate chambers may be used for depositing tungsten containing materials and selective inhibition of these deposited materials in later operations. Separating these two operations into different chambers can help to substantially improve processing speeds by maintaining the same environmental conditions in each chamber. A chamber does not need to change its environment from conditions used for deposition to conditions used for selective inhibition and back, which may involve different chemistries, different temperatures, pressures, and other process parameters. In certain embodiments, it is faster to transfer partially manufactured semiconductor substrates between two or more different chambers than changing environmental conditions of these chambers.



FIG. 10 shows a process diagram illustrating operations in a method of cleaning a deposition chamber. First, a batch of substrates is processed in a tungsten deposition chamber (1001). Block 1001 may involve a CVD process as described above, for example. Next, an inhibition treatment is performed (1003). Examples of inhibition treatments are given above, and include exposure to nitrogen plasmas. A next batch of substrates may then be processed, with deposition on the chamber inhibited by the inhibition treatment (1005). Block 1003 may be performed with no substrate or a dummy substrate present in the chamber. It may also be performed as part of regular maintenance process that may include any of cleaning the chamber using an etchant chemistry and depositing a precoat or undercoat.


In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including power, intensity, and exposure times. In an integrated tool, the controller may also control processes such as processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive processes. Examples of programs or sections of programs for this purpose include substrate positioning code, treatment compound control code, pressure control code, heater control code, and RF control code. In one embodiment, the controller includes instructions for performing processes of the disclosed embodiments according to methods described above. The computer program code for controlling the processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.


Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. There may be a user interface associated with controller. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


Patterning Method/Apparatus:


The apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

Claims
  • 1. A method comprising: providing a substrate including a feature having one or more feature openings and a feature interior,selectively inhibiting tungsten nucleation in the feature such that there is a differential inhibition profile along a feature axis,after selectively inhibiting tungsten nucleation in the feature and prior to selectively depositing tungsten in the feature, modulating the differential inhibition profile to form a modified differential inhibition profile, wherein modulating the differential inhibition profile comprises raising the substrate temperature to an anneal temperature, maintaining the substrate in an inert or oxidizing environment at the anneal temperature to thermally anneal the substrate; andselectively depositing tungsten in the feature in accordance with the modified differential inhibition profile, wherein selectively depositing tungsten in the feature comprises inletting a tungsten-containing precursor to a chamber containing the substrate and wherein modulating the differential inhibition profile is performed prior to inletting the tungsten-containing precursor into the chamber.
  • 2. The method of claim 1, wherein selectively inhibiting tungsten nucleation in the feature comprises exposing the feature to a direct plasma while applying a bias to the substrate.
  • 3. The method of claim 2, wherein the plasma contains one or more of nitrogen, hydrogen, oxygen and carbon activated species.
  • 4. The method of claim 2, wherein the plasma is nitrogen-based and/or hydrogen-based.
  • 5. The method of claim 1, wherein selectively inhibiting tungsten nucleation in the feature comprises exposing the feature to a remotely-generated plasma.
  • 6. The method of claim 1, further comprising depositing a tungsten layer in the feature prior to selective inhibition.
  • 7. The method of claim 1, wherein modulating the differential inhibition profile comprises thermally annealing the substrate by raising the substrate temperature at least 50° C.
  • 8. The method of claim 1, wherein modulating the differential inhibition profile comprises exposing the feature to a hydrogen-containing plasma.
  • 9. The method of claim 1, further comprising, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature.
  • 10. The method of claim 9, wherein transitioning from selective to non-selective deposition comprises allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer.
  • 11. The method of claim 10, wherein transitioning from selective to non-selective deposition comprising deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • 12. The method of claim 1, wherein selectively inhibiting tungsten nucleation comprises treating a tungsten surface of the feature.
  • 13. The method of claim 1, wherein selectively inhibiting tungsten nucleation comprises treating a metal nitride surface of the feature.
  • 14. The method of claim 1, wherein selective inhibition is performed without etching material in the feature.
  • 15. The method of claim 1, wherein the feature fill is performed without etching material in the feature.
  • 16. The method of claim 1, wherein modulating the differential inhibition profile comprises thermally annealing the substrate by raising the substrate temperature at least 200° C.
  • 17. The method of claim 1, wherein modulating the differential inhibition profile comprises reducing an inhibition effect prior to deposition of a tungsten bulk layer in the feature.
  • 18. The method of claim 1, wherein the selective deposition is performed at a substrate temperature that is different than the anneal temperature.
  • 19. The method of claim 1, wherein the substrate is annealed for an anneal duration of at least 50 seconds.
CROSS REFERENCE TO RELATED APPLICATION

This application claims the benefit of priority to U.S. Provisional Application No. 62/058,058, filed Sep. 30, 2014, which is incorporated by reference herein.

US Referenced Citations (333)
Number Name Date Kind
4714520 Gwozdz Dec 1987 A
4746375 Iacovangelo May 1988 A
4804560 Shioya et al. Feb 1989 A
4874719 Kurosawa Oct 1989 A
4895789 Motte et al. Jan 1990 A
4952658 Kalchauer et al. Aug 1990 A
4962063 Maydan et al. Oct 1990 A
5028565 Chang et al. Jul 1991 A
5227329 Kobayashi et al. Jul 1993 A
5250329 Miracky et al. Oct 1993 A
5250467 Somekh et al. Oct 1993 A
5308655 Eichman et al. May 1994 A
5326723 Petro et al. Jul 1994 A
5370739 Foster et al. Dec 1994 A
5391394 Hansen Feb 1995 A
5407698 Emesh Apr 1995 A
5447816 Kodama et al. Sep 1995 A
5489552 Merchant et al. Feb 1996 A
5567583 Wang et al. Oct 1996 A
5661080 Hwang et al. Aug 1997 A
5719410 Suehiro et al. Feb 1998 A
5726096 Jung Mar 1998 A
5785796 Lee Jul 1998 A
5795824 Hancock Aug 1998 A
5804249 Sukharev et al. Sep 1998 A
5817576 Tseng et al. Oct 1998 A
5833817 Tsai et al. Nov 1998 A
5866483 Shiau et al. Feb 1999 A
5913145 Lu et al. Jun 1999 A
5916365 Sherman Jun 1999 A
5916634 Fleming et al. Jun 1999 A
5926720 Zhao et al. Jul 1999 A
5956609 Lee et al. Sep 1999 A
5963833 Thakur Oct 1999 A
5994749 Oda Nov 1999 A
6001729 Shinriki et al. Dec 1999 A
6013575 Itoh Jan 2000 A
6017818 Lu Jan 2000 A
6034419 Nicholls et al. Mar 2000 A
6037263 Chang Mar 2000 A
6066366 Berenbaum et al. May 2000 A
6099904 Mak et al. Aug 2000 A
6100193 Suehiro et al. Aug 2000 A
6107200 Takagi et al. Aug 2000 A
6143082 McInerney et al. Nov 2000 A
6174812 Hsiung et al. Jan 2001 B1
6184158 Shufflebotham et al. Feb 2001 B1
6206967 Mak et al. Mar 2001 B1
6245654 Shih et al. Jun 2001 B1
6262445 Swanson et al. Jul 2001 B1
6265312 Sidhwa et al. Jul 2001 B1
6277744 Yuan et al. Aug 2001 B1
6284316 Sandhu et al. Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6294468 Gould-Choquette et al. Sep 2001 B1
6297152 Itoh et al. Oct 2001 B1
6306211 Takahashi et al. Oct 2001 B1
6309966 Govindarajan et al. Oct 2001 B1
6310300 Cooney et al. Oct 2001 B1
6316167 Angelopoulos et al. Nov 2001 B1
6340629 Yeo et al. Jan 2002 B1
6355558 Dixit et al. Mar 2002 B1
6365527 Yang et al. Apr 2002 B1
6404054 Oh et al. Jun 2002 B1
6429126 Herner et al. Aug 2002 B1
6432830 Merry Aug 2002 B1
6465347 Ishizuka et al. Oct 2002 B2
6491978 Kalyanam Dec 2002 B1
6503843 Xia et al. Jan 2003 B1
6551929 Kori et al. Apr 2003 B1
6566250 Tu et al. May 2003 B1
6566262 Rissman et al. May 2003 B1
6581258 Yoneda et al. Jun 2003 B2
6593233 Miyazaki et al. Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6635965 Lee et al. Oct 2003 B1
6696337 Asano et al. Feb 2004 B2
6706625 Sudijono et al. Mar 2004 B1
6720261 Anderson et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6777331 Nguyen Aug 2004 B2
6797340 Fang et al. Sep 2004 B2
6844258 Fair et al. Jan 2005 B1
6849561 Goundar Feb 2005 B1
6861356 Matsuse et al. Mar 2005 B2
6875687 Weidman et al. Apr 2005 B1
6902763 Elers et al. Jun 2005 B1
6903016 Cohen Jun 2005 B2
6905543 Fair et al. Jun 2005 B1
6908848 Koo Jun 2005 B2
6936538 Byun Aug 2005 B2
6939804 Lai et al. Sep 2005 B2
6962873 Park Nov 2005 B1
7005372 Levy et al. Feb 2006 B2
7141494 Lee et al. Nov 2006 B2
7157798 Fair et al. Jan 2007 B1
7211144 Lu et al. May 2007 B2
7220671 Simka et al. May 2007 B2
7235486 Kori et al. Jun 2007 B2
7262125 Wongsenakhum et al. Aug 2007 B2
7355254 Datta et al. Apr 2008 B2
7416979 Yoon et al. Aug 2008 B2
7419904 Kato Sep 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7465665 Xi et al. Dec 2008 B2
7465666 Kori et al. Dec 2008 B2
7501343 Byun et al. Mar 2009 B2
7501344 Byun et al. Mar 2009 B2
7524735 Gauri et al. Apr 2009 B1
7563718 Kim Jul 2009 B2
7589017 Chan et al. Sep 2009 B2
7595263 Chung et al. Sep 2009 B2
7605083 Lai et al. Oct 2009 B2
7611990 Yoon et al. Nov 2009 B2
7655567 Gao et al. Feb 2010 B1
7674715 Kori et al. Mar 2010 B2
7675119 Taguwa Mar 2010 B2
7691749 Levy et al. Apr 2010 B2
7695563 Lu et al. Apr 2010 B2
7709385 Xi et al. May 2010 B2
7732327 Lee et al. Jun 2010 B2
7745329 Wang et al. Jun 2010 B2
7745333 Lai et al. Jun 2010 B2
7749815 Byun Jul 2010 B2
7754604 Wongsenakhum et al. Jul 2010 B2
7772114 Chan et al. Aug 2010 B2
7955972 Chan et al. Jun 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7977243 Sakamoto et al. Jul 2011 B2
8048805 Chan et al. Nov 2011 B2
8053365 Humayun et al. Nov 2011 B2
8058170 Chandrashekar et al. Nov 2011 B2
8062977 Ashtiani et al. Nov 2011 B1
8071478 Wu et al. Dec 2011 B2
8087966 Hebbinghaus et al. Jan 2012 B2
8101521 Gao et al. Jan 2012 B1
8110493 Subramonium et al. Feb 2012 B1
8110877 Mukherjee et al. Feb 2012 B2
8119527 Chadrashekar et al. Feb 2012 B1
8124531 Chandrashekar et al. Feb 2012 B2
8178443 Rangarajan et al. May 2012 B2
8207062 Gao et al. Jun 2012 B2
8258057 Kuhn et al. Sep 2012 B2
8329576 Chan et al. Dec 2012 B2
8367546 Humayun et al. Feb 2013 B2
8409985 Chan et al. Apr 2013 B2
8409987 Chandrashekar et al. Apr 2013 B2
8435894 Chandrashekar et al. May 2013 B2
8551885 Chen et al. Oct 2013 B2
8623733 Chen et al. Jan 2014 B2
8709948 Danek et al. Apr 2014 B2
8835317 Chandrashekar et al. Sep 2014 B2
8853080 Guan et al. Oct 2014 B2
8927442 Angyal et al. Jan 2015 B1
8975184 Chen et al. Mar 2015 B2
8993055 Rahtu et al. Mar 2015 B2
9034760 Chen et al. May 2015 B2
9076843 Lee et al. Jul 2015 B2
9234276 Varadarajan Jan 2016 B2
9240347 Chandrashekar et al. Jan 2016 B2
9337068 Antonelli et al. May 2016 B2
9349637 Na et al. May 2016 B2
9362133 Shamma et al. Jun 2016 B2
9371579 Varadarajan et al. Jun 2016 B2
9391086 Soda et al. Jul 2016 B1
9653353 Chandrashekar et al. May 2017 B2
9748137 Lai et al. Aug 2017 B2
9837270 Varadarajan et al. Dec 2017 B1
20010007797 Jang et al. Jul 2001 A1
20010008808 Gonzalez Jul 2001 A1
20010014533 Sun Aug 2001 A1
20010015494 Ahn Aug 2001 A1
20010044041 Badding et al. Nov 2001 A1
20020037630 Agarwal et al. Mar 2002 A1
20020039625 Powell et al. Apr 2002 A1
20020090796 Desai et al. Jul 2002 A1
20020090811 Kim et al. Jul 2002 A1
20020106891 Kim et al. Aug 2002 A1
20020117399 Chen et al. Aug 2002 A1
20020132472 Park Sep 2002 A1
20020155722 Satta et al. Oct 2002 A1
20020168840 Hong et al. Nov 2002 A1
20020177316 Miller et al. Nov 2002 A1
20030059980 Chen et al. Mar 2003 A1
20030064154 Laxman et al. Apr 2003 A1
20030082902 Fukui et al. May 2003 A1
20030091870 Bhowmik et al. May 2003 A1
20030104126 Fang et al. Jun 2003 A1
20030123216 Yoon et al. Jul 2003 A1
20030127043 Lu et al. Jul 2003 A1
20030129828 Cohen et al. Jul 2003 A1
20030190802 Wang et al. Oct 2003 A1
20030194850 Lewis et al. Oct 2003 A1
20030224217 Byun et al. Dec 2003 A1
20040014315 Lai et al. Jan 2004 A1
20040044127 Okubo et al. Mar 2004 A1
20040079632 Ahmad et al. Apr 2004 A1
20040115954 Todd Jun 2004 A1
20040142557 Levy et al. Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040178169 Desphande et al. Sep 2004 A1
20040194706 Wang et al. Oct 2004 A1
20040202786 Wongsenakhum et al. Oct 2004 A1
20040206267 Sambasivan et al. Oct 2004 A1
20040245091 Karim et al. Dec 2004 A1
20040247788 Fang et al. Dec 2004 A1
20050031786 Lee Feb 2005 A1
20050059236 Nishida et al. Mar 2005 A1
20050136594 Kim Jun 2005 A1
20050179141 Yun et al. Aug 2005 A1
20050191803 Matsuse et al. Sep 2005 A1
20050255714 Iyer et al. Nov 2005 A1
20050287811 Inukai Dec 2005 A1
20060003581 Johnston et al. Jan 2006 A1
20060040507 Mak et al. Feb 2006 A1
20060075966 Chen et al. Apr 2006 A1
20060091559 Nguyen et al. May 2006 A1
20060094238 Levy et al. May 2006 A1
20060145190 Salzman et al. Jul 2006 A1
20060211244 Deshpande et al. Sep 2006 A1
20060284317 Ito et al. Dec 2006 A1
20070087560 Kwak et al. Apr 2007 A1
20070099420 Dominquez et al. May 2007 A1
20070141812 Zagwijn et al. Jun 2007 A1
20070166989 Fresco et al. Jul 2007 A1
20070190780 Chung et al. Aug 2007 A1
20070225823 Hawkins et al. Sep 2007 A1
20070264839 Van Gompel et al. Nov 2007 A1
20080045010 Wongsenakhum et al. Feb 2008 A1
20080057666 Kim Mar 2008 A1
20080081127 Thompson et al. Apr 2008 A1
20080081453 Kim et al. Apr 2008 A1
20080099754 Enicks May 2008 A1
20080124926 Chan et al. May 2008 A1
20080217746 Morita et al. Sep 2008 A1
20080254619 Lin et al. Oct 2008 A1
20080254623 Chan et al. Oct 2008 A1
20080277765 Lane et al. Nov 2008 A1
20080280438 Lai et al. Nov 2008 A1
20090045517 Sugiura et al. Feb 2009 A1
20090053426 Lu et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090057151 Shalyt et al. Mar 2009 A1
20090149022 Chan et al. Jun 2009 A1
20090160030 Tuttle Jun 2009 A1
20090163025 Humayun et al. Jun 2009 A1
20090258487 Lin et al. Oct 2009 A1
20090315154 Kirby et al. Dec 2009 A1
20100035427 Chan et al. Feb 2010 A1
20100055904 Chen et al. Mar 2010 A1
20100062149 Ma et al. Mar 2010 A1
20100072623 Prindle et al. Mar 2010 A1
20100075077 Bicker et al. Mar 2010 A1
20100130002 Dao et al. May 2010 A1
20100130003 Lin et al. May 2010 A1
20100144150 Sills et al. Jun 2010 A1
20100155846 Mukherjee et al. Jun 2010 A1
20100159694 Chandrashekar et al. Jun 2010 A1
20100244141 Beyer et al. Sep 2010 A1
20100267230 Chandrashekar et al. Oct 2010 A1
20100267235 Chen et al. Oct 2010 A1
20100273327 Chan et al. Oct 2010 A1
20100308463 Yu et al. Dec 2010 A1
20100311251 Okada et al. Dec 2010 A1
20100330800 Ivanov et al. Dec 2010 A1
20110059608 Gao et al. Mar 2011 A1
20110114994 Mandlik et al. May 2011 A1
20110151670 Lee et al. Jun 2011 A1
20110156154 Hoentschel et al. Jun 2011 A1
20110159690 Chandrashekar et al. Jun 2011 A1
20110193230 Nogami et al. Aug 2011 A1
20110221044 Danek et al. Sep 2011 A1
20110223763 Chan et al. Sep 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110236594 Haverkamp et al. Sep 2011 A1
20120009785 Chandrashekar et al. Jan 2012 A1
20120015518 Chandrashekar et al. Jan 2012 A1
20120040530 Humayun et al. Feb 2012 A1
20120077342 Gao et al. Mar 2012 A1
20120077349 Li et al. Mar 2012 A1
20120115329 Chandrashekar et al. May 2012 A1
20120122302 Weidman et al. May 2012 A1
20120199887 Chan et al. Aug 2012 A1
20120202301 Yaegashi Aug 2012 A1
20120225192 Yudovsky et al. Sep 2012 A1
20120231626 Lee et al. Sep 2012 A1
20120241089 Dielmann et al. Sep 2012 A1
20120244699 Khandelwal et al. Sep 2012 A1
20120294874 Macary et al. Nov 2012 A1
20120329268 Soda et al. Dec 2012 A1
20120329287 Gates et al. Dec 2012 A1
20130043554 Piper Feb 2013 A1
20130062677 Li et al. Mar 2013 A1
20130109172 Collins et al. May 2013 A1
20130122686 Chang et al. May 2013 A1
20130129940 Xiao et al. May 2013 A1
20130157466 Fox et al. Jun 2013 A1
20130168864 Lee et al. Jul 2013 A1
20130171822 Chandrashekar Jul 2013 A1
20130260555 Zope et al. Oct 2013 A1
20130285195 Piper Oct 2013 A1
20130302980 Chandrashekar et al. Nov 2013 A1
20130330926 Chandrashekar et al. Dec 2013 A1
20140011358 Chen et al. Jan 2014 A1
20140027664 Lei et al. Jan 2014 A1
20140030889 Chen et al. Jan 2014 A1
20140054534 Pellizzer et al. Feb 2014 A1
20140061931 Kang Mar 2014 A1
20140073135 Guan et al. Mar 2014 A1
20140106083 Wu Apr 2014 A1
20140154883 Humayun et al. Jun 2014 A1
20140162451 Chen et al. Jun 2014 A1
20140264780 Yim et al. Sep 2014 A1
20140264925 Chen Sep 2014 A1
20140308812 Arghavani et al. Oct 2014 A1
20140349477 Chandrashekar et al. Nov 2014 A1
20150024592 Chandrashekar et al. Jan 2015 A1
20150037972 Danek et al. Feb 2015 A1
20150056803 Chandrashekar et al. Feb 2015 A1
20150118394 Varadarajan et al. Apr 2015 A1
20150179461 Bamnolker et al. Jun 2015 A1
20150303056 Varadarajan et al. Oct 2015 A1
20160020089 Thadani et al. Jan 2016 A1
20160056074 Na et al. Feb 2016 A1
20160056077 Lai et al. Feb 2016 A1
20160090649 Varadarajan Mar 2016 A1
20160093528 Chandrashekar et al. Mar 2016 A1
20160190008 Chandrashekar et al. Jun 2016 A1
20160254171 Shamma et al. Sep 2016 A1
20160276140 Varadarajan et al. Sep 2016 A1
20160284615 Kitajima et al. Sep 2016 A1
20160343612 Wang et al. Nov 2016 A1
20170365462 Varadarajan et al. Dec 2017 A1
Foreign Referenced Citations (41)
Number Date Country
868641 Apr 1971 CA
1714168 Dec 2005 CN
101536191 Sep 2009 CN
101899649 Dec 2010 CN
102171796 Aug 2011 CN
102224574 Oct 2011 CN
103125013 May 2013 CN
H2-187031 Jul 1990 JP
H4-142061 May 1992 JP
H5-226280 Sep 1993 JP
H07-094488 Apr 1995 JP
H7-147321 Jun 1995 JP
H07-226393 Aug 1995 JP
09-022896 Jan 1997 JP
2002-016066 Jan 2002 JP
2005-051096 Feb 2005 JP
2007-251164 Sep 2007 JP
2008-177577 Jul 2008 JP
2010-251760 Nov 2010 JP
2011-035366 Feb 2011 JP
10-2001-0030488 Apr 2001 KR
10-2006-0087844 Aug 2006 KR
10-2006-0134964 Dec 2006 KR
10-2007-0054100 May 2007 KR
10-2009-0121361 Nov 2009 KR
10-2010-0067065 Jun 2010 KR
10-1334640 Nov 2013 KR
476807 Feb 2002 TW
200405437 Apr 2004 TW
200803988 Jan 2008 TW
200839884 Oct 2008 TW
200903635 Jan 2009 TW
200908138 Feb 2009 TW
201123294 Jul 2011 TW
201214512 Apr 2012 TW
201214563 Apr 2012 TW
201240012 Oct 2012 TW
201405659 Feb 2014 TW
WO 2010020578 Feb 2010 WO
WO 2013148444 Oct 2013 WO
WO 2013148880 Oct 2013 WO
Non-Patent Literature Citations (116)
Entry
US Office Action dated Sep. 3, 2015 issued U.S. Appl. No. 14/465,610.
US Notice of Allowance dated Jan. 14, 2016 issued U.S. Appl. No. 14/465,610.
U.S. Appl. No. 14/738,685, filed Jun. 12, 2015, entitled “Method for Producing Ultra-Thin Tungsten Layers With Improved Step Coverage,”.
U.S. Appl. No. 14/723,270, filed May 27, 2015, entitled “Deposition of Low Fluorine Tungsten by Sequential CVD Process,”.
U.S. Appl. No. 13/758,928, filed Feb. 4, 2013, entitled “Methods for Forming All Tungsten Contacts and Lines.”
Becker, Jill (Apr. 7, 2003) “Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia,” Applied Physics Letters, 82(14):2239-2241, [Retrieved online Dec. 13, 2013 at http://dx.doi.org/10.1063/1.1565699].
Bell et al. (Jan. 1996) “Batch Reactor Kinetic Studies of Tungsten LPCVD from Silane and Tungsten Hexafluoride”, J. Electrochem. Soc., 143(1):296-302.
Collins et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten for Plugfill of High Aspect Ratio Contacts,” Presentation made at Semicon Korea, 9 pages.
Diawara, Y. et al. (1993) “Rapid thermal annealing for reducing stress in tungsten x-ray mask absorber,” http://dx.doi.org/10.1116/1.586673, Journal of Vacuum Science & Technology B 11:296-300 (per table of contents of journal).
Elam et al. (2001) “Nucleation and Growth During Tungsten Atomic Layer Deposition on SiO2 Surfaces,” Thin Solid Films, 13pp.
Fair, James A. (1983) Presentation by Inventor “Chemical Vapor Deposition of Refractory Metal Silicides,” GENUS Incorporated, 27 pp.
George et al. (1996) “Surface Chemistry for atomic Layer Growth”, J. Phys. Chem, 100(31):13121-13131.
Gonohe, Narishi (2002) “Tungsten Nitride Deposition by Thermal Chemical Vapor Deposition as Barrier Metal for Cu Interconnection,” [http://www.jim.co.jp/journal/e/pdf3/43/07/1585.pdf], Materials Transactions, 43(7):1585-1592.
Hoover, Cynthia (Jul. 2007) “Enabling Materials for Contact Metallization,” Praxair Electronic Materials R&D, pp. 1-16.
Klaus et al. (2000) “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction,” Thin Solid Films 360:145-153.
Klaus et al. (2000) “Atomically Controlled Growth of Tungsten and Tungsten Nitride Using Sequential Surface Reactions,” Applied Surface Science, pp. 162-163, 479-491.
Lai, Ken et al. (Jul. 17, 2000) “Tungsten chemical vapor deposition using tungsten hexacarbonyl: microstructure of as-deposited and annealed films,” [http://dx.doi.org/10.1016/S0040-6090(00)00943-3], Thin Solid Films, 370:114-121.
Lai, Ken K. and Lamb, H. Henry (1995) “Precursors for Organometallic Chemical Vapor Deposition of Tungsten Carbide Films,” Chemistry Material, 7(12):2284-2292.
Lee et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten and its Application for Plugfill of High Aspect Ratio Contacts,” Abstract, 1 page.
Li et al. (2002) “Deposition of WNxCy Thin Films by ALCVD™ Method for Diffusion Barriers in Metallization,” IITC Conference Report, 3 pp.
Manik. P, et al. (2012) “Fermi-level unpinning and low resistivity in contacts to n-type Ge with a thin ZnO interfacial layer,” App. Phys. Lett. 101:182105-5.
Saito et al. (2001) “A Novel Copper Interconnection Technology Using Self Aligned Metal Capping Method,” IEEE, 3pp.
Shioya, Yoshimi et al. (Dec. 1, 1985) “Analysis of stress in chemical vapor deposition tungsten silicide film,” [Retrieved online Dec. 18, 2013 at http://dx.doi.org/10.1063/1.335552], Journal of Applied Physics, 58(11):4194-4199.
U.S. Appl. No. 15/384,175, filed Dec. 19, 2016 entitled “Chamber Conditioning for Remote Plasma Process.”.
US Office Action dated Nov. 1, 2016 issued in U.S. Appl. No. 14/873,152.
US Office Action, dated Jan. 15, 2015, issued in U.S. Appl. No. 13/774,350.
US Office Action, dated Oct. 8, 2015, issued in U.S. Appl. No. 13/774,350.
US Office Action, dated Jun. 2, 2016, issued in U.S. Appl. No. 13/774,350.
US Office Action, dated Dec. 23, 2014, issued in U.S. Appl. No. 13/851,885.
US Notice of Allowance, dated Aug. 3, 2016, issued in U.S. Appl. No. 13/851,885.
US Notice of Allowance, dated Dec. 14, 2016, issued in U.S. Appl. No. 13/851,885.
US Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/502,817.
US Final Office Action, dated Jul. 17, 2015, issued in U.S. Appl. No. 14/502,817.
US Notice of Allowance, dated Sep. 25, 2015, issued in U.S. Appl. No. 14/502,817.
US Office Action, dated Sep. 2, 2016, issued in U.S. Appl. No. 14/965,806.
US Office Action dated Nov. 25, 2011 issued in U.S. Appl. No. 13/016,656.
US Notice of Allowance dated Jan. 9, 2012 issued in U.S. Appl. No. 13/016,656.
US Final Office Action, dated Jan. 18, 2017, issued in U.S. Appl. No. 13/774,350.
Korean Provisional Rejection dated Nov. 16, 2012, issued in Application No. KR 2011-0068603.
Korean Office Action dated Sep. 26, 2016, issued in Application No. KR 102013-0054726.
PCT International Search Report and Written Opinion, dated Jun. 28, 2013, issued in PCT/US2013/033174.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/033174.
Chinese First Office Action dated Feb. 26, 2016, issued in CN 201380022648.2.
Japanese Office Action dated Jan. 24, 2017, issued in JP 2015-514160.
Taiwan Office Action and Search Report dated Nov. 22, 2016 issued in Application No. TW 102110937.
PCT International Search Report and Written Opinion, dated Jul. 26, 2013, issued in PCT/US2013/034167.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/034167.
Chinese First Office Action dated Mar. 18, 2016 issued in Application No. CN 201380022693.8.
Japanese Notification of Reasons for Rejection dated Dec. 20, 2016 issued in Application No. JP 2015-503547.
Taiwan Office Action dated Oct. 25, 2016 issued in Application No. TW 102110947.
US Notice of Allowance dated Apr. 27, 2017 issued in U.S. Appl. No. 14/873,152.
US Notice of Allowance, dated Mar. 24, 2017, issued in U.S. Appl. No. 13/851,885.
US Final Office Action, dated Apr. 14, 2017, issued in U.S. Appl. No. 14/965,806.
Korean Final Office Action dated May 30, 2017, issued in Application No. KR 102013-0054726.
Chinese Second Office Action dated Jan. 23, 2017 issued in Application No. CN 201380022693.8.
U.S. Appl. No. 15/482,271, filing date Apr. 7, 2017, Chandrashekar et al.
U.S. Appl. No. 15/640,940, filing date Jul. 3, 2017, Yang et al.
US Office Action, dated Aug. 4, 2015, issued in U.S. Appl. No. 14/101,901.
US Final Office Action, dated Nov. 25, 2015, issued in U.S. Appl. No. 14/101,901.
US Notice of Allowance, dated Feb. 10, 2016, issued in U.S. Appl. No. 14/101,901.
Taiwan Notice of Allowance and Search Report dated Jul. 13, 2017 issued in Application No. TW 102117769.
Taiwan Examination Report dated Feb. 9, 2017 issued in Application No. TW 102146185.
U.S. Final Office Action dated May 14, 2015 issued in U.S. Appl. No. 13/907,699.
U.S. Advisory Action and Examiner Initiated Interview Summary dated Aug. 12, 2015 issued in U.S. Appl. No. 13/907,699.
U.S. Notice of Allowance dated Sep. 10, 2015 issued in U.S. Appl. No. 13/907,699.
U.S. Office Action dated Feb. 5, 2018 issued in U.S. Appl. No. 14/961,637.
U.S. Office Action dated Sep. 15, 2015 issued in U.S. Appl. No. 14/062,648.
U.S. Notice of Allowance dated Feb. 19, 2016 issued in U.S. Appl. No. 14/062,648.
U.S. Office Action dated Nov. 2, 2015 issued in U.S. Appl. No. 14/616,435.
U.S. Final Office Action dated Mar. 10, 2016 issued in U.S. Appl. No. 14/616,435.
U.S. Office Action dated Jul. 8, 2016 issued in U.S. Appl. No. 14/616,435.
U.S. Final Office Action dated Feb. 22, 2017 issued in U.S. Appl. No. 14/616,435.
U.S. Examiner's Answer to the Appeal brief [filed May 19, 2017] before the Patent Trial and Appeal Board dated Sep. 22, 2017 issued in U.S. Appl. No. 14/616,435.
U.S. Office Action dated Jul. 7, 2017 issued in U.S. Appl. No. 15/382,137.
U.S. Notice of Allowance dated Sep. 22, 2017 issued in U.S. Appl. No. 15/382,137.
US Office Action dated Sep. 29, 2015 issued in U.S. Appl. No. 14/105,026.
US Notice of Allowance dated Jan. 29, 2016 issued in U.S. Appl. No. 14/105,026.
US Office Action dated May 5, 2015 issued in U.S. Appl. No. 13/494,836.
US Final Office Action dated Nov. 16, 2015 issued in U.S. Appl. No. 13/494,836.
US Office Action dated Mar. 10, 2016 issued in U.S. Appl. No. 13/494,836.
US Final Office Action dated Jul. 11, 2016 issued in U.S. Appl. No. 13/494,836.
US Office Action dated Jan. 9, 2017 issued in U.S. Appl. No. 13/494,836.
US Examiner's Answer to the Appeal brief [filed Apr. 28, 2017] before the Patent Trial and Appeal Board dated Sep. 25, 2017 issued in U.S. Appl. No. 13/494,836.
Taiwan Office Action dated Nov. 6, 2017 issued in Application No. TW 103119100.
Singapore Notice of Allowance & Supplemental Exam Report dated Nov. 30, 2017 issued in Application No. SG 10201402381U.
Chinese First Office Action dated Sep. 5, 2016 issued in Application No. CN 201410576747.1.
Chinese First Office Action dated Jan. 3, 2018 issued in Application No. CN 201610084166.5.
Chinese First Office Action dated Jul. 3, 2017 issued in Application No. CN 201510566292.X.
Korean Office Action dated Feb. 20, 2017 issued in Application No. KR 102010-0123145.
Korean First Office Action dated Feb. 1, 2018 issued in Application No. KR 102017-0147917.
Taiwan Notice of Allowance and Search Report dated Jul. 8, 2015 issued in Application No. TW 099140866.
Taiwan Office Action dated Jan. 14, 2016 issued in Application No. TW 104126278.
Taiwan Examination Report dated Mar. 14, 2017 issued in Application No. TW 102146997.
Taiwan Examination Report dated Aug. 8, 2017 issued in Application No. TW 102146997.
Taiwan Office Action dated Dec. 22, 2015 issued in Application No. TW 102120742.
PCT International Search Report and Written Opinion (ISA/KR) dated Dec. 26, 2017 issued in PCT/US2017/051794.
Benilan et al., (Oct. 4, 2011) “Optimization of microwave Hydrogen plasma discharges to mimic Lyman α(121.6 nm) solar irradiations,” EPSC-DPS2011-1317, EPSC-DPJ Joint Meeting 2011, EPSC Abstracts, 6:2pp.
Blaszczyk-Lezak et al., (2005) “Silicon Carbonitride Films Produced by Remote Hydrogen Microwave Plasma CVD Using a (Dimethylamino)dimethylsilane Precursor,” Chemical Vapor Deposition, 11(1):44-52.
“Development of Current Atomic Theory,” Emission Spectrum of Hydrogen, [downloaded at http://chemed.chem.purdue.edu/genchem/topicreview/bp/ch6/bohr.html on Sep. 23, 2015], 7pp.
“Dummies' Guide to Hydrogen,” Molecular Hydrogen Foundation, MHF, [downloaded at http://www.molecularhydrogenfoundation.org/core-information/dummies -guide-to-hydrogen on Sep. 23, 2015], 2 pp.
Fozza et al., (Jan./Feb.1998) “Vacuum ultraviolet to visible emission of some pure gases and their mixtures used for plasma processing,” J Vac. Sci. Technol. A, American Vacuum Society, 16(1):72-77.
Grubbs et al. (May/Jun. 2006) “Attenuation of hydrogen radicals traveling under flowing gas conditions through tubes of different materials,” J. Vac. Sci. Technol. A, 24(3):486-496.
Hatanaka et al., (2000) “Experiments and analyses of SiC thin film deposition from organo-silicon by a remote plasma method,” Thin Solid Films, 368:287-291.
Huran, J., et al., (2004) “RBS study of amorphous silicon carbide films deposited by PECVD,” Czechoslovak Journal of Physics, 54(Suppl. C):C1006-C1010.
“Radical (chemistry)” From Wikipedia, the free encyclopedia, [downloaded at http://en.wikipedia.org/wiki/Radical_(chemistry) on Sep. 23, 2015), 11 pages.
Wróbel et al. (2001) “Oligomerization and Polymerization Steps in Remote Plasma Chemical Vapor Deposition of Silicon-Carbon and Silica Films from Organosilicon Sources,” Chem. Mater, 13(5):1884-1895.
Xu, Ying-Yu, et al., (1999) “Preparation of SiC Thin Film Using Organosilicon by Remote Plasma CVD Method,” Mat. Res. Soc. Symp. Proc., 544:185-189.
U.S. Appl. No. 15/283,159, filing date Sep. 30, 2016, Varadarajan et al.
U.S. Appl. No. 15/408,291, filing date Jan. 17, 2017, Yu et al.
U.S. Office Action dated Dec. 29, 2017 issued in U.S. Appl. No. 15/283,159.
U.S. Office Action dated Jul. 28, 2017 issued in U.S. Appl. No. 15/408,291.
U.S. Notice of Allowance dated Feb. 7, 2018 issued in U.S. Appl. No. 15/408,291.
CN Office Action issued in Application No. 201510644832.1, dated Dec. 20, 2017.
US Office Action dated Dec. 15, 2017 issued in U.S. Appl. No. 15/156,129.
US Office Action, dated Dec. 12, 2017, issued in U.S. Appl. No. 15/482,271.
Chinese first Office Action dated Dec. 12, 2017 issued in Application No. CN 201510518752.1.
Related Publications (1)
Number Date Country
20160093528 A1 Mar 2016 US
Provisional Applications (1)
Number Date Country
62058058 Sep 2014 US