HIGH ASPECT RATIO VIA FILL PROCESS EMPLOYING SELECTIVE METAL DEPOSITION AND STRUCTURES FORMED BY THE SAME

Abstract
A metal interconnect assembly includes a first metal interconnect structure, and a second metal interconnect structure embedded in a second dielectric material layer and containing a metal line portion having a top surface located within a first horizontal plane and having a bottom surface located within a second horizontal plane, and further containing a metal via portion adjoined to a bottom of the metal line portion and contacting a top surface of the first metal interconnect structure. The second metal interconnect structure contains a metallic liner including a first metallic material that includes an entire volume of the metal via portion and an outer part of the metal line portion, and a metallic fill material portion contains a second metallic material that includes an inner part of the metal line portion, does not contact and is spaced from the second dielectric material layer by the metallic liner.
Description
FIELD

The present disclosure relates generally to the field of semiconductor devices, and particularly to a high aspect ratio via fill process and structures formed by the same.


BACKGROUND

Three-dimensional vertical NAND strings having one bit per cell are disclosed in an article by T. Endoh et al., titled “Novel Ultra High-Density Memory With A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell”, IEDM Proc. (2001) 33-36.


SUMMARY

According to an aspect of the present disclosure, a metal interconnect assembly includes a first metal interconnect structure embedded in a first dielectric material layer, and a second metal interconnect structure embedded in a second dielectric material layer and containing a metal line portion having a top surface located within a first horizontal plane and having a bottom surface located within a second horizontal plane, and further containing a metal via portion adjoined to a bottom of the metal line portion and contacting a top surface of the first metal interconnect structure. The second metal interconnect structure contains a metallic liner including a first metallic material that includes an entire volume of the metal via portion and an outer part of the metal line portion, and a metallic fill material portion contains a second metallic material that includes an inner part of the metal line portion, does not contact the second dielectric material layer and is spaced from the second dielectric material layer by the metallic liner.


According to another aspect of the present disclosure, a method of forming a device structure comprises: forming semiconductor devices over a substrate; forming a first metal interconnect structure embedded in a first dielectric material layer over the semiconductor devices; forming a second dielectric material layer over the first metal interconnect structure and the first dielectric material layer; forming an integrated line-and-via cavity in the second dielectric material layer, wherein the integrated line-and-via cavity comprises a via cavity that overlies a physically exposed surface of the first metal interconnect structure and a line cavity adjoined to an upper portion of the via cavity; depositing a first metallic material by performing an area selective deposition process, wherein the first metallic material nucleates and grows from the physically exposed surface of the first metal interconnect structure prior to nucleation on and growth from the second dielectric material layer; depositing a second metallic material in an unfilled volume of the line-and-via cavity on a physically exposed surface of the metallic liner; and removing portions of the second metallic material and the first metallic material from above the horizontal plane including a top surface of the second dielectric material layer by performing a planarization process, wherein a second metal interconnect structure is formed, which comprises a metallic liner including a remaining portion of the first metallic material and a metallic fill material portion including a remaining portion of the second metallic material.


According to an aspect of the present disclosure, a method of forming a semiconductor structure includes forming a semiconductor device over a substrate, forming a combination of a connection-level dielectric layer and a connection-level metal interconnect structure over the semiconductor device, where the connection-level metal interconnect structure is electrically connected to a node of the semiconductor device and is embedded in the connection-level dielectric layer, forming a line-and-via-level dielectric layer over the connection-level dielectric layer, forming an integrated line-and-via cavity through the line-and-via-level dielectric layer over the connection-level metal interconnect structure, selectively growing a conductive via structure containing cobalt from a bottom of the via portion of the integrated line-and-via cavity without completely filling a line portion of the integrated line-and-via cavity, and forming a copper-based conductive line structure that contains copper at an atomic percentage that is greater than 90% in the line portion of the integrated line-and-via cavity on the conductive via structure.


According to another aspect of the present disclosure, semiconductor structure comprises a semiconductor device located over a substrate, a connection-level metal interconnect structure electrically connected to a node of the semiconductor device and embedded in a connection-level dielectric layer, and an interconnect line-and-via structure embedded in a line-and-via-level dielectric layer that overlies the connection-level dielectric layer. The interconnect line-and-via structure comprises a conductive via structure that overlies and is electrically connected to the connection-level metal interconnect structure and that consists essentially of cobalt, and a copper-based conductive line structure that comprises copper at an atomic percentage that is greater than 90%, overlies the conductive via structure.


According to another aspect of the present disclosure, a method of forming a semiconductor structure comprises forming a first dielectric material layer, forming a first metal interconnect structure comprising a first metallic material portion including a first metal embedded within the first dielectric material layer, forming a second dielectric material layer over the first dielectric material layer, forming an integrated line-and-via cavity through the second dielectric material layer, forming a metal-semiconductor alloy portion comprising a first metal-semiconductor alloy of the first metal and a semiconductor material, and forming a second metal interconnect structure containing an integrated line-and-via structure in the integrated line-and-via cavity, wherein the integrated line-and-via structure comprises a second metallic material portion comprising a second metal and includes a metallic line portion and a metallic via portion adjoined to and underlying the metallic line portion.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a schematic vertical cross-sectional view of a first exemplary structure after formation of at least one peripheral device, a semiconductor material layer, and a gate dielectric layer according to an embodiment of the present disclosure.



FIG. 2 is a schematic vertical cross-sectional view of the first exemplary structure after formation of an alternating stack of insulating layers and sacrificial material layers according to an embodiment of the present disclosure.



FIG. 3 is a schematic vertical cross-sectional view of the first exemplary structure after formation of stepped terraces and a retro-stepped dielectric material portion according to an embodiment of the present disclosure.



FIG. 4A is a schematic vertical cross-sectional view of the first exemplary structure after formation of memory openings and support openings according to an embodiment of the present disclosure.



FIG. 4B is a top-down view of the first exemplary structure of FIG. 4A. The vertical plane A-A′ is the plane of the cross-section for FIG. 4A.



FIGS. 5A-5H are sequential schematic vertical cross-sectional views of a memory opening within the first exemplary structure during formation of a memory stack structure, an optional dielectric core, and a drain region therein according to an embodiment of the present disclosure.



FIG. 6 is a schematic vertical cross-sectional view of the first exemplary structure after formation of memory stack structures and support pillar structures according to an embodiment of the present disclosure.



FIG. 7A is a schematic vertical cross-sectional view of the first exemplary structure after formation of backside trenches according to an embodiment of the present disclosure.



FIG. 7B is a partial see-through top-down view of the first exemplary structure of FIG. 7A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 7A.



FIG. 7C is a magnified view of a region of the first exemplary structure of FIG. 7A.



FIG. 8A is a schematic vertical cross-sectional view of the first exemplary structure after formation of backside recesses according to an embodiment of the present disclosure.



FIG. 8B is a magnified view of a region of the first exemplary structure of FIG. 8A.



FIG. 9 is a schematic vertical cross-sectional view of a region of the first exemplary structure after formation of tubular dielectric spacers and a backside blocking dielectric layer according to an embodiment of the present disclosure.



FIG. 10 is a schematic vertical cross-sectional view of a region of the first exemplary structure after deposition of at least one electrically conductive material according to an embodiment of the present disclosure.



FIG. 11A is a schematic vertical cross-sectional view of the first exemplary structure after removal of the at least one electrically conductive material from inside the backside trenches and from above a contact-level dielectric layer according to an embodiment of the present disclosure.



FIG. 11B is a partial see-through top-down view of the first exemplary structure of FIG. 11A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 11A.



FIG. 11C is a magnified view of a region of the first exemplary structure of FIG. 11A.



FIG. 12 is a schematic vertical cross-sectional view of the first exemplary structure after formation of an insulating spacer and a backside contact structure according to an embodiment of the present disclosure.



FIG. 13A is a schematic vertical cross-sectional view of the first exemplary structure after formation of additional contact via structures according to an embodiment of the present disclosure.



FIG. 13B is a top-down view of the first exemplary structure of FIG. 13A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 13A.



FIG. 13C is a magnified view of a region of the first exemplary structure of FIG. 13A.



FIG. 14 is schematic vertical cross-sectional view of the first exemplary structure after formation of conductive cap plates according to an embodiment of the present disclosure.



FIG. 15A is schematic vertical cross-sectional view of the first exemplary structure after formation of a line-and-via-level dielectric layer and line cavities according to an embodiment of the present disclosure.



FIG. 15B is a top-down view of the first exemplary structure of FIG. 15A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 15A.



FIG. 16A is schematic vertical cross-sectional view of the first exemplary structure after formation of via cavities according to an embodiment of the present disclosure.



FIG. 16B is a top-down view of the first exemplary structure of FIG. 16A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 16A.



FIG. 17A is schematic vertical cross-sectional view of the first exemplary structure after formation of conductive via structures according to an embodiment of the present disclosure.



FIG. 17B is a top-down view of the first exemplary structure of FIG. 17A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 17A.



FIG. 18A is schematic vertical cross-sectional view of the first exemplary structure after formation of copper-based metal line structures according to an embodiment of the present disclosure.



FIG. 18B is a top-down view of the first exemplary structure of FIG. 18A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 18A.



FIG. 19A is schematic vertical cross-sectional view of a second exemplary structure after formation of a line-and-via-level dielectric layer and line cavities according to an embodiment of the present disclosure.



FIG. 19B is a top-down view of the second exemplary structure of FIG. 19A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 19A.



FIG. 20A is schematic vertical cross-sectional view of the second exemplary structure after formation of via cavities according to an embodiment of the present disclosure.



FIG. 20B is a top-down view of the second exemplary structure of FIG. 20A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 20A.



FIG. 21A is schematic vertical cross-sectional view of the second exemplary structure after formation of conductive cap plates according to an embodiment of the present disclosure.



FIG. 21B is a top-down view of the second exemplary structure of FIG. 21A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 20A.



FIG. 22A is schematic vertical cross-sectional view of the second exemplary structure after formation of conductive via structures according to an embodiment of the present disclosure.



FIG. 22B is a top-down view of the second exemplary structure of FIG. 22A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 22A.



FIG. 23A is schematic vertical cross-sectional view of the second exemplary structure after formation of copper-based metal line structures according to an embodiment of the present disclosure.



FIG. 23B is a top-down view of the second exemplary structure of FIG. 23A. The vertical plane A-A′ is the plane of the schematic vertical cross-sectional view of FIG. 23A.



FIG. 24 is schematic vertical cross-sectional view of a third exemplary structure after formation of copper-based metal line structures according to an embodiment of the present disclosure.



FIGS. 25A-25F are sequential vertical cross-sectional views of a region of a fourth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.



FIG. 25G is a top-down view of the region of the fourth exemplary structure of FIG. 25F.



FIGS. 26A and 26B are sequential vertical cross-sectional views of a region of a fifth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.



FIGS. 27A-27E are sequential vertical cross-sectional views of a region of a sixth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.



FIGS. 28A-28D are sequential vertical cross-sectional views of a region of a seventh exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.



FIGS. 29A-29D are sequential vertical cross-sectional views of a region of an eighth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.



FIG. 30A is schematic vertical cross-sectional view of the ninth exemplary structure after formation of via cavities according to another embodiment of the present disclosure. FIG. 30B is a close up of an upper portion “B” of the memory array region 100 of FIG. 30A.



FIGS. 31A-31E are sequential vertical cross-sectional views of a region of the ninth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.



FIGS. 32A-32B are sequential vertical cross-sectional views of a region of an alternative configuration of the ninth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.



FIGS. 33A and 33B are sequential vertical cross-sectional views of a tenth exemplary structure during a first subset of processing steps for formation of a metal interconnect assembly according to an embodiment of the present disclosure.



FIG. 34A is schematic diagram illustrating a process of surface passivation of a silicon oxide surface by dimethylamino-trimethylsilane according to an embodiment of the present disclosure.



FIG. 34B is a schematic diagram illustrating a change in the wafer contact angle over time for a silicon oxide surface and a copper/copper oxide surface upon passivation with dimethylamino-trimethylsilane according to an embodiment of the present disclosure.



FIG. 34C is a graph illustrating the dependence of deposited ruthenium thickness as a function of time over a passivated copper surface, a passivated organosilicate glass surface, and a passivated silicon oxide surface, respectively.



FIGS. 35A-35D are sequential vertical cross-sectional views of the tenth exemplary structure during a second subset of the processing steps for formation of the metal interconnect assembly according to an embodiment of the present disclosure.



FIGS. 36A and 36B are sequential vertical cross-sectional views of a first alternative configuration of the tenth exemplary structure during formation of a metal interconnect assembly according to an embodiment of the present disclosure.



FIGS. 37A and 37B are sequential vertical cross-sectional views of a second alternative configuration of the tenth exemplary structure during formation of a metal interconnect assembly according to an embodiment of the present disclosure.





DETAILED DESCRIPTION

As discussed above, the embodiments of the present disclosure are directed to high aspect ratio via fill process, such as a process for forming bit lines of semiconductor devices, the various aspects of which are described below. The embodiments of the disclosure can be employed to form various semiconductor devices, non-limiting examples of which include three-dimensional memory devices comprising a plurality of NAND memory strings.


The drawings are not drawn to scale. Multiple instances of an element may be duplicated where a single instance of the element is illustrated, unless absence of duplication of elements is expressly described or clearly indicated otherwise. Ordinals such as “first,” “second,” and “third” are employed merely to identify similar elements, and different ordinals may be employed across the specification and the claims of the instant disclosure. The term “at least one” element refers to all possibilities including the possibility of a single element and the possibility of multiple elements.


The same reference numerals refer to the same element or similar element. Unless otherwise indicated, elements having the same reference numerals are presumed to have the same composition and the same function. Unless otherwise indicated, a “contact” between elements refers to a direct contact between elements that provides an edge or a surface shared by the elements. If two or more elements are not in direct contact with each other or among one another, the two elements are “disjoined from” each other or “disjoined among” one another. As used herein, a first element located “on” a second element can be located on the exterior side of a surface of the second element or on the interior side of the second element. As used herein, a first element is located “directly on” a second element if there exist a physical contact between a surface of the first element and a surface of the second element. As used herein, a first element is “electrically connected to” a second element if there exists a conductive path consisting of at least one conductive material between the first element and the second element. As used herein, a “prototype” structure or an “in-process” structure refers to a transient structure that is subsequently modified in the shape or composition of at least one component therein.


As used herein, a “layer” refers to a material portion including a region having a thickness. A layer may extend over the entirety of an underlying or overlying structure, or may have an extent less than the extent of an underlying or overlying structure. Further, a layer may be a region of a homogeneous or inhomogeneous continuous structure that has a thickness less than the thickness of the continuous structure. For example, a layer may be located between any pair of horizontal planes between, or at, a top surface and a bottom surface of the continuous structure. A layer may extend horizontally, vertically, and/or along a tapered surface. A substrate may be a layer, may include one or more layers therein, or may have one or more layer thereupon, thereabove, and/or therebelow.


Generally, a semiconductor die, or a semiconductor package, can include a memory chip. Each semiconductor package contains one or more dies (for example one, two, or four). The die is the smallest unit that can independently execute commands or report status. Each die contains one or more planes (typically one or two). Identical, concurrent operations can take place on each plane, although with some restrictions. Each plane contains a number of blocks, which are the smallest unit that can be erased by in a single erase operation. Each block contains a number of pages, which are the smallest unit that can be programmed, i.e., a smallest unit on which a read operation can be performed.


Referring to FIG. 1, a first exemplary structure according to an embodiment of the present disclosure is illustrated, which can be employed, for example, to fabricate a device structure containing vertical NAND memory devices. The first exemplary structure includes a substrate (9, 10), which can be a semiconductor substrate. The substrate can include a substrate semiconductor layer 9 and an optional semiconductor material layer 10. The substrate semiconductor layer 9 maybe a semiconductor wafer or a semiconductor material layer, and can include at least one elemental semiconductor material (e.g., single crystal silicon wafer or layer), at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. The substrate can have a major surface 7, which can be, for example, a topmost surface of the substrate semiconductor layer 9. The major surface 7 can be a semiconductor surface. In one embodiment, the major surface 7 can be a single crystalline semiconductor surface, such as a single crystalline semiconductor surface.


As used herein, a “semiconducting material” refers to a material having electrical conductivity in the range from 1.0×10−6 S/cm to 1.0×105 S/cm. As used herein, a “semiconductor material” refers to a material having electrical conductivity in the range from 1.0×10−6 S/cm to 1.0×105 S/cm in the absence of electrical dopants therein, and is capable of producing a doped material having electrical conductivity in a range from 1.0 S/cm to 1.0×105 S/cm upon suitable doping with an electrical dopant. As used herein, an “electrical dopant” refers to a p-type dopant that adds a hole to a valence band within a band structure, or an n-type dopant that adds an electron to a conduction band within a band structure. As used herein, a “conductive material” refers to a material having electrical conductivity greater than 1.0×105 S/cm. As used herein, an “insulator material” or a “dielectric material” refers to a material having electrical conductivity less than 1.0×10−6 S/cm. As used herein, a “heavily doped semiconductor material” refers to a semiconductor material that is doped with electrical dopant at a sufficiently high atomic concentration to become a conductive material either as formed as a crystalline material or if converted into a crystalline material through an anneal process (for example, from an initial amorphous state), i.e., to have electrical conductivity greater than 1.0×105 S/cm. A “doped semiconductor material” may be a heavily doped semiconductor material, or may be a semiconductor material that includes electrical dopants (i.e., p-type dopants and/or n-type dopants) at a concentration that provides electrical conductivity in the range from 1.0×10−6 S/cm to 1.0×105 S/cm. An “intrinsic semiconductor material” refers to a semiconductor material that is not doped with electrical dopants. Thus, a semiconductor material may be semiconducting or conductive, and may be an intrinsic semiconductor material or a doped semiconductor material. A doped semiconductor material can be semiconducting or conductive depending on the atomic concentration of electrical dopants therein. As used herein, a “metallic material” refers to a conductive material including at least one metallic element therein. All measurements for electrical conductivities are made at the standard condition.


At least one semiconductor device 700 for a peripheral circuitry can be formed on a portion of the substrate semiconductor layer 9. The at least one semiconductor device can include, for example, field effect transistors. For example, at least one shallow trench isolation structure 720 can be formed by etching portions of the substrate semiconductor layer 9 and depositing a dielectric material therein. A gate dielectric layer, at least one gate conductor layer, and a gate cap dielectric layer can be formed over the substrate semiconductor layer 9, and can be subsequently patterned to form at least one gate structure (750, 752, 754, 758), each of which can include a gate dielectric 750, a gate electrode (752, 754), and a gate cap dielectric 758. The gate electrode (752, 754) may include a stack of a first gate electrode portion 752 and a second gate electrode portion 754. At least one gate spacer 756 can be formed around the at least one gate structure (750, 752, 754, 758) by depositing and anisotropically etching a dielectric liner. Active regions 730 can be formed in upper portions of the substrate semiconductor layer 9, for example, by introducing electrical dopants employing the at least one gate structure (750, 752, 754, 758) as masking structures. Additional masks may be employed as needed. The active region 730 can include source regions and drain regions of field effect transistors. A first dielectric liner 761 and a second dielectric liner 762 can be optionally formed. Each of the first and second dielectric liners (761, 762) can comprise a silicon oxide layer, a silicon nitride layer, and/or a dielectric metal oxide layer. As used herein, silicon oxide includes silicon dioxide as well as non-stoichiometric silicon oxides having more or less than two oxygen atoms for each silicon atoms. Silicon dioxide is preferred. In an illustrative example, the first dielectric liner 761 can be a silicon oxide layer, and the second dielectric liner 762 can be a silicon nitride layer. The least one semiconductor device for the peripheral circuitry can contain a driver circuit for memory devices to be subsequently formed, which can include at least one NAND device.


A dielectric material such as silicon oxide can be deposited over the at least one semiconductor device, and can be subsequently planarized to form a planarization dielectric layer 770. In one embodiment the planarized top surface of the planarization dielectric layer 770 can be coplanar with a top surface of the dielectric liners (761, 762). Subsequently, the planarization dielectric layer 770 and the dielectric liners (761, 762) can be removed from an area to physically expose a top surface of the substrate semiconductor layer 9. As used herein, a surface is “physically exposed” if the surface is in physical contact with vacuum, or a gas phase material (such as air).


The optional semiconductor material layer 10, if present, can be formed on the top surface of the substrate semiconductor layer 9 prior to, or after, formation of the at least one semiconductor device 700 by deposition of a single crystalline semiconductor material, for example, by selective epitaxy. The deposited semiconductor material can be the same as, or can be different from, the semiconductor material of the substrate semiconductor layer 9. The deposited semiconductor material can be any material that can be employed for the substrate semiconductor layer 9 as described above. The single crystalline semiconductor material of the semiconductor material layer 10 can be in epitaxial alignment with the single crystalline structure of the substrate semiconductor layer 9. Portions of the deposited semiconductor material located above the top surface of the planarization dielectric layer 170 can be removed, for example, by chemical mechanical planarization (CMP). In this case, the semiconductor material layer 10 can have a top surface that is coplanar with the top surface of the planarization dielectric layer 770.


The region (i.e., area) of the at least one semiconductor device 700 is herein referred to as a peripheral device region 200. The region in which a memory array is subsequently formed is herein referred to as a memory array region 100. A contact region 300 for subsequently forming stepped terraces of electrically conductive layers can be provided between the memory array region 100 and the peripheral device region 200.


In one alternative embodiment, the peripheral device region 200 containing the at least one semiconductor device 700 for a peripheral circuitry may be located under the memory array region 100 in a CMOS under array configuration. In another alternative embodiment, the peripheral device region 200 may be located on a separate substrate which is subsequently bonded to the memory array region 100.


Referring to FIG. 2, a stack of an alternating plurality of first material layers (which can be insulating layers 32) and second material layers (which can be sacrificial material layer 42) is formed over the top surface of the substrate (9, 10). As used herein, a “material layer” refers to a layer including a material throughout the entirety thereof. As used herein, an alternating plurality of first elements and second elements refers to a structure in which instances of the first elements and instances of the second elements alternate. Each instance of the first elements that is not an end element of the alternating plurality is adjoined by two instances of the second elements on both sides, and each instance of the second elements that is not an end element of the alternating plurality is adjoined by two instances of the first elements on both ends. The first elements may have the same thickness thereamongst, or may have different thicknesses. The second elements may have the same thickness thereamongst, or may have different thicknesses. The alternating plurality of first material layers and second material layers may begin with an instance of the first material layers or with an instance of the second material layers, and may end with an instance of the first material layers or with an instance of the second material layers. In one embodiment, an instance of the first elements and an instance of the second elements may form a unit that is repeated with periodicity within the alternating plurality.


Each first material layer includes a first material, and each second material layer includes a second material that is different from the first material. In one embodiment, each first material layer can be an insulating layer 32, and each second material layer can be a sacrificial material layer. In this case, the stack can include an alternating plurality of insulating layers 32 and sacrificial material layers 42, and constitutes a prototype stack of alternating layers comprising insulating layers 32 and sacrificial material layers 42.


The stack of the alternating plurality is herein referred to as an alternating stack (32, 42). In one embodiment, the alternating stack (32, 42) can include insulating layers 32 composed of the first material, and sacrificial material layers 42 composed of a second material different from that of insulating layers 32. The first material of the insulating layers 32 can be at least one insulating material. As such, each insulating layer 32 can be an insulating material layer. Insulating materials that can be employed for the insulating layers 32 include, but are not limited to, silicon oxide (including doped or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric materials, dielectric metal oxides that are commonly known as high dielectric constant (high-k) dielectric oxides (e.g., aluminum oxide, hafnium oxide, etc.) and silicates thereof, dielectric metal oxynitrides and silicates thereof, and organic insulating materials. In one embodiment, the first material of the insulating layers 32 can be silicon oxide.


The second material of the sacrificial material layers 42 is a sacrificial material that can be removed selective to the first material of the insulating layers 32. As used herein, a removal of a first material is “selective to” a second material if the removal process removes the first material at a rate that is at least twice the rate of removal of the second material. The ratio of the rate of removal of the first material to the rate of removal of the second material is herein referred to as a “selectivity” of the removal process for the first material with respect to the second material.


The sacrificial material layers 42 may comprise an insulating material, a semiconductor material, or a conductive material. The second material of the sacrificial material layers 42 can be subsequently replaced with electrically conductive electrodes which can function, for example, as control gate electrodes of a vertical NAND device. Non-limiting examples of the second material include silicon nitride, an amorphous semiconductor material (such as amorphous silicon), and a polycrystalline semiconductor material (such as polysilicon). In one embodiment, the sacrificial material layers 42 can be spacer material layers that comprise silicon nitride or a semiconductor material including at least one of silicon and germanium.


In one embodiment, the insulating layers 32 can include silicon oxide, and sacrificial material layers can include silicon nitride sacrificial material layers. The first material of the insulating layers 32 can be deposited, for example, by chemical vapor deposition (CVD). For example, if silicon oxide is employed for the insulating layers 32, tetraethyl orthosilicate (TEOS) can be employed as the precursor material for the CVD process. The second material of the sacrificial material layers 42 can be formed, for example, CVD or atomic layer deposition (ALD).


The sacrificial material layers 42 can be suitably patterned so that conductive material portions to be subsequently formed by replacement of the sacrificial material layers 42 can function as electrically conductive electrodes, such as the control gate electrodes of the three-dimensional NAND string memory devices to be subsequently formed. The sacrificial material layers 42 may comprise a portion having a strip shape extending substantially parallel to the major surface 7 of the substrate.


The thicknesses of the insulating layers 32 and the sacrificial material layers 42 can be in a range from 20 nm to 50 nm, although lesser and greater thicknesses can be employed for each insulating layer 32 and for each sacrificial material layer 42. The number of repetitions of the pairs of an insulating layer 32 and a sacrificial material layer (e.g., a control gate electrode or a sacrificial material layer) 42 can be in a range from 2 to 1,024, and typically from 8 to 256, although a greater number of repetitions can also be employed. The top and bottom gate electrodes in the stack may function as the select gate electrodes. In one embodiment, each sacrificial material layer 42 in the alternating stack (32, 42) can have a uniform thickness that is substantially invariant within each respective sacrificial material layer 42.


While the present disclosure is described employing an embodiment in which the spacer material layers are sacrificial material layers 42 that are subsequently replaced with electrically conductive layers, embodiments are expressly contemplated herein in which the sacrificial material layers are formed as electrically conductive layers. In this case, steps for replacing the spacer material layers with electrically conductive layers can be omitted.


Optionally, an insulating cap layer 70 can be formed over the alternating stack (32, 42). The insulating cap layer 70 includes a dielectric material that is different from the material of the sacrificial material layers 42. In one embodiment, the insulating cap layer 70 can include a dielectric material that can be employed for the insulating layers 32 as described above. The insulating cap layer 70 can have a greater thickness than each of the insulating layers 32. The insulating cap layer 70 can be deposited, for example, by chemical vapor deposition. In one embodiment, the insulating cap layer 70 can be a silicon oxide layer.


Referring to FIG. 3, stepped surfaces are formed at a peripheral region of the alternating stack (32, 42), which is herein referred to as a terrace region. As used herein, “stepped surfaces” refer to a set of surfaces that include at least two horizontal surfaces and at least two vertical surfaces such that each horizontal surface is adjoined to a first vertical surface that extends upward from a first edge of the horizontal surface, and is adjoined to a second vertical surface that extends downward from a second edge of the horizontal surface. A stepped cavity is formed within the volume from which portions of the alternating stack (32, 42) are removed through formation of the stepped surfaces. A “stepped cavity” refers to a cavity having stepped surfaces.


The terrace region is formed in the contact region 300, which is located between the memory array region 100 and the peripheral device region 200 containing the at least one semiconductor device for the peripheral circuitry. The stepped cavity can have various stepped surfaces such that the horizontal cross-sectional shape of the stepped cavity changes in steps as a function of the vertical distance from the top surface of the substrate (9, 10). In one embodiment, the stepped cavity can be formed by repetitively performing a set of processing steps. The set of processing steps can include, for example, an etch process of a first type that vertically increases the depth of a cavity by one or more levels, and an etch process of a second type that laterally expands the area to be vertically etched in a subsequent etch process of the first type. As used herein, a “level” of a structure including alternating plurality is defined as the relative position of a pair of a first material layer and a second material layer within the structure.


Each sacrificial material layer 42 other than a topmost sacrificial material layer 42 within the alternating stack (32, 42) laterally extends farther than any overlying sacrificial material layer 42 within the alternating stack (32, 42) in the terrace region. The terrace region includes stepped surfaces of the alternating stack (32, 42) that continuously extend from a bottommost layer within the alternating stack (32, 42) to a topmost layer within the alternating stack (32, 42).


Each vertical step of the stepped surfaces can have the height of one or more pairs of an insulating layer 32 and a sacrificial material layer. In one embodiment, each vertical step can have the height of a single pair of an insulating layer 32 and a sacrificial material layer 42. In another embodiment, multiple “columns” of staircases can be formed along a first horizontal direction hd1 such that each vertical step has the height of a plurality of pairs of an insulating layer 32 and a sacrificial material layer 42, and the number of columns can be at least the number of the plurality of pairs. Each column of staircase can be vertically offset from each other such that each of the sacrificial material layers 42 has a physically exposed top surface in a respective column of staircases. In the illustrative example, two columns of staircases are formed for each block of memory stack structures to be subsequently formed such that one column of staircases provide physically exposed top surfaces for odd-numbered sacrificial material layers 42 (as counted from the bottom) and another column of staircases provide physically exposed top surfaces for even-numbered sacrificial material layers (as counted from the bottom). Configurations employing three, four, or more columns of staircases with a respective set of vertical offsets among the physically exposed surfaces of the sacrificial material layers 42 may also be employed. Each sacrificial material layer 42 has a greater lateral extent, at least along one direction, than any overlying sacrificial material layers 42 such that each physically exposed surface of any sacrificial material layer 42 does not have an overhang. In one embodiment, the vertical steps within each column of staircases may be arranged along the first horizontal direction hd1, and the columns of staircases may be arranged along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hd1. In one embodiment, the first horizontal direction hd1 may be perpendicular to the boundary between the memory array region 100 and the contact region 300.


A retro-stepped dielectric material portion 65 (i.e., an insulating fill material portion) can be formed in the stepped cavity by deposition of a dielectric material therein. For example, a dielectric material such as silicon oxide can be deposited in the stepped cavity. Excess portions of the deposited dielectric material can be removed from above the top surface of the insulating cap layer 70, for example, by chemical mechanical planarization (CMP). The remaining portion of the deposited dielectric material filling the stepped cavity constitutes the retro-stepped dielectric material portion 65. As used herein, a “retro-stepped” element refers to an element that has stepped surfaces and a horizontal cross-sectional area that increases monotonically as a function of a vertical distance from a top surface of a substrate on which the element is present. If silicon oxide is employed for the retro-stepped dielectric material portion 65, the silicon oxide of the retro-stepped dielectric material portion 65 may, or may not, be doped with dopants such as B, P, and/or F.


Optionally, drain-select-level isolation structures 72 can be formed through the insulating cap layer 70 and a subset of the sacrificial material layers 42 located at drain select levels. The drain-select-level isolation structures 72 can be formed, for example, by forming drain-select-level isolation trenches and filling the drain-select-level isolation trenches with a dielectric material such as silicon oxide. Excess portions of the dielectric material can be removed from above the top surface of the insulating cap layer 70.


Referring to FIGS. 4A and 4B, a lithographic material stack (not shown) including at least a photoresist layer can be formed over the insulating cap layer 70 and the retro-stepped dielectric material portion 65, and can be lithographically patterned to form openings therein. The openings include a first set of openings formed over the memory array region 100 and a second set of openings formed over the contact region 300. The pattern in the lithographic material stack can be transferred through the insulating cap layer 70 or the retro-stepped dielectric material portion 65, and through the alternating stack (32, 42) by at least one anisotropic etch that employs the patterned lithographic material stack as an etch mask. Portions of the alternating stack (32, 42) underlying the openings in the patterned lithographic material stack are etched to form memory openings 49 and support openings 19. As used herein, a “memory opening” refers to a structure in which memory elements, such as a memory stack structure, is subsequently formed. As used herein, a “support opening” refers to a structure in which a support structure (such as a support pillar structure) that mechanically supports other elements is subsequently formed. The memory openings 49 are formed through the insulating cap layer 70 and the entirety of the alternating stack (32, 42) in the memory array region 100. The support openings 19 are formed through the retro-stepped dielectric material portion 65 and the portion of the alternating stack (32, 42) that underlie the stepped surfaces in the contact region 300.


The memory openings 49 extend through the entirety of the alternating stack (32, 42). The support openings 19 extend through a subset of layers within the alternating stack (32, 42). The chemistry of the anisotropic etch process employed to etch through the materials of the alternating stack (32, 42) can alternate to optimize etching of the first and second materials in the alternating stack (32, 42). The anisotropic etch can be, for example, a series of reactive ion etches. The sidewalls of the memory openings 49 and the support openings 19 can be substantially vertical, or can be tapered. The patterned lithographic material stack can be subsequently removed, for example, by ashing.


The memory openings 49 and the support openings 19 can extend from the top surface of the alternating stack (32, 42) to at least the horizontal plane including the topmost surface of the semiconductor material layer 10. In one embodiment, an overetch into the semiconductor material layer 10 may be optionally performed after the top surface of the semiconductor material layer 10 is physically exposed at a bottom of each memory opening 49 and each support opening 19. The overetch may be performed prior to, or after, removal of the lithographic material stack. In other words, the recessed surfaces of the semiconductor material layer 10 may be vertically offset from the un-recessed top surfaces of the semiconductor material layer 10 by a recess depth. The recess depth can be, for example, in a range from 1 nm to 50 nm, although lesser and greater recess depths can also be employed. The overetch is optional, and may be omitted. If the overetch is not performed, the bottom surfaces of the memory openings 49 and the support openings 19 can be coplanar with the topmost surface of the semiconductor material layer 10.


Each of the memory openings 49 and the support openings 19 may include a sidewall (or a plurality of sidewalls) that extends substantially perpendicular to the topmost surface of the substrate. A two-dimensional array of memory openings 49 can be formed in the memory array region 100. A two-dimensional array of support openings 19 can be formed in the contact region 300. The substrate semiconductor layer 9 and the semiconductor material layer 10 collectively constitutes a substrate (9, 10), which can be a semiconductor substrate. Alternatively, the semiconductor material layer 10 may be omitted, and the memory openings 49 and the support openings 19 can be extend to a top surface of the substrate semiconductor layer 9.



FIGS. 5A-5H illustrate structural changes in a memory opening 49, which is one of the memory openings 49 in the first exemplary structure of FIGS. 4A and 4B. The same structural change occurs simultaneously in each of the other memory openings 49 and in each support opening 19.


Referring to FIG. 5A, a memory opening 49 in the exemplary device structure of FIGS. 4A and 4B is illustrated. The memory opening 49 extends through the insulating cap layer 70, the alternating stack (32, 42), and optionally into an upper portion of the semiconductor material layer 10. At this processing step, each support opening 19 can extend through the retro-stepped dielectric material portion 65, a subset of layers in the alternating stack (32, 42), and optionally through the upper portion of the semiconductor material layer 10. The recess depth of the bottom surface of each memory opening with respect to the top surface of the semiconductor material layer 10 can be in a range from 0 nm to 30 nm, although greater recess depths can also be employed. Optionally, the sacrificial material layers 42 can be laterally recessed partially to form lateral recesses (not shown), for example, by an isotropic etch.


Referring to FIG. 5B, an optional pedestal channel portion (e.g., an epitaxial pedestal) 11 can be formed at the bottom portion of each memory opening 49 and each support openings 19, for example, by selective epitaxy. Each pedestal channel portion 11 comprises a single crystalline semiconductor material in epitaxial alignment with the single crystalline semiconductor material of the semiconductor material layer 10. In one embodiment, the pedestal channel portion 11 can be doped with electrical dopants of the same conductivity type as the semiconductor material layer 10. In one embodiment, the top surface of each pedestal channel portion 11 can be formed above a horizontal plane including the top surface of a sacrificial material layer 42. In this case, at least one source select gate electrode can be subsequently formed by replacing each sacrificial material layer 42 located below the horizontal plane including the top surfaces of the pedestal channel portions 11 with a respective conductive material layer. The pedestal channel portion 11 can be a portion of a transistor channel that extends between a source region to be subsequently formed in the substrate (9, 10) and a drain region to be subsequently formed in an upper portion of the memory opening 49. A memory cavity 49′ is present in the unfilled portion of the memory opening 49 above the pedestal channel portion 11. In one embodiment, the pedestal channel portion 11 can comprise single crystalline silicon. In one embodiment, the pedestal channel portion 11 can have a doping of the first conductivity type, which is the same as the conductivity type of the semiconductor material layer 10 that the pedestal channel portion contacts. If a semiconductor material layer 10 is not present, the pedestal channel portion 11 can be formed directly on the substrate semiconductor layer 9, which can have a doping of the first conductivity type.


Referring to FIG. 5C, a stack of layers including a blocking dielectric layer 52, a memory material layer 54, a dielectric material liner 56, and an optional sacrificial cover material layer 601 can be sequentially deposited in the memory openings 49.


The blocking dielectric layer 52 can include a single dielectric material layer or a stack of a plurality of dielectric material layers. In one embodiment, the blocking dielectric layer can include a dielectric metal oxide layer consisting essentially of a dielectric metal oxide. As used herein, a dielectric metal oxide refers to a dielectric material that includes at least one metallic element and at least oxygen. The dielectric metal oxide may consist essentially of the at least one metallic element and oxygen, or may consist essentially of the at least one metallic element, oxygen, and at least one non-metallic element such as nitrogen. In one embodiment, the blocking dielectric layer 52 can include a dielectric metal oxide having a dielectric constant greater than 7.9, i.e., having a dielectric constant greater than the dielectric constant of silicon nitride.


Non-limiting examples of dielectric metal oxides include aluminum oxide (Al2O3), hafnium oxide (HfO2), lanthanum oxide (LaO2), yttrium oxide (Y2O3), tantalum oxide (Ta2O5), silicates thereof, nitrogen-doped compounds thereof, alloys thereof, and stacks thereof. The dielectric metal oxide layer can be deposited, for example, by chemical vapor deposition (CVD), atomic layer deposition (ALD), pulsed laser deposition (PLD), liquid source misted chemical deposition, or a combination thereof. The thickness of the dielectric metal oxide layer can be in a range from 1 nm to 20 nm, although lesser and greater thicknesses can also be employed. The dielectric metal oxide layer can subsequently function as a dielectric material portion that blocks leakage of stored electrical charges to control gate electrodes. In one embodiment, the blocking dielectric layer 52 includes aluminum oxide. In one embodiment, the blocking dielectric layer 52 can include multiple dielectric metal oxide layers having different material compositions.


Alternatively or additionally, the blocking dielectric layer 52 can include a dielectric semiconductor compound such as silicon oxide, silicon oxynitride, silicon nitride, or a combination thereof. In one embodiment, the blocking dielectric layer 52 can include silicon oxide. In this case, the dielectric semiconductor compound of the blocking dielectric layer 52 can be formed by a conformal deposition method such as low pressure chemical vapor deposition, atomic layer deposition, or a combination thereof. The thickness of the dielectric semiconductor compound can be in a range from 1 nm to 20 nm, although lesser and greater thicknesses can also be employed. Alternatively, the blocking dielectric layer 52 can be omitted, and a backside blocking dielectric layer can be formed after formation of backside recesses on surfaces of memory films to be subsequently formed.


Subsequently, the memory material layer 54 can be formed. In one embodiment, the memory material layer 54 can be a continuous layer or patterned discrete portions of a charge trapping material including a dielectric charge trapping material, which can be, for example, silicon nitride. Alternatively, the memory material layer 54 can include a continuous layer or patterned discrete portions of a conductive material such as doped polysilicon or a metallic material that is patterned into multiple electrically isolated portions (e.g., floating gates), for example, by being formed within lateral recesses into sacrificial material layers 42. In one embodiment, the memory material layer 54 includes a silicon nitride layer. In one embodiment, the sacrificial material layers 42 and the insulating layers 32 can have vertically coincident sidewalls, and the memory material layer 54 can be formed as a single continuous layer.


In another embodiment, the sacrificial material layers 42 can be laterally recessed with respect to the sidewalls of the insulating layers 32, and a combination of a deposition process and an anisotropic etch process can be employed to form the memory material layer 54 as a plurality of memory material portions that are vertically spaced apart. While the present disclosure is described employing an embodiment in which the memory material layer 54 is a single continuous layer, embodiments are expressly contemplated herein in which the memory material layer 54 is replaced with a plurality of memory material portions (which can be charge trapping material portions or electrically isolated conductive material portions) that are vertically spaced apart.


In one embodiment, each vertical stack of memory elements comprises a vertical stack of charge storage material portions that retain electrical charges therein upon programming, or a vertical stack of ferroelectric memory elements that retains electrical polarization therein upon programming. In case the vertical stack of ferroelectric memory elements is used, the memory material layer 54 may comprise a continuous ferroelectric material layer or a plurality of discrete, vertically separated ferroelectric material portions. The ferroelectric material may comprise orthorhombic phase hafnium oxide doped with silicon, aluminum or zirconium for example.


The memory material layer 54 can be formed as a single memory material layer of homogeneous composition, or can include a stack of multiple memory material layers. The multiple memory material layers, if employed, can comprise a plurality of spaced-apart floating gate material layers that contain conductive materials (e.g., metal such as tungsten, molybdenum, tantalum, titanium, platinum, ruthenium, and alloys thereof, or a metal silicide such as tungsten silicide, molybdenum silicide, tantalum silicide, titanium silicide, nickel silicide, cobalt silicide, or a combination thereof) and/or semiconductor materials (e.g., polycrystalline or amorphous semiconductor material including at least one elemental semiconductor element or at least one compound semiconductor material). Alternatively or additionally, the memory material layer 54 may comprise an insulating charge trapping material, such as one or more silicon nitride segments. Alternatively, the memory material layer 54 may comprise conductive nanoparticles such as metal nanoparticles, which can be, for example, ruthenium nanoparticles. The memory material layer 54 can be formed, for example, by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), or any suitable deposition technique for storing electrical charges therein. The thickness of the memory material layer 54 can be in a range from 2 nm to 20 nm, although lesser and greater thicknesses can also be employed.


In one embodiment, the dielectric material liner 56 can include a gate dielectric material if the memory material layer 54 comprises a ferroelectric layer. In another embodiment, if the memory material layer 54 comprises a charge storage layer, then the dielectric material liner 56 may be a tunneling dielectric material including a dielectric material through which charge tunneling can be performed under suitable electrical bias conditions. The charge tunneling may be performed through hot-carrier injection or by Fowler-Nordheim tunneling induced charge transfer depending on the mode of operation of the three-dimensional NAND string memory device to be formed. The dielectric material liner 56 can include silicon oxide, silicon nitride, silicon oxynitride, dielectric metal oxides (such as aluminum oxide and hafnium oxide), dielectric metal oxynitride, dielectric metal silicates, alloys thereof, and/or combinations thereof.


In one embodiment, the dielectric material liner 56 can include a stack of a first silicon oxide layer, a silicon oxynitride layer, and a second silicon oxide layer, which is commonly known as an ONO stack. In one embodiment, the dielectric material liner 56 can include a silicon oxide layer that is substantially free of carbon or a silicon oxynitride layer that is substantially free of carbon. The thickness of the dielectric material liner 56 can be in a range from 2 nm to 20 nm, although lesser and greater thicknesses can also be employed.


The optional sacrificial cover material layer 601 includes a sacrificial material that can be subsequently removed selective to the material of the dielectric material liner 56. In one embodiment, the sacrificial cover material layer 601 can include a semiconductor material such as amorphous silicon, or may include a carbon-based material such as amorphous carbon or diamond-like carbon (DLC). The sacrificial cover material layer 601 can be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). The thickness of the sacrificial cover material layer 601 can be in a range from 2 nm to 10 nm, although lesser and greater thicknesses can also be employed. A memory cavity 49′ is formed in the volume of each memory opening 49 that is not filled with the deposited material layers (52, 54, 56, 601).


Referring to FIG. 5D, the optional sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, the blocking dielectric layer 52 are sequentially anisotropically etched employing at least one anisotropic etch process. The portions of the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 located above the top surface of the insulating cap layer 70 can be removed by the at least one anisotropic etch process. Further, the horizontal portions of the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 at a bottom of each memory cavity 49′ can be removed to form openings in remaining portions thereof. Each of the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 can be etched by a respective anisotropic etch process employing a respective etch chemistry, which may, or may not, be the same for the various material layers.


Each remaining portion of the sacrificial cover material layer 601 can have a tubular configuration. The memory material layer 54 can comprise a charge trapping material, a floating gate material or a ferroelectric material. In one embodiment, each memory material layer 54 can include a vertical stack of charge storage regions that store electrical charges upon programming. In one embodiment, the memory material layer 54 can be a memory material layer in which each portion adjacent to the sacrificial material layers 42 constitutes a charge storage region.


A surface of the pedestal channel portion 11 (or a surface of the semiconductor material layer 10 in case the pedestal channel portions 11 are not employed) can be physically exposed underneath the opening through the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52. Optionally, the physically exposed semiconductor surface at the bottom of each memory cavity 49′ can be vertically recessed so that the recessed semiconductor surface underneath the memory cavity 49′ is vertically offset from the topmost surface of the pedestal channel portion 11 (or of the semiconductor material layer 10 in case pedestal channel portions 11 are not employed) by a recess distance. A dielectric material liner 56 is located over the memory material layer 54. A set of a blocking dielectric layer 52, a memory material layer 54, and a dielectric material liner 56 in a memory opening 49 constitutes a memory film 50, which includes a plurality of charge storage regions (comprising the memory material layer 54) that are insulated from surrounding materials by the blocking dielectric layer 52 and the dielectric material liner 56. In one embodiment, the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 can have vertically coincident sidewalls. The sacrificial cover material layer 601 can be subsequently removed selective to the material of the dielectric material liner 56. In case the sacrificial cover material layer 601 includes a semiconductor material, a wet etch process employing hot trimethyl-2 hydroxyethyl ammonium hydroxide (“hot TMY”) or tetramethyl ammonium hydroxide (TMAH) can be performed to remove the sacrificial cover material layer 601. Alternatively, the sacrificial cover material layer 601 may be retained in the final device if it comprises a semiconductor material.


Referring to FIG. 5E, a semiconductor channel layer 60C can be deposited directly on the semiconductor surface of the pedestal channel portion 11 or the semiconductor material layer 10 if the pedestal channel portion 11 is omitted, and directly on the dielectric material liner 56. The semiconductor channel layer 60C includes a semiconductor material such as at least one elemental semiconductor material, at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. In one embodiment, the semiconductor channel layer 60C includes amorphous silicon or polysilicon. The semiconductor channel layer 60C can have a doping of a first conductivity type, which is the same as the conductivity type of the semiconductor material layer 10 and the pedestal channel portions 11. The semiconductor channel layer 60C can be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). The thickness of the semiconductor channel layer 60C can be in a range from 2 nm to 10 nm, although lesser and greater thicknesses can also be employed. The semiconductor channel layer 60C may partially fill the memory cavity 49′ in each memory opening, or may fully fill the cavity in each memory opening.


Referring to FIG. 5F, in case the memory cavity 49′ in each memory opening is not completely filled by the semiconductor channel layer 60C, a dielectric core layer 62C can be deposited in the memory cavity 49′ to fill any remaining portion of the memory cavity 49′ within each memory opening. The dielectric core layer 62C includes a dielectric material such as silicon oxide or organosilicate glass. The dielectric core layer 62C can be deposited by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD), or by a self-planarizing deposition process such as spin coating.


Referring to FIG. 5G, the horizontal portion of the dielectric core layer 62L can be removed, for example, by a recess etch process such that each remaining portions of the dielectric core layer 62C is located within a respective memory opening 49 and has a respective top surface below the horizontal plane including the top surface of the insulating cap layer 70. Each remaining portion of the dielectric core layer 62C constitutes a dielectric core 62.


Referring to FIG. 5H, a doped semiconductor material having a doping of a second conductivity type can be deposited within each recessed region above the dielectric cores 62. The deposited semiconductor material can have a doping of a second conductivity type that is the opposite of the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa. The dopant concentration in the deposited semiconductor material can be in a range from 5.0×1018/cm3 to 2.0×1021/cm3, although lesser and greater dopant concentrations can also be employed. The doped semiconductor material can be, for example, doped polysilicon.


Excess portions of the deposited semiconductor material having a doping of the second conductivity type and a horizontal portion of the semiconductor channel layer 60C can be removed from above the horizontal plane including the top surface of the insulating cap layer 70, for example, by chemical mechanical planarization (CMP) or a recess etch process. Each remaining portion of the doped semiconductor material having a doping of the second conductivity type constitutes a drain region 63. Each remaining portion of the semiconductor channel layer 60C (which has a doping of the first conductivity type) constitutes a vertical semiconductor channel 60.


A dielectric material liner 56 is surrounded by a memory material layer 54, and laterally surrounds a portion of the vertical semiconductor channel 60. Each adjoining set of a blocking dielectric layer 52, a memory material layer 54, and a dielectric material liner 56 collectively constitute a memory film 50, which can store electrical charges or ferroelectric polarization with a macroscopic retention time. In some embodiments, a blocking dielectric layer 52 may not be present in the memory film 50 at this step, and a blocking dielectric layer may be subsequently formed after formation of backside recesses. Furthermore, if the ferroelectric memory material layer 54 is used, then the dielectric material liner 56 may be omitted. As used herein, a macroscopic retention time refers to a retention time suitable for operation of a memory device as a permanent memory device such as a retention time in excess of 24 hours.


Each combination of a memory film 50 and a vertical semiconductor channel 60 within a memory opening 49 constitutes a memory stack structure 55. The memory stack structure 55 is a combination of a semiconductor channel, a tunneling dielectric layer, a plurality of memory elements comprising portions of the memory material layer 54, and an optional blocking dielectric layer 52. Each combination of a pedestal channel portion 11 (if present), a memory stack structure 55, a dielectric core 62, and a drain region 63 within a memory opening 49 is herein referred to as a memory opening fill structure 58. Each combination of a pedestal channel portion 11 (if present), a memory film 50, a vertical semiconductor channel 60, a dielectric core 62, and a drain region 63 within each support opening 19 fills the respective support openings 19, and constitutes a support pillar structure.


Referring to FIG. 6, the first exemplary structure is illustrated after formation of memory opening fill structures 58 and support pillar structure 20 within the memory openings 49 and the support openings 19, respectively. An instance of a memory opening fill structure 58 can be formed within each memory opening 49 of the structure of FIGS. 4A and 4B. An instance of the support pillar structure 20 can be formed within each support opening 19 of the structure of FIGS. 4A and 4B.


Each memory stack structure 55 includes a vertical semiconductor channel 60 and a memory film 50. The memory film 50 may comprise a dielectric material liner 56 laterally surrounding the vertical semiconductor channel 60 and a vertical stack of charge storage regions or ferroelectric regions (comprising memory material layer 54) laterally surrounding the dielectric material liner 56 and an optional blocking dielectric layer 52. While the present disclosure is described employing the illustrated configuration for the memory stack structure, the methods of the present disclosure can be applied to alternative memory stack structures including different layer stacks or structures for the memory film 50 and/or for the vertical semiconductor channel 60.


Referring to FIGS. 7A-7C, a contact-level dielectric layer 73 can be formed over the alternating stack (32, 42) of insulating layer 32 and sacrificial material layers 42, and over the memory stack structures 55 and the support pillar structures 20. The contact-level dielectric layer 73 includes a dielectric material that is different from the dielectric material of the sacrificial material layers 42. For example, the contact-level dielectric layer 73 can include silicon oxide. The contact-level dielectric layer 73 can have a thickness in a range from 50 nm to 500 nm, although lesser and greater thicknesses can also be employed.


A photoresist layer (not shown) can be applied over the contact-level dielectric layer 73, and is lithographically patterned to form openings in areas between clusters of memory stack structures 55. The pattern in the photoresist layer can be transferred through the contact-level dielectric layer 73, the alternating stack (32, 42) and/or the retro-stepped dielectric material portion 65 employing an anisotropic etch to form backside trenches 79, which vertically extend from the top surface of the contact-level dielectric layer 73 at least to the top surface of the substrate (9, 10), and laterally extend through the memory array region 100 and the contact region 300.


In one embodiment, the backside trenches 79 can laterally extend along a first horizontal direction (e.g., word line direction) hd1 and can be laterally spaced apart from each other along a second horizontal direction (e.g., bit line direction) hd2 that is perpendicular to the first horizontal direction hd1. The memory stack structures 55 can be arranged in rows that extend along the first horizontal direction hd1. The drain-select-level isolation structures 72 can laterally extend along the first horizontal direction hd1. Each backside trench 79 can have a uniform width that is invariant along the lengthwise direction (i.e., along the first horizontal direction hd1). Each drain-select-level isolation structure 72 can have a uniform vertical cross-sectional profile along vertical planes that are perpendicular to the first horizontal direction hd1 that is invariant with translation along the first horizontal direction hd1. Multiple rows of memory stack structures 55 can be located between a neighboring pair of a backside trench 79 and a drain-select-level isolation structure 72, or between a neighboring pair of drain-select-level isolation structures 72. In one embodiment, the backside trenches 79 can include a source contact opening in which a source contact via structure can be subsequently formed. The photoresist layer can be removed, for example, by ashing. Generally, backside trenches 79 laterally extending along the first horizontal direction hd1 can be formed through the contact-level dielectric layer 73 and the alternating stack (32, 42). The alternating stack (32, 42) as formed at the processing steps of FIG. 3 is divided into multiple alternating stacks (32, 42) that are laterally spaced apart along the second horizontal direction hd2 by the backside trenches 79. Layer stacks (32, 42, 70, 73) are formed, each of which includes a respective patterned portion of the contact-level dielectric layer 73 and a respective patterned portion of the alternating stack (32, 42) as formed at the processing steps of FIG. 3 and laterally spaced from each other by the backside trenches 79.


Dopants of the second conductivity type can be implanted into physically exposed surface portions of the substrate (9, 10) (which may be surface portions of the semiconductor material layer 10) that are located at the bottom of the backside trenches by an ion implantation process. A source region 61 can be formed at a surface portion of the semiconductor material layer 10 under each backside trench 79. Each source region 61 is formed in a surface portion of the substrate (9, 10) that underlies a respective backside trench 79. Due to the straggle of the implanted dopant atoms during the implantation process and lateral diffusion of the implanted dopant atoms during a subsequent activation anneal process, each source region 61 can have a lateral extent greater than the lateral extent of the lateral extent of the overlying backside trench 79.


An upper portion of the semiconductor material layer 10 that extends between the source region 61 and the plurality of pedestal channel portions 11 constitutes a horizontal semiconductor channel 59 for a plurality of field effect transistors. The horizontal semiconductor channel 59 is connected to multiple vertical semiconductor channels 60 through respective pedestal channel portions 11. Each horizontal semiconductor channel 59 contacts a source region 61 and a plurality of pedestal channel portions 11.


Referring to FIGS. 8A and 8B, an etchant that selectively etches the second material of the sacrificial material layers 42 with respect to the first material of the insulating layers 32 can be introduced into the backside cavities 79′, for example, employing an etch process. Backside recesses 43 are formed in volumes from which the sacrificial material layers 42 are removed. The removal of the second material of the sacrificial material layers 42 can be selective to the first material of the insulating layers 32, the material of the retro-stepped dielectric material portion 65, the semiconductor material of the semiconductor material layer 10, and the material of the outermost layer of the memory films 50. In one embodiment, the sacrificial material layers 42 can include silicon nitride, and the materials of the insulating layers 32 and the retro-stepped dielectric material portion 65 can be selected from silicon oxide and dielectric metal oxides.


The etch process that removes the second material selective to the first material and the outermost layer of the memory films 50 can be a wet etch process employing a wet etch solution, or can be a gas phase (dry) etch process in which the etchant is introduced in a vapor phase into the backside trenches 79. For example, if the sacrificial material layers 42 include silicon nitride, the etch process can be a wet etch process in which the first exemplary structure is immersed within a wet etch tank including phosphoric acid, which etches silicon nitride selective to silicon oxide, silicon, and various other materials employed in the art. The support pillar structure 20, the retro-stepped dielectric material portion 65, and the memory stack structures 55 provide structural support while the backside recesses 43 are present within volumes previously occupied by the sacrificial material layers 42.


Each backside recess 43 can be a laterally extending cavity having a lateral dimension that is greater than the vertical extent of the cavity. In other words, the lateral dimension of each backside recess 43 can be greater than the height of the backside recess 43. A plurality of backside recesses 43 can be formed in the volumes from which the second material of the sacrificial material layers 42 is removed. The memory openings in which the memory stack structures 55 are formed are herein referred to as front side openings or front side cavities in contrast with the backside recesses 43. In one embodiment, the memory array region 100 comprises an array of three-dimensional NAND strings having a plurality of device levels disposed above the substrate (9, 10). In this case, each backside recess 43 can define a space for receiving a respective word line of the array of three-dimensional NAND strings.


Each of the plurality of backside recesses 43 can extend substantially parallel to the top surface of the substrate (9, 10). A backside recess 43 can be vertically bounded by a top surface of an underlying insulating layer 32 and a bottom surface of an overlying insulating layer 32. In one embodiment, each backside recess 43 can have a uniform height throughout. Generally, the backside recesses 43 can be formed by removing the sacrificial material layers 42 (which are patterned portions of the sacrificial material layers as formed at the processing steps of FIG. 3) selective to the insulating layers 32 (which are patterned portions of the insulating layers 32 as formed at the processing steps of FIG. 3).


Referring to FIG. 9, physically exposed surface portions of the optional pedestal channel portions 11 and the semiconductor material layer 10 can be converted into dielectric material portions by thermal conversion and/or plasma conversion of the semiconductor materials into dielectric materials. For example, thermal conversion and/or plasma conversion can be employed to convert a surface portion of each pedestal channel portion 11 into a tubular dielectric spacer 116, and to convert each physically exposed surface portion of the semiconductor material layer 10 into a planar dielectric portion 616. In one embodiment, each tubular dielectric spacer 116 can be topologically homeomorphic to a torus, i.e., generally ring-shaped. As used herein, an element is topologically homeomorphic to a torus if the shape of the element can be continuously stretched without destroying a hole or forming a new hole into the shape of a torus. The tubular dielectric spacers 116 include a dielectric material that includes the same semiconductor element as the pedestal channel portions 11 and additionally includes at least one non-metallic element such as oxygen and/or nitrogen such that the material of the tubular dielectric spacers 116 is a dielectric material. In one embodiment, the tubular dielectric spacers 116 can include a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of the pedestal channel portions 11. Likewise, each planar dielectric portion 616 includes a dielectric material that includes the same semiconductor element as the semiconductor material layer and additionally includes at least one non-metallic element such as oxygen and/or nitrogen such that the material of the planar dielectric portions 616 is a dielectric material. In one embodiment, the planar dielectric portions 616 can include a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of the semiconductor material layer 10.


A backside blocking dielectric layer 44 can be optionally formed. The backside blocking dielectric layer 44, if present, comprises a dielectric material that functions as a control gate dielectric for the control gates to be subsequently formed in the backside recesses 43. In case the blocking dielectric layer 52 is present within each memory opening, the backside blocking dielectric layer 44 is optional. In case the blocking dielectric layer 52 is omitted, the backside blocking dielectric layer 44 is present.


The backside blocking dielectric layer 44 can be formed in the backside recesses 43 and on a sidewall of the backside trench 79. The backside blocking dielectric layer 44 can be formed directly on horizontal surfaces of the insulating layers 32 and sidewalls of the memory stack structures 55 within the backside recesses 43. If the backside blocking dielectric layer 44 is formed, formation of the tubular dielectric spacers 116 and the planar dielectric portion 616 prior to formation of the backside blocking dielectric layer 44 is optional. In one embodiment, the backside blocking dielectric layer 44 can be formed by a conformal deposition process such as atomic layer deposition (ALD). The backside blocking dielectric layer 44 can consist essentially of aluminum oxide. The thickness of the backside blocking dielectric layer 44 can be in a range from 1 nm to 15 nm, such as 2 to 6 nm, although lesser and greater thicknesses can also be employed.


The dielectric material of the backside blocking dielectric layer 44 can be a dielectric metal oxide such as aluminum oxide, a dielectric oxide of at least one transition metal element, a dielectric oxide of at least one Lanthanide element, a dielectric oxide of a combination of aluminum, at least one transition metal element, and/or at least one Lanthanide element. Alternatively or additionally, the backside blocking dielectric layer 44 can include a silicon oxide layer. The backside blocking dielectric layer 44 can be deposited by a conformal deposition method such as chemical vapor deposition or atomic layer deposition. The backside blocking dielectric layer 44 is formed on the sidewalls of the backside trenches 79, horizontal surfaces and sidewalls of the insulating layers 32, the portions of the sidewall surfaces of the memory stack structures 55 that are physically exposed to the backside recesses 43, and a top surface of the planar dielectric portion 616. A backside cavity 79′ is present within the portion of each backside trench 79 that is not filled with the backside blocking dielectric layer 44.


Referring to FIG. 10, at least one conductive material can be deposited in the backside recesses 43 by providing at least one reactant gas into the backside recesses 43 through the backside trenches 79. A metallic barrier layer 46A can be deposited in the backside recesses 43. The metallic barrier layer 46A includes an electrically conductive metallic material that can function as a diffusion barrier layer and/or adhesion promotion layer for a metallic fill material to be subsequently deposited. The metallic barrier layer 46A can include a conductive metallic nitride material such as TiN, TaN, WN, or a stack thereof, or can include a conductive metallic carbide material such as TiC, TaC, WC, or a stack thereof. In one embodiment, the metallic barrier layer 46A can be deposited by a conformal deposition process such as chemical vapor deposition (CVD) or atomic layer deposition (ALD). The thickness of the metallic barrier layer 46A can be in a range from 2 nm to 8 nm, such as from 3 nm to 6 nm, although lesser and greater thicknesses can also be employed. In one embodiment, the metallic barrier layer 46A can consist essentially of a conductive metal nitride such as TiN.


A metal fill material is deposited in the plurality of backside recesses 43, on the sidewalls of the at least one the backside trench 79, and over the top surface of the contact-level dielectric layer 73 to form a metallic fill material layer 46B. The metallic fill material can be deposited by a conformal deposition method, which can be, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), electroless plating, electroplating, or a combination thereof. In one embodiment, the metallic fill material layer 46B can consist essentially of at least one elemental metal. The at least one elemental metal of the metallic fill material layer 46B can be selected, for example, from tungsten, cobalt, ruthenium, titanium, and tantalum. In one embodiment, the metallic fill material layer 46B can consist essentially of a single elemental metal. In one embodiment, the metallic fill material layer 46B can be deposited employing a fluorine-containing precursor gas such as WF6. In one embodiment, the metallic fill material layer 46B can be a tungsten layer including a residual level of fluorine atoms as impurities. The metallic fill material layer 46B is spaced from the insulating layers 32 and the memory stack structures 55 by the metallic barrier layer 46A, which is a metallic barrier layer that blocks diffusion of fluorine atoms therethrough.


A plurality of electrically conductive layers 46 can be formed in the plurality of backside recesses 43, and a continuous electrically conductive material layer 46L can be formed on the sidewalls of each backside trench 79 and over the contact-level dielectric layer 73. Each electrically conductive layer 46 includes a portion of the metallic barrier layer 46A and a portion of the metallic fill material layer 46B that are located between a vertically neighboring pair of dielectric material layers such as a pair of insulating layers 32. The continuous electrically conductive material layer 46L includes a continuous portion of the metallic barrier layer 46A and a continuous portion of the metallic fill material layer 46B that are located in the backside trenches 79 or above the contact-level dielectric layer 73.


Each sacrificial material layer 42 can be replaced with an electrically conductive layer 46. A backside cavity 79′ is present in the portion of each backside trench 79 that is not filled with the backside blocking dielectric layer 44 and the continuous electrically conductive material layer 46L. A tubular dielectric spacer 116 laterally surrounds a pedestal channel portion 11. A bottommost electrically conductive layer 46 laterally surrounds each tubular dielectric spacer 116 upon formation of the electrically conductive layers 46.


Referring to FIGS. 11A-11C, the deposited metallic material of the continuous electrically conductive material layer 46L is etched back from the sidewalls of each backside trench 79 and from above the contact-level dielectric layer 73 by performing an isotropic etch process that etches the at least one conductive material of the continuous electrically conductive material layer 46L. Each remaining portion of the deposited metallic material in the backside recesses 43 constitutes an electrically conductive layer 46. Each electrically conductive layer 46 can be a conductive line structure. Thus, the sacrificial material layers 42 are replaced with the electrically conductive layers 46.


Each electrically conductive layer 46 can function as a combination of a plurality of control gate electrodes located at a same level and a word line electrically interconnecting, i.e., electrically shorting, the plurality of control gate electrodes located at the same level. The plurality of control gate electrodes within each electrically conductive layer 46 are the control gate electrodes for the vertical memory devices including the memory stack structures 55. In other words, each electrically conductive layer 46 can be a word line that functions as a common control gate electrode for the plurality of vertical memory devices.


In one embodiment, the removal of the continuous electrically conductive material layer 46L can be selective to the material of the backside blocking dielectric layer 44. In this case, a horizontal portion of the backside blocking dielectric layer 44 can be present at the bottom of each backside trench 79. In another embodiment, the removal of the continuous electrically conductive material layer 46L may not be selective to the material of the backside blocking dielectric layer 44 or, the backside blocking dielectric layer 44 may not be employed. The planar dielectric portions 616 can be removed during removal of the continuous electrically conductive material layer 46L. A backside cavity 79′ is present within each backside trench 79. Each backside cavity 79′ continuous extends along the first horizontal direction hd1.


Referring to FIG. 12, an insulating material layer can be formed in the backside trenches 79 and over the contact-level dielectric layer 73 by a conformal deposition process. Exemplary conformal deposition processes include, but are not limited to, chemical vapor deposition and atomic layer deposition. The insulating material layer includes an insulating material such as silicon oxide, silicon nitride, a dielectric metal oxide, an organosilicate glass, or a combination thereof. In one embodiment, the insulating material layer can include silicon oxide. The insulating material layer can be formed, for example, by low pressure chemical vapor deposition (LPCVD) or atomic layer deposition (ALD). The thickness of the insulating material layer can be in a range from 1.5 nm to 60 nm, although lesser and greater thicknesses can also be employed.


If a backside blocking dielectric layer 44 is present, the insulating material layer can be formed directly on surfaces of the backside blocking dielectric layer 44 and directly on the sidewalls of the electrically conductive layers 46. If a backside blocking dielectric layer 44 is not employed, the insulating material layer can be formed directly on sidewalls of the insulating layers 32 and directly on sidewalls of the electrically conductive layers 46.


An anisotropic etch is performed to remove horizontal portions of the insulating material layer from above the contact-level dielectric layer 73 and at the bottom of each backside trench 79. Each remaining portion of the insulating material layer constitutes an insulating spacer 74. A backside cavity 79′ is present within a volume surrounded by each insulating spacer 74.


A top surface of a source region 61 can be physically exposed at the bottom of each backside trench 79. A bottommost electrically conductive layer 46 provided upon formation of the electrically conductive layers 46 within the alternating stack (32, 46) can comprise a select gate electrode for the field effect transistors. Each source region 61 is formed in an upper portion of the substrate (9, 10). Semiconductor channels (59, 11, 60) extend between each source region 61 and a respective set of drain regions 63. The semiconductor channels (59, 11, 60) include the vertical semiconductor channels 60 of the memory stack structures 55.


A backside contact via structure 76 can be formed within each backside cavity 79′. Each contact via structure 76 can fill a respective cavity 79′. The contact via structures 76 can be formed by depositing at least one conductive material in the remaining unfilled volume (i.e., the backside cavity 79′) of the backside trench 79. For example, the at least one conductive material can include a conductive liner 76A and a conductive fill material portion 76B. The conductive liner 76A can include a conductive metallic liner such as TiN, TaN, WN, TiC, TaC, WC, an alloy thereof, or a stack thereof. The thickness of the conductive liner 76A can be in a range from 3 nm to 30 nm, although lesser and greater thicknesses can also be employed. The conductive fill material portion 76B can include a metal or a metallic alloy. For example, the conductive fill material portion 76B can include W, Cu, Al, Co, Ru, Ni, an alloy thereof, or a stack thereof.


The at least one conductive material can be planarized employing the contact-level dielectric layer 73 overlying the alternating stack (32, 46) as a stopping layer. If chemical mechanical planarization (CMP) process is employed, the contact-level dielectric layer 73 can be employed as a CMP stopping layer. Each remaining continuous portion of the at least one conductive material in the backside trenches 79 constitutes a backside contact via structure 76. Each backside contact via structure 76 extends through the alternating stacks (32, 46), and contacts a top surface of a respective source region 61. If a backside blocking dielectric layer 44 is employed, each backside contact via structure 76 can contact a sidewall of the backside blocking dielectric layer 44.


Generally, a backside contact via structure 76 can be formed within each of the backside trenches 79 after formation of the insulating spacers 74 by depositing and planarizing at least one conductive material in volumes of the backside trenches 79 that are not filled with the insulating spacers 74.


Alternatively, the above described insulating material layer can be formed in the backside trenches 79 to completely fill the entire volume of a backside trench 79 and may consist essentially of at least one dielectric material. In this alternative embodiment, the source region 61 and the backside trench via structure 76 may be omitted, and a horizontal source line (e.g., direct strap contact) may contact an side of the lower portion of the semiconductor channel 60.


Referring to FIGS. 13A-13C, additional contact via structures (88, 86, 8P) can be formed through the contact-level dielectric layer 73, and optionally through the retro-stepped dielectric material portion 65. For example, drain contact via structures 88 can be formed through the contact-level dielectric layer 73 on each drain region 63. Word line contact via structures 86 can be formed on the electrically conductive layers 46 through the contact-level dielectric layer 73, and through the retro-stepped dielectric material portion 65. Peripheral device contact via structures 8P can be formed through the retro-stepped dielectric material portion 65 directly on respective nodes of the peripheral devices.


In one embodiment, semiconductor devices formed over the substrate (9, 10) may comprise a three-dimensional memory array. The three-dimensional memory array may include an alternating stack of insulating layers 32 and electrically conductive layers 46 located over a substrate (9, 10); a two-dimensional array of memory openings 49 vertically extending through the alternating stack (32, 46); and a two-dimensional array of memory opening fill structures 58 located within the two-dimensional array of memory openings 49 and including a respective vertical semiconductor channel 60 and a respective vertical stack of memory elements (such as portions of the memory material layers 54 located at levels of the electrically conductive layers 46). The doped semiconductor material regions (such as the drain regions 63) are adjoined to a top end of a respective one of the vertical semiconductor channels 60. While the semiconductor device of the embodiment comprises a three-dimensional memory array, embodiments of the present disclosure can be practiced on any semiconductor structure including any suitable semiconductor device containing bit lines.


Referring to FIG. 14, a connection-level dielectric layer 90 can be formed over the contact-level dielectric layer 73, or any underlying layer embedding contact via structures such as drain contact via structures 88 that contact the drain regions 63 (which are doped semiconductor regions). The connection-level dielectric layer 90 is a connection-level material layer comprised of at least one dielectric material. The connection-level dielectric layer 90 may include an interconnect-level dielectric material such as undoped silicate glass, a doped silicate glass, or organosilicate glass, or may include a sacrificial material (such as a semiconductor material or a carbon-based material) that is subsequently removed. The thickness of the connection-level dielectric layer 90 can be in a range from 100 nm to 1,000 nm, such as from 200 nm to 500 nm, although lesser and greater thicknesses may also be employed.


Connection via cavities can be formed through the connection-level dielectric layer 90 by depositing and lithographically patterning a photoresist layer over the connection-level dielectric layer 90, and transferring the pattern of openings in the photoresist layer through the connection-level dielectric layer 90 employing an anisotropic etch process. The photoresist layer can be subsequently removed, for example, by ashing.


At least one electrically conductive material can be deposited in the connection via cavities, and excess portions of the at least one electrically conductive material can be removed from above the horizontal plane including the top surface of the connection-level dielectric layer 90 by a planarization process, which may include a chemical mechanical planarization process and/or a recess etch process. Each remaining portion of the at least one electrically conductive material located within a respective one of the connection via cavities comprise connection-level via structures (98, 96, 9P). The connection-level via structures (98, 96, 9P) may comprise tungsten or copper. The connection-level via structures (98, 96, 9P) can comprise drain-side connection-level via structures 98 contacting a top surface of a respective one of the drain contact via structures 88, word-line-side connection-level via structures 96 contacting a top surface of a respective one of the word line contact via structures 86, source-side connection via structures (not illustrated) contacting the backside contact via structures 76, and peripheral-region connection via structures 9P contacting a top surface of a respective one of the peripheral device contact via structures 8P.


Generally, a combination of a connection-level material layer (such as the connection-level dielectric layer 90) and a two-dimensional array of connection via structures (such as the drain-side connection-level via structures 98) embedded in the connection-level material layer can be formed over the semiconductor device array. Each of the connection via structures within the two-dimensional array of connection via structures (such as the drain-side connection-level via structures 98) overlies, and is electrically connected to, a respective one of the doped semiconductor material regions (such as the drain regions 63). In one embodiment, the drain-side connection-level via structures 98 can be laterally elongated along a horizontal direction that is perpendicular to the lengthwise direction of the backside trenches 79. For example, the drain-side connection-level via structures 98 can be laterally elongated along the second horizontal direction (i.e., bit line direction) hd2, which is the lengthwise direction of bit lines to be subsequently formed.


Generally, a combination of a connection-level dielectric layer 90 and connection-level metal interconnect structures (98, 96, 9P) can be formed over semiconductor devices. A subset of the connection-level metal interconnect structures (98, 96) can be electrically connected to a node of one of the semiconductor devices, and can be embedded in the connection-level dielectric layer 90.


Optional conductive cap plates (198, 196, 19P) comprising an electrically conductive (e.g., metallic) material can be selectively grown on a top surface of the connection-level metal interconnect structures (98, 96, 9P) while suppressing growth of the conductive material from surfaces of the connection-level dielectric layer 90. For example, if the connection-level via structures (98, 96, 9P) may comprise copper, then the conductive cap plates (198, 196, 19P) may be used as a barrier to protect the connection-level via structures (98, 96, 9P) against fluorine or chlorine based attack during a subsequent gas phase tungsten deposition using a fluorine (e.g., tungsten hexafluoride) or chlorine (e.g., tungsten hexachloride) containing tungsten precursor gas. The conductive cap plates (198, 196, 19P) may also be formed on tungsten connection-level via structures (98, 96, 9P). Alternatively, the conductive cap plates (198, 196, 19P) may be omitted (e.g., in case the connection-level via structures (98, 96, 9P) comprise tungsten). The conductive cap plates (198, 196, 19P) can comprise drain-side conductive cap plates 198 contacting a top surface of a respective one of the drain-side connection-level via structures 98, word-line-side conductive cap plates 196 contacting a top surface of a respective one of the word-line-side connection-level via structures 96, and peripheral-region conductive cap plates 19P contacting a top surface of a respective one of the peripheral-region connection via structures 9P.


The conductive material of the conductive cap plates (198, 196, 19P) may comprise any suitable conductive material, such as Ru, Co, CoWB, CoWP, Ni, or NiAl alloy, which can protect the underlying connection-level via structures (98, 96, 9P) from a subsequent fluorine or chlorine attack. In one embodiment, the conductive material of the conductive cap plates (198, 196, 19P) can be selectively deposited by area selective deposition or selective plating on exposed surfaces of the connection-level via structures (98, 96, 9P) without depositing conductive cap plates (198, 196, 19P) on the surface of the connection-level dielectric layer 90. Thus, the deposition of the conductive material (which may be an elemental metal or metal allot) occurs only on physically exposed conductive surfaces, and does not proceed from dielectric surfaces such as the top surface of the connect-level dielectric layer 90. Alternatively, the conductive cap plates (198, 196, 19P) may comprise a diffusion barrier material, such as titanium, tantalum, titanium nitride or tantalum nitride. The diffusion barrier material may be deposited non-selectively as a blanket layer over the exposed upper surfaces of the connection-level via structures (98, 96, 9P) and the connection-level dielectric layer 90 followed by photolithography and etching of the blanket layer to form the conductive cap plates (198, 196, 19P).


In one embodiment, a top surface of a conductive cap plate (196, 198, 19P) may comprise a planar top surface segment located in a horizontal plane, and a peripheral convex surface segment that is adjoined to a periphery of the planar top surface segment and adjoined to a periphery of the bottom surface of the conductive cap plate (196, 198, 19P).


Referring to FIGS. 15A and 15B, a line-and-via-level dielectric layer 130 can be formed over the connect-level dielectric layer 90 and over the conductive cap plates (196, 198, 19P). The line-and-via-level dielectric layer 130 comprises an interconnect-level dielectric material that can be subsequently patterned to form line cavities and via cavities therein. The interconnect-level dielectric material may be undoped silicate glass (i.e., silicon oxide), a doped silicate glass, or organosilicate glass. The line-and-via-level dielectric layer 130 can be formed directly on top surfaces of the conductive cap plates (196, 198, 19P) by a conformal or non-conformal deposition process. For example, the line-and-via-level dielectric layer 130 may be formed by chemical vapor deposition or spin coating. The thickness of the line-and-via-level dielectric layer 130 may be in a range from 200 nm to 1,000 nm, such as from 400 nm to 700 nm, although lesser and greater thicknesses may also be employed.


A first photoresist layer (not shown) can be applied over the top surface of the line-and-via-level dielectric layer 130, and can be lithographically patterned to form openings having line patterns. A subset of the line patterns may have an areal overlap with a respective one of the conductive cap plates (196, 198, 19P). In one embodiment, a first subset of the line patterns may include bit line patterns, which are patterns of bit lines to be subsequently formed. The bit line patterns may include multiple line shapes that laterally extend along the second horizontal direction hd2 and laterally spaced apart along the first horizontal direction hd1 with a uniform pitch, which is herein referred to as a bit line pitch. Each line shape in the bit line pattern can have an areal overlap with a respective subset of the drain contact via structures 88 in a plan view such as a see-through top-down view.


In one embodiment, a second subset of the line patterns may include word-line-connection patterns, which are patterns of word-line-connection metal lines to be subsequently formed. The word-line-connection patterns may include line shapes having an areal overlap with a respective one of the word-line-side connection-level via structures 96 in the plan view. In one embodiment, a third subset of the line patterns may include peripheral connection patterns, which are patterns of peripheral metal lines to be subsequently formed. The peripheral connection pattern may include line shapes having an areal overlap with a respective one of the peripheral-region connection via structures 9P.


A first anisotropic etch process can be performed to etch upper portions of the line-and-via-level dielectric layer 130 that are not masked by the patterned first photoresist layer. Line cavities (115, 215, 315) are formed in the volumes from which the material of the line-and-via-level dielectric layer 130 is etched by the first anisotropic etch process. The line cavities (115, 215, 315) may comprise bit line cavities 115 overlying a respective subset of the drain-side conductive cap plates 198, word-line-connection line cavities 215 overlying a respective one of the word-line-side conductive cap plates 196, and peripheral line cavities 315 overlying a respective one of the peripheral-region conductive cap plates 19P. The line cavities (115, 215, 315) extend only through a portion of the thickness of the line-and-via-level dielectric layer 130, and do not extend to the conductive cap plates (196, 198, 19P) underlying the line-and-via-level dielectric layer 130. The patterned first photoresist layer can be subsequently removed, for example, by ashing.


Referring to FIGS. 16A and 16B, a second photoresist layer (not shown) can be applied over the top surface of the line-and-via-level dielectric layer 130, and can be lithographically patterned to form discrete openings. The discrete openings may have an areal overlap with a respective one of the conductive cap plates (196, 198, 19P) if present, or with a respective one of the connection-level via structures (98, 96, 9P) if the conductive cap plates (196, 198, 19P) are omitted. In one embodiment, a first subset of the discrete openings may include bit-line-connection openings located within an area of a respective one of the bit line cavities 115. A second subset of the discrete openings may include word-line-connection openings located within an area of a respective one of the word-line-connection line cavities 215. A third subset of the discrete openings may include peripheral connection openings located within an area of a respective one of the peripheral line cavities 315.


A second anisotropic etch process can be performed to etch lower portions of the line-and-via-level dielectric layer 130 that are not masked by the patterned second photoresist layer. Via cavities (113, 213, 313) are formed in the volumes from which the material of the line-and-via-level dielectric layer 130 is etched by the second anisotropic etch process. The via cavities (113, 213, 313) may comprise bit-line-connection via cavities 113 that extend from a bottom surface of a respective bit line cavity 115 to a respective drain-side conductive cap plate 198, word-line-connection via cavities 213 that extends from a bottom surface of a respective word-line-connection line cavity 215 to a respective word-line-side conductive cap plate 196, and peripheral via cavities 313 that extends from a bottom surface of a peripheral line cavity 315 to a respective peripheral-region conductive cap plate 19P. The patterned second photoresist layer can be subsequently removed, for example, by ashing.


Each contiguous combination of a line cavity (115, 215, 315) and at least one via cavity (113, 213, 313) constitutes an integrated line-and-via cavity {(115, 113), (215, 213), (315, 313)} which extends through the entire thickness of the line-and-via-level dielectric layer 130. The integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)} can include bit-line integrated line-and-via cavities (115, 113) including a respective bit line cavity 115 and a respective plurality of bit-line-connection via cavities 113, word-line-connection integrated line-and-via cavities (215, 213) including a respective word-line-connection line cavity 215 and at least one word-line-connection via cavity 213, and peripheral integrated line-and-via cavities (315, 314) including a respective peripheral line cavity 315 and at least one peripheral via cavity 313. Top surfaces of the conductive cap plates (198, 196, 19P) if present, or top surfaces of the connection-level via structures (98, 96, 9P) if the plates are omitted, are physically exposed at the bottom of the respective integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)}.


Referring to FIGS. 17A and 17B, a selective metal deposition process can be performed to grow a conductive via structure (114, 214, 314) from each physically exposed surface of the conductive cap plates (196, 198, 19P) if present, or top surfaces of the connection-level via structures (98, 96, 9P) if the plates are omitted. The selective metal deposition process may comprise an area selective deposition (ASD) process via CVD or ALD that employs a metal-containing precursor gas. During the selective metal deposition process, the metal-containing precursor gas decomposes on conductive surfaces to deposit a conductive material, while growth of the conductive material from dielectric surfaces such as the physically exposed surfaces of the line-and-via-level dielectric layer 130 including the surfaces of the bit line cavities 115 is suppressed.


The conductive via structures (114, 214, 314) can consist essentially of an elemental metal that is not copper. In one embodiment, the conductive material of the conductive via structure (114, 214, 314) may comprise, and/or may consist essentially of, tungsten that can be deposited by ASD using thermal decomposition or plasma decomposition of tungsten hexafluoride or tungsten hexachloride. During ASD, the deposition of the of tungsten occurs only on physically exposed conductive surfaces, and does not proceed from dielectric surfaces such as the surfaces of the line-and-via-level dielectric layer 130.


Generally, each conductive via structure (114, 214, 314) may be formed by selectively growing portions of an elemental metal from a physically exposed conductive surface located at a bottom of a via portion of an integrated line-and-via cavity {(115, 113), (215, 213), (315, 313)} while suppressing growth of the elemental metal from surfaces of the line-and-via-level dielectric layer 130. In one embodiment, the selective growth of the elemental metal can be continued until the top surfaces of the conductive via structures (114, 214, 314) are formed at, above, or below, the horizontal plane including the bottom surfaces of the line cavities (115, 215, 315). Thus, the conductive via structures (114, 214, 314) fill the respective via cavities (113, 213, 313) without filling the line cavities line cavities (115, 215, 315).


The conductive via structures (114, 214, 314) may include bit-line-connection via structures 114 formed within the bit-line-connection via cavities 113, word-line-connection via structures 214 formed in the word-line-connection via cavities 213, and peripheral via structures 314 formed in the peripheral via cavities 313. In one embodiment, each bit-line-connection via structure 114 can contact a top surface of a respective drain-side conductive cap plate 198, each word-line-connection via structure 214 can contact a top surface of a respective word-line-side conductive cap plate 196, and each peripheral via structure 314 can contact a top surface of a respective peripheral conductive cap plate 19P. In one embodiment, each conductive via structure (114, 214, 314) can include a straight or tapered cylindrical sidewall that contacts the line-and-vie-level dielectric layer 130.


Referring to FIGS. 18A and 18B, an optional conductive liner layer can be conformally or non-conformally deposited on the physically exposed surfaces of the conductive via structures (114, 214, 314) and the line-and-via-level dielectric layers 130. The physically exposed surfaces of the line-and-via-level dielectric layers 130 include bottom surfaces and sidewalls of the line cavities (115, 215, 315), i.e., the line portions of the integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)}. The conductive liner layer may include a conductive metallic compound material such as a conductive metallic nitride (such as WN, TiN, TaN, or MoN) or a conductive metallic carbide (such as WC, TiC, or TaC). The conductive liner layer may be deposited, for example, by physical vapor deposition. The thickness of each horizontally-extending portion of the conductive liner layer may be in a range from 3 nm to 30 nm, such as from 6 nm to 15 nm, although lesser and greater thicknesses may also be employed.


Generally, the conductive liner layer can be deposited on the conductive via structures and on each horizontal surface of the interconnect-level dielectric material located at a bottom of the line portion of each of the integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)}. The conductive liner layer is subsequently divided into various conductive liners (116, 216, 316) (e.g., by CMP) and can include bit-line conductive liners 116, word-line-connection conductive liners 216, and peripheral conductive liners 316.


Subsequently, a copper-based conductive material can be deposited over the conductive liner layer If present), for example, by a physical vapor deposition and/or plating (e.g., electroplating). The copper-based conductive material comprises copper at an atomic percentage that is greater than 90%, which may be greater than 95%, such as 98% to 100% The copper-based conductive material may consist essentially of copper, or may include an alloying element such as aluminum or silicon at an atomic percentage that is less than 10%, which may be less than 5%, such as 0.1% to 2%. The thickness of the deposited copper-based conductive material can be selected such that the entirety of each volume of the integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)} is filled with a respective combination of at least one conductive via structure (114, 214, 314), the conductive liner layer (if present), and the deposited copper-based conductive material. In other words, the copper-based conductive material fills the line cavities (115, 215, 315).


A planarization process such as a chemical mechanical polishing (CMP) process can be performed to remove portions of the conductive liner layer and the deposited copper-based conductive material that are located above the horizontal plane including the top surface of the line-and-via-level dielectric layer 130. Each remaining discrete portion of the deposited copper-based conductive material constitutes a copper-based conductive line structure (118, 218, 318). In one embodiment, each copper-based conductive line structure (118, 218, 318) comprises a top surface located within a horizontal plane including a top surface of the line-and-via-level dielectric layer 130. Each remaining discrete portion of the conductive liner layer constitutes a conductive liner (116, 216, 316). In one embodiment, each conductive liner (116, 216, 316) comprises a top surface located within a horizontal plane including the top surface of the line-and-via-level dielectric layer 130.


The copper-based conductive line structures (118, 218, 318) can include bit-line conductive line structures 118, word-line-connection conductive line structures 218, and peripheral conductive line structures 318. The conductive liners (116, 216, 316) can include bit-line conductive liners 116, word-line-connection conductive liners 216, and peripheral conductive liners 316. Each contiguous combination of a bit-line conductive liner 116 (if present) and a bit-line conductive line structure 118 constitutes a bit line (116, 118). Each contiguous combination of a word-line-connection conductive liner 116 and a word-line-connection conductive line structure 218 constitutes a word-line-connection line (216, 218). Each contiguous combination of a peripheral conductive liner 316 and a peripheral conductive line structure 318 constitutes a peripheral line (316, 318).


Generally, a copper-based conductive line structure (118, 218, 318) that comprises copper at an atomic percentage that is greater than 90% can be formed in a line portion of an integrated line-and-via cavity {(115, 113), (215, 213), or (315, 313)}. Interconnect line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} are formed in the line-and-via-level dielectric layer 130.


Each of the interconnect line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} may comprise a respective conductive via structure (198, 196, 19P), a respective copper-based conductive line structure (118, 218, 3148), an optional respective conductive liner (116, 216, 316) laterally surrounding the respective copper-based conductive line structure (118, 218, 318) and overlying the conductive via structure (114, 214, 314). In one embodiment, each conductive liner (116, 216, 316) comprises a first bottom surface segment that contacts a top surface of a respective conductive via structure (114, 214, 314), and a second bottom surface segment that contacts a respective recessed horizontal surface of the interconnect-level dielectric material of the line-and-via-level dielectric layer 130. Generally, the second bottom surface segment is located above, or below, a horizontal plane including the first bottom surface segment.


Generally, a conductive via structure (114, 214, 314) overlies and is electrically connected to a respective connection-level metal interconnect structure (98, 96, 9P), and may consist essentially of an elemental metal that is not copper, such as tungsten. In one embodiment, each copper-based conductive line structure (118, 218, 318) comprises copper at an atomic percentage that is greater than 90%, overlies a respective conductive via structure (114, 214, 314), and has a greater lateral extent than a respective underlying conductive via structure (114, 214, 314).


In one embodiment, each interconnect line-and-via structure {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} may comprise a respective conductive cap plate (198, 196, 19P) in contact with a top surface of a respective one of the connection-level metal interconnect structures (98, 96, 9P).


Referring to FIGS. 19A and 19B, a second exemplary structure according to an embodiment of the present disclosure can be derived from the first exemplary structure of FIGS. 14, 15A and 15B by omitting the processing steps for forming the conductive cap plates (198, 196, 19P) on FIG. 14. In other words, formation of the conductive cap plates (198, 196, 19P) is omitted during manufacture of the second exemplary structure, and the line-and-via-level dielectric layer 130 is deposited directly on the top surface of the connection-level dielectric layer 90 and the top surfaces of the connection-level via structures (98, 96, 9P). Subsequently, the line cavities (115, 215, 315) can be formed in an upper portion of the line-and-via-level dielectric layer 130 in the same manner as in the manufacture of the first exemplary structure of FIGS. 15A and 15B. Specifically, a first patterned photoresist layer and a first anisotropic etch process can be employed to form the line cavities (115, 215, 315).


Referring to FIGS. 20A and 20B, a second photoresist layer (not shown) can be applied over the top surface of the line-and-via-level dielectric layer 130, and can be lithographically patterned to form discrete openings. The discrete openings may have an areal overlap with a respective one of the connection-level via structures (98, 96, 9P). In one embodiment, a first subset of the discrete openings may include bit-line-connection openings located within an area of a respective one of the bit line cavities 115. A second subset of the discrete openings may include word-line-connection openings located within an area of a respective one of the word-line-connection line cavities 215. A third subset of the discrete openings may include peripheral connection openings located within an area of a respective one of the peripheral line cavities 315.


A second anisotropic etch process can be performed to etch lower portions of the line-and-via-level dielectric layer 130 that are not masked by the patterned second photoresist layer. Via cavities (113, 213, 313) are formed in the volumes from which the material of the line-and-via-level dielectric layer 130 is etched by the second anisotropic etch process. The via cavities (113, 213, 313) may comprise bit-line-connection via cavities 113 that extend from a bottom surface of a respective bit line cavity 115 to a respective drain-side conductive cap plate 198, word-line-connection via cavities 213 that extend from a bottom surface of a respective word-line-connection line cavity 215 to a respective word-line-side conductive cap plate 196, and peripheral via cavities 313 that extend from a bottom surface of a peripheral line cavity 315 to a respective peripheral-region conductive cap plate 19P. The patterned second photoresist layer can be subsequently removed, for example, by ashing.


Each contiguous combination of a line cavity (115, 215, 315) and at least one via cavity (113, 213, 313) constitutes an integrated line-and-via cavity {(115, 113), (215, 213), (315, 313)}. The integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)} can include bit-line integrated line-and-via cavities (115, 113) including a respective bit line cavity 115 and a respective plurality of bit-line-connection via cavities 113, word-line-connection integrated line-and-via cavities (215, 213) including a respective word-line-connection line cavity 215 and at least one word-line-connection via cavity 213, and peripheral integrated line-and-via cavities (315, 314) including a respective peripheral line cavity 315 and at least one peripheral via cavity 313. Top surfaces of the connection-level via structures (98, 96, 9P) are physically exposed at the bottom of the integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)}.


Referring to FIGS. 21A and 21B, the optional conductive cap plates (198, 196, 19P) comprising a conductive material can be selectively grown on a top surface of the connection-level metal interconnect structures (98, 96, 9P) at the bottom of the via portion of each of the integrated line-and-via cavities {(115, 113), (215, 213), (315, 313)} while suppressing growth of the conductive material from surfaces of the line-and-via-level dielectric layer 130. The conductive cap plates (198, 196, 19P) can comprise drain-side conductive cap plates 198 contacting a top surface of a respective one of the drain-side connection-level via structures 98, word-line-side conductive cap plates 196 contacting a top surface of a respective one of the word-line-side connection-level via structures 96, and peripheral-region conductive cap plates 19P contacting a top surface of a respective one of the peripheral-region connection via structures 9P.


Referring to FIGS. 22A and 22B, a selective metal deposition process can be performed to grow a metallic via structure (114, 214, 314) from each physically exposed surface of the conductive cap plates (196, 198, 19P). The processing steps of FIGS. 17A and 17B can be employed to form the conductive via structures (114, 214, 314). Generally, each conductive via structure (114, 214, 314) may be formed by selectively growing portions of an elemental metal from a physically exposed conductive surface located at a bottom of a via portion of an integrated line-and-via cavity {(115, 113), (215, 213), (315, 313)} while suppressing growth of the elemental metal from surfaces of the line-and-via-level dielectric layer 130. In one embodiment, the selective growth of the elemental metal can be continued until the top surfaces of the conductive via structures (114, 214, 314) are formed at, above, or below, the horizontal plane including the bottom surfaces of the line cavities (115, 215, 315).


The conductive via structures (114, 214, 314) may include bit-line-connection via structures 114 formed within the bit-line-connection via cavities 113, word-line-connection via structures 214 formed in the word-line-connection via cavities 213, and peripheral via structures formed in the peripheral via cavities 313. In one embodiment, each bit-line-connection via structure 114 can contact a top surface of a respective drain-side conductive cap plate 198, each word-line-connection via structure 214 can contact a top surface of a respective word-line-side conductive cap plate 196, and each peripheral via structure 314 can contact a top surface of a respective peripheral conductive cap plate 19P. In one embodiment, each conductive via structure (114, 214, 314) can include a straight or tapered cylindrical sidewall that contacts the line-and-vie-level dielectric layer 130. In one embodiment, the periphery of the planar top surface of each conductive cap plate (198, 196, 19P) coincides with a periphery of a bottom surface of an overlying conductive via structure (114, 214, 314).


Referring to FIGS. 23A and 23B, the processing steps of FIGS. 18A and 18B can be performed to form the optional conductive liners (116, 216, 316) and the copper-based conductive line structures (118, 218, 318). The conductive liners (116, 216, 316) can include bit-line conductive liners 116, word-line-connection conductive liners 216, and peripheral conductive liners 316. The copper-based conductive line structures (118, 218, 318) can include bit-line conductive line structures 118, word-line-connection conductive line structures 218, and peripheral conductive line structures 318. Each contiguous combination of a bit-line conductive liner 116 and a bit-line conductive line structure 118 constitutes a bit line (116, 118). Each contiguous combination of a word-line-connection conductive liner 116 and a word-line-connection conductive line structure 218 constitutes a word-line-connection line (216, 218). Each contiguous combination of a peripheral conductive liner 316 and a peripheral conductive line structure 318 constitutes a peripheral line (316, 318).


Generally, a copper-based conductive line structure (118, 218, 318) that comprises copper at an atomic percentage that is greater than 90% can be formed in a line portion of an integrated line-and-via cavity {(115, 113), (215, 213), or (315, 313)}. Interconnect line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} are formed in the line-and-via-level dielectric layer 130.


Each of the interconnect line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} may comprise a respective conductive via structure (198, 196, 19P), a respective copper-based conductive line structure (118, 218, 318), a respective conductive liner (116, 216, 316) laterally surrounding the respective copper-based conductive line structure (118, 218, 318) and overlying the conductive via structure (114, 214, 314). In one embodiment, each conductive liner (116, 216, 316) comprises a first bottom surface segment that contacts a top surface of a respective conductive via structure (114, 214, 314), and a second bottom surface segment that contacts a respective recessed horizontal surface of the interconnect-level dielectric material of the line-and-via-level dielectric layer 130. Generally, the second bottom surface segment is located above, or below, a horizontal plane including the first bottom surface segment.


Generally, a conductive via structure (114, 214, 314) overlies, and is electrically connected to, a respective connection-level metal interconnect structure (98, 96, 9P), and may consist essentially of an elemental metal that is not copper. In one embodiment, each copper-based conductive line structure (118, 218, 318) comprises copper at an atomic percentage that is greater than 90%, overlies a respective conductive via structure (114, 214, 314), and has a greater lateral extent than a respective underlying conductive via structure (114, 214, 314).


In one embodiment, each interconnect line-and-via structure {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} may comprise a respective conductive cap plate (198, 196, 19P) in contact with a top surface of a respective one of the connection-level metal interconnect structures (98, 96, 9P).


Referring to FIG. 24, a third exemplary structure according to an embodiment of the present disclosure can be derived from the first exemplary structure or the second exemplary structure by omitting formation of the conductive cap plates (198, 196, 19P). Thus, each of the conductive via structures (114, 214, 314) can be formed directly on a top surface of a respective one of the connection-level via structures (98, 96, 9P).


The various embodiments of the present disclosure provide integrated line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} including a respective conductive via structure (114, 214, 314). The conductive via structures (114, 214, 314) are formed by a selective metal deposition process that grows a conductive material from bottom to top within via cavities. As such, the conductive via structures (114, 214, 314) can be formed with a high aspect ratio, and the integrated line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)} can be formed with a high density with few or no voids. Thus, a method of forming high density metal lines, such as bit lines (116, 118) can be formed with less steps (e.g., a single damascene rather than dual damascene process), and the reliability and device density of semiconductor devices, such as three-dimensional memory devices, can be increased.



FIGS. 25A-25F are sequential vertical cross-sectional views of a region of a fourth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure. The fourth exemplary structure may be formed within, or above, any of the first, second, or third exemplary structures. Alternatively, the fourth exemplary structure may be formed separately from the first, second, or third exemplary structures. For example, the first, second, or third exemplary structures may be formed in a memory die, while the fourth exemplary structure may be formed in a logic die (e.g., a separate die containing the driver circuit for the memory die). The logic die may be bonded to the memory die.


The fourth exemplary structure includes a first dielectric material layer 260 in which a first metal interconnect structure 280 is formed, and a second dielectric material layer 360 that is formed above the first dielectric material layer 260 and embeds a second metal interconnect structure 380, as shown in FIG. 25F. In some embodiments, the first dielectric material layer 260 of the fourth exemplary structure may be employed as the connection-level dielectric material layer 90 described above, and the second dielectric material layer 360 of the fourth exemplary structure may be employed as the line-and-via-level dielectric layer 130 described above. In this case, the first metal interconnect structure 280 may be employed in lieu of any of the metal interconnect structures (such as the connection-level via structures (98, 96, 9P)) that are embedded in the connection-level dielectric material layer 90, and the second metal interconnect structures 380 may be employed in lieu of any of the metal interconnect structures (such as the interconnect line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)}) that are embedded in the line-and-via-level dielectric layer 130.


Alternatively or additionally, the first dielectric material layer 260 of the fourth exemplary structure may be employed as the line-and-via-level dielectric layer 130 described above. In this case, the first metal interconnect structure 280 may be employed in lieu of any of the metal interconnect structures (such as the interconnect line-and-via structures {(198, 114, 116, 118), (196, 214, 216, 218), (19P, 314, 316, 318)}) that are embedded in the line-and-via-level dielectric layer 130. The second dielectric material layer 360 may be deposited on the first dielectric material layer 260, and the second metal interconnect structures 380 may be formed in the second dielectric material layer 360.


Alternatively or additionally, the first dielectric material layer 260 of the fourth exemplary structure may be deposited on, and/or above, the line-and-via-level dielectric layer 130 described above during a subsequent processing step. In this case, the first metal interconnect structure 280 may be formed in the first dielectric material layer 260, the second dielectric material layer 360 of the fourth exemplary structure can be formed above the first dielectric material layer 260, and the second metal interconnect structure 280 may be formed in the second dielectric material layer 360.


Alternatively, the first dielectric material layer 260 of the fourth exemplary structure may be formed over any substrate, which may be a semiconductor substrate, such as a silicon wafer of the logic die. In some cases, the first dielectric material layer 260 may be formed over semiconductor devices, such as the driver circuit transistors formed on the semiconductor substrate. In this case, the first metal interconnect structure 280 may be formed in the first dielectric material layer 260, the second dielectric material layer 360 of the fourth exemplary structure can be formed above the first dielectric material layer 260, and the second metal interconnect structure 280 may be formed in the second dielectric material layer 360. The logic die is then bonded to the memory die containing the first, second or third exemplary structures.


Referring to FIG. 25A, the first dielectric material layer 260 can be formed over underlying devices described above. Generally, the first dielectric material layer 260 may comprise undoped silicate glass (e.g., silicon oxide), a doped silicate glass, or porous or non-porous organosilicate glass. Optionally, the first dielectric material layer 260 may optionally comprise a dielectric etch stop liner or a dielectric capping liner (not expressly shown).


Cavities are formed through the first dielectric material layer 260, and are filled with at least one metallic material that is subsequently planarized so that portions of the at least one metallic material overlying the horizontal plane including the top surface of the first dielectric material layer 260 are removed. Each remaining portion of the at least one conductive material constitutes a first metal interconnect structure 280, one of which is illustrated in FIG. 25A.


In the illustrated example, the first metal interconnect structure 280 comprises an optional first metallic liner 282 including a first metallic barrier (i.e., diffusion barrier) material and a first metallic material portion 284 including a first metal. The first metallic barrier material may comprise a metallic nitride material such as TiN, TaN, or WN, a metallic carbide material such as TiC, TaC, or WC, or a metal such as Ti or Ta. According to an aspect of the present disclosure, the first metal may comprise a metal that forms a metal-semiconductor alloy with a Group IV semiconductor material, such as silicon, germanium or silicon-germanium. In one embodiment, the first metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the first metal may be Cu or W. In one embodiment, first metallic material portion 284 comprises the first metal at a first atomic percentage that is greater than 90%, which may be greater than 95%, such as 98 to 100%.


Referring to FIG. 25B, the second dielectric material layer 360 can be formed over the first dielectric material layer 260. The second dielectric material layer 360 may comprise undoped silicate glass, a doped silicate glass, or porous or non-porous organosilicate glass. Optionally, the second dielectric material layer 260 may optionally comprise a dielectric etch stop liner or a dielectric capping liner (not expressly shown). The thickness of the second dielectric material layer 360 may be in a range from 100 nm to 1,000 nm, such as from 200 nm to 600 nm, although lesser and greater thicknesses may also be employed.


Integrated line-and-via cavities 389 (one of which is illustrated in FIG. 25B) can be formed through the second dielectric material layer 360. Each integrated line-and-via cavity 389 comprises a line cavity 389L and at least one via cavity 389V. Each via cavity 389V may be formed over a respective one of the first metal interconnect structures 280. In one embodiment, each via cavity 389V may have a respective bottom periphery that is located entirely within the area of a periphery of a respective underlying first metal interconnect structure 280.


Generally, the integrated line-and-via cavities 389 may be formed employing two lithographic processes and two anisotropic etch processes. If a via-first integration scheme is employed, a first photoresist layer can be applied over the second dielectric material layer 360 and can be lithographically patterned to form discrete via-shaped openings therethrough, and a first anisotropic etch process can be performed to transfer the pattern of the discrete via-shaped openings into an upper portion of the second dielectric material layer 360 to form via cavities within the upper portion of the second dielectric material layer 360. The first photoresist layer can be subsequently removed, for example, by ashing. A second photoresist layer can be applied over the second dielectric material layer 360 and can be lithographically patterned to form line-shaped openings therethrough, and a second anisotropic etch process can be performed to transfer the pattern of the line-shaped openings into an upper portion of the second dielectric material layer 360 to form line cavities 389L while simultaneously extending the via cavities downward through a lower portion of the second dielectric material layer 360 to form the via cavities 389V. Each contiguous cavity including a line cavity 389L and at least one via cavity 389V constitutes an integrated line-and-via cavity 389. The second photoresist layer can be subsequently removed, for example, by ashing.


Alternatively, a via-last integration scheme may be employed. In this case, a first photoresist layer can be applied over the second dielectric material layer 360 and can be lithographically patterned to form line-shaped openings therethrough, and a first anisotropic etch process can be performed to transfer the pattern of the line-shaped openings into an upper portion of the second dielectric material layer 360 to form line cavities 389L within the upper portion of the second dielectric material layer 360. The first photoresist layer can be subsequently removed, for example, by ashing. A second photoresist layer can be applied over the second dielectric material layer 360 and in the line cavities 389L, and can be lithographically patterned to form discrete via-shaped openings therethrough, and a second anisotropic etch process can be performed to transfer the pattern of the discrete via-shaped openings into an lower portion of the second dielectric material layer 360 to form via cavities 389V while the second photoresist layer covers all areas other than the areas of the via cavities 389V. The second photoresist layer can be subsequently removed, for example, by ashing. Each contiguous cavity including a line cavity 389L and at least one via cavity 389V constitutes an integrated line-and-via cavity 389.


Referring to FIG. 25C, a semiconductor material layer 372 including, and/or consisting essentially of, a semiconductor material can be deposited in each line-and-via cavity 389. The semiconductor material may comprise, and/or consist essentially of, silicon, germanium, a silicon-germanium alloy, or a doped derivative therefrom. The semiconductor material layer 372 may be polycrystalline or amorphous. The semiconductor material layer 372 may be deposited isotropically or anisotropically (i.e., with directionality). In one embodiment, the semiconductor material layer 372 may be deposited by physical vapor deposition (e.g., sputtering) employing a high degree of collimation to increase the thickness of the deposited semiconductor material at the bottom of the via cavities 389V. The thickness of the semiconductor material layer 372 directly above the top surface of a first metal interconnect structure 280 may be in a range from 5 nm to 100 nm, such as from 10 m to 50 nm, although lesser and greater thicknesses may also be employed. A void 389′ is present in an unfilled volume of each integrated line-and-via cavity 389.


Referring to FIG. 25D, a thermal anneal process can be performed to induce reaction between the first metal of the first metal interconnect structure 280 and the semiconductor material of the semiconductor material layer 372. A metal-semiconductor alloy portion 376 comprising, and/or consisting essentially of, a first metal-semiconductor alloy of the first metal and the semiconductor material of the semiconductor material layer 372 can be formed at the bottom of each via cavity 389V. The metal-semiconductor alloy portion 376 includes atoms of the first metal from the first metal interconnect structure 280 and atoms of the semiconductor material from the semiconductor material layer 372. As such, the metal-semiconductor alloy portion 376 includes a lower portion embedded within a remaining portion of the first metal interconnect structure 280 (that is not incorporated into the metal-semiconductor alloy portion 376) and an upper portion that is located within the bottom region of a via cavity 389V.


In one embodiment, the first metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof, and the semiconductor material may be selected from silicon, germanium, or a silicon germanium alloy. The metal-semiconductor alloy portion 376 may comprise, and/or may consist essentially of, a silicide, a germanide, or a germano-silicide (i.e., silicide-germanide) of one or more of Cu, W, Ni, Co, Mo, Ta, Ti, and Pt. Copper-semiconductor alloys are known in the art, and are described, for example, in Aboelfotoh et al., Microstructure and electrically resistivity of Cu and Cu3Ge thin films on Si1-xGex alloy layer, Journal of Applied Physics, Vol. 87, No. 1 (2000), both of which are incorporated herein by reference in their entirety. The elevated temperature of the anneal process that forms the metal-semiconductor alloy portion 376 may be in a range from 350 degrees Celsius to 600 degrees Celsius, although lesser and greater temperatures may also be employed. A furnace anneal, a rapid thermal anneal, or a laser anneal may be employed.


Referring to FIG. 25E, a selective etch process can be performed to remove remaining portions of the semiconductor material layer 372 selective to the materials of the metal-semiconductor alloy portion 376 and the second dielectric material layer 360. In one embodiment, the selective etch process may comprise a wet etch process that etches the semiconductor material of the semiconductor material layer 372 selective to first metal-semiconductor alloy of the metal-semiconductor alloy portion 376. For example, the selective etch process may comprise a wet etch process employing potassium hydroxide, sodium hydroxide, hot trimethyl-2 hydroxyethyl ammonium hydroxide (“hot TMY”) or tetramethylammonium hydroxide (TMAH).


A metal-semiconductor alloy portion 376 can be formed at least in a lower region of a via portion of each integrated line-and-via cavity 389, and may comprise a portion embedded within the first metal interconnect structure 280. In one embodiment, a lower portion of the metal-semiconductor alloy portion 376 may be embedded within (i.e., located below the top surface of) the first dielectric material layer 260, and may comprise an annular horizontal surface that contacts a bottom surface of the second dielectric material layer 360. An upper portion of the metal-semiconductor alloy portion 376 fills a lower region of the via portion of the integrated line-and-via cavity 389. In one embodiment, the lower portion of the metal-semiconductor alloy portion 376 comprises a contoured bottom surface having a greater depth within an area enclosed by a bottom periphery of the via portion of the integrated line-and-via cavity 389 in a plan view (i.e., a view along a vertical direction) than outside the area enclosed by the bottom periphery of the via portion of the integrated line-and-via cavity 389 in the plan view.


In one embodiment, a bottommost surface segment of the metal-semiconductor alloy portion 376 may be located below a horizontal plane including an interface between the first dielectric material layer 260 and the second dielectric material layer 360. The vertical distance between the bottommost surface segment of the metal-semiconductor alloy portion 376 and the horizontal plane including the interface between the first dielectric material layer 260 and the second dielectric material layer 260 may be in a range from 1 nm to 60 nm, such as from 3 nm to 30 nm, although lesser and greater vertical distances may also be employed. In one embodiment, the bottommost surface segment of the metal-semiconductor alloy portion 376 may be adjoined to a convex tapered surface segment of the metal-semiconductor alloy portion 376 that contacts a concave tapered surface segment of the first metal interconnect structure 280 (which may be a concave tapered surface segment of the first metallic material portion 284).


In one embodiment, a top surface of the metal-semiconductor alloy portion 376 may be located above the horizontal plane including the interface between the first dielectric material layer 260 and the second dielectric material layer 360. In one embodiment, the metal-semiconductor alloy portion 376 may have a contoured top surface such that a periphery of the contoured top surface is vertically offset by a greater distance from the horizontal plane including a top surface of the first dielectric material layer 260 than a center portion of the contoured top surface is vertically offset from the horizontal plane. In one embodiment, the contoured top surface of the metal-semiconductor alloy portion 376 may comprise a central flat surface segment and a concave peripheral surface segment that is adjoined to the central flat surface segment and having a periphery that contacts a sidewall of the via portion of the integrated line-and-via cavity 389. The vertical distance between the central flat surface segment of the metal-semiconductor alloy portion 376 and the horizontal plane including the interface between the first dielectric material layer 260 and the second dielectric material layer 360 may be in a range from 1 nm to 60 nm, such as from 3 nm to 30 nm, although lesser and greater vertical distances may also be employed. An upper segment of the sidewall of the via portion of the integrated line-and-via cavity 389 may be physically exposed to the void 389′ that overlies the metal-semiconductor alloy portion 376.


Referring to FIGS. 25F and 25G, an optional metallic liner 382 can be deposited on a top surface of the metal-semiconductor alloy portion 376 and on physically exposed surfaces of the integrated line-and-via cavity 389 and over the second dielectric material layer 260. For example, the metallic liner 382 may comprise, and/or consist essentially of, Ti, Ta, TiN, TaN, WN, TiC, TaC, and/or WC. In one embodiment, the metallic liner 382 may comprise a metal that is different from the first metal of the first metallic material portion 284 by an atomic percentage that is at least 40%. The metal-semiconductor alloy portion 280 may contact a bottom surface of the metallic liner 382. The metallic liner 382 (if present) may be deposited by a conformal or non-conformal deposition process. The thickness of the metallic liner 382, as measured over the top surface of the metal-semiconductor alloy portion 376, may be in a range from 1 nm to 60 nm, such as from 3 nm to 30 nm, although lesser and greater thicknesses may also be employed.


Subsequently, a metallic fill material comprising, and/or consisting essentially of, a second metal can be deposited over the metallic liner 382 to fill remaining volumes of the integrated line-and-via cavity 389. The second metal may be the same as, or may be different from, the first metal. The second metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the second metal may be Cu. In one embodiment, the deposited metallic fill material comprises the second metal at a second atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. The metallic material may be deposited by electroplating, electroless plating, physical vapor deposition, chemical vapor deposition, or a combination thereof.


Portions of the metallic fill material and the metallic liner 382 located above the horizontal plane including the top surface of the second dielectric material layer 360 can be removed by a planarization process such as a chemical mechanical polishing process. Each set of continuously extending remaining portions of the metallic fill material and the metallic liner 382 comprises a second metal interconnect structure 380 that includes a respective integrated line-and-via structure. Generally, a second metal interconnect structure 380 may comprise an integrated line-and-via structure that includes a respective metallic liner 382 and a respective second metallic material portion 384. Each second metallic material portion 384 can be a remaining portion of the metallic fill material comprising the second metal.


Each integrated line-and-via structure may be formed in a respective integrated line-and-via cavity 389 that vertically extends through the second dielectric material layer 360, and may fill the entirety of a line portion (i.e., the line cavity 389L) of the integrated line-and-via cavity 389 and an upper region of a via portion (i.e., the via cavity 389V) of the integrated line-and-via cavity 389.


In one embodiment, an integrated line-and-via structure may comprise a second metallic material portion 384 including the second metal, and a metallic liner 382 (which is also referred to as a second metallic liner). The integrated line-and-via structure may include a metallic line portion 380L and a metallic via portion 380V adjoined to, and underlying, the metallic line portion 380L.


In one embodiment, a lower portion of a metal-semiconductor alloy portion 376 may be embedded within the first dielectric material layer 260, and comprises an annular horizontal surface that contacts a bottom surface of the second dielectric material layer 360. In one embodiment, the lower portion of the metal-semiconductor alloy portion 376 may comprise a contoured bottom surface having a greater depth within an area enclosed by a bottom periphery of the via portion of the integrated line-and-via structure in a plan view than outside the area enclosed by the bottom periphery of the via portion of the integrated line-and-via structure in the plan view. For example, the bottom periphery of the via portion of the integrated line-and-via structure may coincide with the inner periphery 376_IP of the annular horizontal surface of the lower portion of the metal-semiconductor alloy portion 376. The outer periphery 376_OP of the annular horizontal surface of the lower portion of the meal-semiconductor alloy portion 376 may be laterally offset outward from the inner periphery 376_IP in the plan view illustrated in FIG. 25G.


In one embodiment, the first metallic material portion 284 comprises the first metal at a first atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. The second metallic material portion 384 comprises the second metal at a second atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. The metallic liner 382 (i.e., the second metallic liner) extends vertically from a horizontal plane including a topmost surface of the second metallic material portion 384 to the metal-semiconductor alloy portion 376, and may comprise a third metal that is different from the first metal and/or from the second metal by an atomic percentage that is at least 40%. In one embodiment, the first metal comprises Cu or W, the second metal comprises Cu and the third metal may comprise Ti or Ta.


The metal-semiconductor alloy portion 376 may contact a bottom surface of the metallic liner 382, and is vertically spaced from the second metallic material portion 384 by a bottom portion of the metallic liner 382. The metal-semiconductor alloy portion 376 is located underneath the second metallic material portion 384, and contacts a top surface of the first metal interconnect structure 280.



FIGS. 26A and 26B are sequential vertical cross-sectional views of a region of a fifth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.


Referring to FIG. 26A, the fifth exemplary structure may be derived from the fourth exemplary structure by forming a metal-semiconductor alloy portion 376 employing in-situ metal-semiconductor alloy growth process. The in-situ metal-semiconductor alloy growth process deposits the metal-semiconductor material alloy selectively only from physically exposed metallic surfaces while suppressing deposition of the semiconductor material from dielectric surfaces such as the surfaces of the second dielectric material layer 360.


In one embodiment, the in-situ metal-semiconductor alloy growth process comprises providing a copper first metallic material portion 284 exposed in the integrated line-and-via cavity 389. A Group IV element (e.g., Si and/or Ge) containing gas, such as silane and/or germane is flown over the exposed upper surface of copper first metallic material portion 284 at an elevated temperature. The Group IV atoms (e.g., Si or Ge) from the Group IV semiconductor containing gas react with the exposed metal (e.g., copper) surface to form a metal-semiconductor alloy portion 376, such as a copper silicide (e.g., Cu3Si or Cu5Si) portion, depending on the temperature. The temperature may range from 190 to 365 degrees Celsius, as described in Hymes et al., Thermal stability of copper silicide passivation layers in copper-based multilevel interconnects, Journal of Applied Physics, Vol. 83, No. 8 (1998), incorporated herein by reference in its entirety. Other Group IV semiconductor gases and/or other metal surfaces may be used to form different metal-semiconductor alloy portion 376 compositions.


Referring to FIG. 26B, the processing steps of FIGS. 25F and 25G can be performed to form a second metal interconnect structure 380 in the void 389′ of the integrated line-and-via cavity 389 that is not filled with the metal-semiconductor alloy portion 376. The material composition and the thickness of the metallic liner 382 (i.e., the second metallic liner) may be the same as in the fourth exemplary structure. The second metallic material portion may have the same material composition as in the fourth exemplary structure. The metal-semiconductor alloy portion 376 may contact a bottom surface of the metallic liner 382, and is vertically spaced from the second metallic material portion 384 by a bottom portion of the metallic liner 382. The metal-semiconductor alloy portion 376 is located underneath the second metallic material portion 384, and contacts a top surface of the first metal interconnect structure 380.



FIGS. 27A-27E are sequential vertical cross-sectional views of a region of a sixth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.


Referring to FIG. 27A, the sixth exemplary structure according to an embodiment of the present disclosure may be the same as the fourth exemplary structure illustrated in FIG. 25D.


Referring to FIG. 27B, the semiconductor material layer 372 may be optionally thinned selective to the metal-semiconductor alloy portion 376. For example, a wet etch process employing TMY or TMAH may be performed to isotropically recess the semiconductor material layer 372. The thickness of the semiconductor material layer 372, as measured over a sidewall of a via cavity, may be in a range from 1 nm to 30 nm, such as from 3 nm to 15 nm, although lesser and greater thicknesses may also be employed. The processing step of FIG. 27B is optional, and may be omitted.


Referring to FIG. 27C, a metal layer 381L may be deposited directly on a physically exposed top surface segment of the metal-semiconductor alloy portion 376 and directly on the semiconductor material layer 372. The metal layer 381L includes a metal, which is hereafter referred to as a third metal, which can form a metal-semiconductor alloy with the semiconductor material layer 372. The third metal may be different from the first metal in the first metallic material portion 284. The semiconductor material layer 372 comprises a remaining portion of the deposited semiconductor material that remains after formation of the metal-semiconductor alloy portion 376.


In one embodiment, the third metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the third metal may be Ni, Co or Ti. In one embodiment, the metal layer 381L comprises the third metal at an atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. In one embodiment, the metal layer 381L may consist essentially of the third metal. The metal layer 381L may be deposited by a conformal or a non-conformal deposition process. The thickness of the metal layer 381L, as measured over a sidewall of a via cavity, may be in a range from 1 nm to 30 nm, such as from 3 nm to 15 nm, although lesser and greater thicknesses may also be employed.


Referring to FIG. 27D, another thermal anneal process can be performed to induce reaction between the third metal of the metal layer 381L and the semiconductor material of the semiconductor material layer 372. A metal-semiconductor alloy liner 383 comprising a second metal-semiconductor alloy of the third metal and the semiconductor material can be formed by reacting the remaining unreacted portion of the semiconductor material of the semiconductor material layer 372 with the metal layer 381L. The third metal may be different from the first metal, and thus, the second metal-semiconductor alloy of the liner 383 may have a different material composition than the first metal-semiconductor alloy of portion 376. The metal-semiconductor alloy liner 383 can be formed on an upper segment of a sidewall of each via cavity, on a bottom surface and sidewalls of the line cavity, and on the top surface of the second dielectric material layer 360. The thickness of the metal-semiconductor alloy liner 383, as measured over a sidewall of a via cavity, may be in a range from 2 nm to 60 nm, such as from 6 nm to 30 nm, although lesser and greater thicknesses may also be employed.


In one embodiment, the third metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof, and the semiconductor material may be selected from silicon, germanium, or a silicon-germanium alloy. The metal-semiconductor alloy liner 383 may comprise, and/or may consist essentially of, a silicide, a germanide, or a germano-silicide of one or more of Cu, W, Ni, Co, Mo, Ta, Ti, Pt. The elevated temperature of the anneal process that forms the metal-semiconductor alloy liner 383 may be in a range from 350 degrees Celsius to 600 degrees Celsius, although lesser and greater temperatures may also be employed. A furnace anneal, a rapid thermal anneal, or a laser anneal may be employed. In one embodiment, the elevated temperature of the anneal process that forms the metal-semiconductor alloy liner 383 may be lower than the elevated temperature of the anneal process that forms the metal-semiconductor alloy portion 376 to prevent thermal de-stabilization of the metal-semiconductor alloy of the metal-semiconductor alloy portion 376.


In one embodiment, an unreacted portion of the metal layer 381L may remain on a top surface of the metal-semiconductor alloy portion 376. The unreacted portion of the metal layer 381L constitutes a metal portion 381, which may consist essentially of the third metal. The metal portion 381 may contact a top surface of the metal-semiconductor alloy portion 376.


Referring to FIG. 27E, a metallic fill material comprising, and/or consisting essentially of, the second metal can be deposited over the metal-semiconductor alloy liner 383 to fill remaining volumes of the integrated line-and-via cavity 389. The second metal may be the same as, or may be different from, the first metal. The second metal may be the same as, or may be different from, the third metal. As discussed above, the third metal may be different from the first metal. The second metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the second metal may be Cu. In one embodiment, the deposited metallic fill material comprises the second metal at a second atomic percentage that is greater than 90%, which may be greater than 95% and/or 98%. The metallic material may be deposited by electroplating, electroless plating, physical vapor deposition, chemical vapor deposition, or a combination thereof.


Portions of the metallic fill material and the metal-semiconductor alloy liner 383 located above the horizontal plane including the top surface of the second dielectric material layer 360 can be removed by a planarization process such as a chemical mechanical polishing process. Each set of continuously extending remaining portions of the metallic fill material, the metal-semiconductor alloy liner 383, and at least one metal portion 381 comprises a second metal interconnect structure 380 that includes a respective integrated line-and-via structure. Generally, a second metal interconnect structure 380 may comprise an integrated line-and-via structure that includes a respective metallic liner (comprising the metal-semiconductor alloy liner 383) and a respective second metallic material portion 384. Each second metallic material portion 384 can be a remaining portion of the metallic fill material comprising the second metal.


Each integrated line-and-via structure may be formed in a respective integrated line-and-via cavity 389 that vertically extends through the second dielectric material layer 360, and may fill the entirety of a line portion (i.e., the line cavity 389L) of the integrated line-and-via cavity 389 and an upper region of a via portion (i.e., the via cavity 389V) of the integrated line-and-via cavity 389.


In one embodiment, an integrated line-and-via structure may comprise the second metallic material portion 384 including the second metal, the metal-semiconductor alloy liner 383, and the metal portion 381. The integrated line-and-via structure may include a metallic line portion 380L and a metallic via portion 380V adjoined to, and underlying, the metallic line portion 380L.


The metal-semiconductor alloy liner 383 extends vertically from a horizontal plane including a topmost surface of the metal portion 381, and may comprise a third metal that is different from the first metal and/or from the second metal by an atomic percentage that is at least 40%. In one embodiment, the first metal comprises Cu or W, the second metal comprises Cu, and the third metal may comprise Ni, Co or Ti.


The metal-semiconductor alloy portion 376 may contact a bottom surface of the metal-semiconductor alloy liner 383 and a bottom surface of the metal portion 381, and is vertically spaced from the second metallic material portion 384 by the metal portion 381. The metal-semiconductor alloy portion 376 is located underneath the second metallic material portion 384, and contacts a top surface of the first metal interconnect structure 280. In one embodiment, the metal portion 381 may consist essentially of the third metal, may contact a top surface of the metal-semiconductor alloy portion 376, and may contact bottom surfaces of the metal-semiconductor alloy liner 383 and the second metallic material portion 384.



FIGS. 28A-28D are sequential vertical cross-sectional views of a region of a seventh exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.


Referring to FIG. 28A, the seventh exemplary structure according to an embodiment of the present disclosure may be the same as the fourth exemplary structure illustrated in FIG. 25C with an optional adjustment in reducing the thickness of the semiconductor material layer 372 (e.g., by etching or depositing a thinner layer). The semiconductor material layer 372 may be deposited conformally or non-conformally. The thickness of the semiconductor material layer 372 directly above the top surface of a first metal interconnect structure 280 may be in a range from 5 nm to 100 nm, such as from 10 m to 50 nm, although lesser and greater thicknesses may also be employed. A void 389′ is present in an unfilled volume of each integrated line-and-via cavity 389.


Referring to FIG. 28B, a metal layer 381L may be deposited directly on the semiconductor material layer 372. The metal layer 381L is vertically spaced from the metal-semiconductor alloy portion 376 by the semiconductor material layer 372. The metal layer 381L includes a metal, which is hereafter referred to as a third metal. The third metal may be different from the first metal in the first metallic material portion 284. The third metal may be the same as, or may be different from, the second metal described above.


According to an aspect of the present disclosure, the first metal of the first metallic material portion 284 may be selected from metals can form a first metal-semiconductor alloy upon reaction with the semiconductor material of the semiconductor material layer 372, and the third metal of the metal layer 381L may be selected from metals that can form a second metal-semiconductor alloy upon reaction with the semiconductor material of the semiconductor material layer 372. The third metal can be different from the first metal. In one embodiment, the first metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the first metal may be Cu or W. In one embodiment, the third metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the third metal may be Ni, Co or Ti. In one embodiment, the first metallic material portion 284 comprises the first metal at an atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. In one embodiment, the first metallic material portion 284 may consist essentially of the first metal. In one embodiment, the metal layer 381L comprises the third metal at an atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. In one embodiment, the metal layer 381L may consist essentially of the third metal. The thickness of the metal layer 381L, as measured over a sidewall of a via cavity, may be in a range from 1 nm to 30 nm, such as from 3 nm to 15 nm, although lesser and greater thicknesses may also be employed.


Referring to FIG. 28C, a thermal anneal process can be performed to induce reaction between the first metal of the first metallic material portion 284 and the semiconductor material of the semiconductor material layer 372, and between the third metal of the metal layer 381L and the semiconductor material of the semiconductor material layer 372. The elevated temperature of the thermal anneal may be in a range from 350 degrees Celsius to 600 degrees Celsius, although lesser and greater temperatures may also be employed. A furnace anneal, a rapid thermal anneal, or a laser anneal may be employed.


A metal-semiconductor alloy portion 376 comprising, and/or consisting essentially of, a first metal-semiconductor alloy of the first metal and the semiconductor material of the semiconductor material layer 372 can be formed at the bottom of each via cavity 389V. The metal-semiconductor alloy portion 376 includes atoms of the first metal from the first metal interconnect structure 280 and atoms of the semiconductor material from the semiconductor material layer 372. As such, the metal-semiconductor alloy portion 376 includes a lower portion embedded within a remaining portion of the first metal interconnect structure 280 (that is not incorporated into the metal-semiconductor alloy portion 376) and an upper portion that is located within the bottom region of a via cavity 389V.


In one embodiment, the first metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof, and the semiconductor material may be selected from silicon, germanium, or a silicon germanium alloy. The metal-semiconductor alloy portion 376 may comprise, and/or may consist essentially of, a silicide, a germanide, or a germano-silicide of one or more of Cu, W, Ni, Co, Mo, Ta, Ti, or Pt. In one embodiment, the metal-semiconductor alloy portion 376 may comprise copper silicide or tungsten silicide.


A metal-semiconductor alloy liner 383 comprising a second metal-semiconductor alloy of the third metal and the semiconductor material can be formed by reacting the semiconductor material of the semiconductor material layer 372 with the metal layer 381L. As such, the metal-semiconductor alloy liner 383 comprises second metal-semiconductor alloy. The second metal-semiconductor alloy may be different from the first metal-semiconductor alloy in material composition. The metal-semiconductor alloy liner 383 can be formed on a top surface of the metal-semiconductor alloy portion 376, on an upper segment of a sidewall of each via cavity, on a bottom surface and sidewalls of the line cavity, and on the top surface of the second dielectric material layer 360. The thickness of the metal-semiconductor alloy liner 383, as measured over a sidewall of a via cavity, may be in a range from 2 nm to 60 nm, such as from 6 nm to 30 nm, although lesser and greater thicknesses may also be employed.


In one embodiment, the third metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof, and the semiconductor material may be selected from silicon, germanium, or a silicon germanium alloy. The metal-semiconductor alloy liner 383 may comprise, and/or may consist essentially of, a silicide, a germanide, or a germano-silicide of one or more of Cu, W, Ni, Co, Mo, Ta, Ti, or Pt. In one embodiment, the metal-semiconductor alloy liner 383 may comprise nickel silicide, cobalt silicide or titanium silicide.


Referring to FIG. 28D, a metallic fill material comprising, and/or consisting essentially of, a second metal can be deposited over the metal-semiconductor alloy liner 383 to fill remaining volumes of the integrated line-and-via cavity 389. The second metal may be the same as, or may be different from, the first metal. The second metal may be the same as, or may be different from, the third metal. As discussed above, the third metal is different from the first metal. The second metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the second metal may be Cu. In one embodiment, the deposited metallic fill material comprises the second metal at a second atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. The metallic material may be deposited by electroplating, electroless plating, physical vapor deposition, chemical vapor deposition, or a combination thereof.


Portions of the metallic fill material and the metal-semiconductor alloy liner 383 located above the horizontal plane including the top surface of the second dielectric material layer 360 can be removed by a planarization process such as a chemical mechanical polishing process. Each set of continuously extending remaining portions of the metallic fill material and the metal-semiconductor alloy liner 383 comprises a second metal interconnect structure 380 that includes a respective integrated line-and-via structure. Generally, a second metal interconnect structure 380 may comprise an integrated line-and-via structure that includes a respective metallic liner (comprising the metal-semiconductor alloy liner 383) and a respective second metallic material portion 384. Each second metallic material portion 384 can be a remaining portion of the metallic fill material comprising the second metal.


Each integrated line-and-via structure may be formed in a respective integrated line-and-via cavity 389 that vertically extends through the second dielectric material layer 360, and may fill the entirety of a line portion (i.e., the line cavity 389L) of the integrated line-and-via cavity 389 and an upper region of a via portion (i.e., the via cavity 389V) of the integrated line-and-via cavity 389.


In one embodiment, an integrated line-and-via structure may comprise a second metallic material portion 384 including the second metal and a metal-semiconductor alloy liner 383. The integrated line-and-via structure may include a metallic line portion 380L and a metallic via portion 380V adjoined to, and underlying, the metallic line portion 380L.


The metal-semiconductor alloy liner 383 extends vertically from a horizontal plane including a topmost surface of the second metallic material portion 384 to the metal-semiconductor alloy portion 376, and may comprise a third metal that is different from the first metal and/or from the second metal by an atomic percentage that is at least 40%. In one embodiment, the first metal comprises Cu or W, the second metal comprises Cu, and the third metal may comprise Ni, Co or Ti.


The metal-semiconductor alloy portion 376 may contact a bottom surface of the metal-semiconductor alloy liner 383, and is vertically spaced from the second metallic material portion 384 by the metal-semiconductor alloy liner 383. The metal-semiconductor alloy portion 376 is located underneath the second metallic material portion 384, and contacts a top surface of the first metal interconnect structure 380.



FIGS. 29A-29D are sequential vertical cross-sectional views of a region of an eighth exemplary structure during formation of metal interconnect structures according to an embodiment of the present disclosure.


Referring to FIG. 29A, the eighth exemplary structure according to an embodiment of the present disclosure may be the same as the fourth exemplary structure illustrated in FIG. 25C with an optional adjustment in the thickness of the semiconductor material layer 372. The semiconductor material layer 372 may be deposited conformally or non-conformally. The thickness of the semiconductor material layer 372 directly above the top surface of a first metal interconnect structure 280 may be in a range from 5 nm to 100 nm, such as from 10 m to 50 nm, although lesser and greater thicknesses may also be employed. A void 389′ is present in an unfilled volume of each integrated line-and-via cavity 389.


Referring to FIG. 29B, a metal layer 391L may be deposited directly on the semiconductor material layer 372. The metal layer 391L is vertically spaced from the metal-semiconductor alloy portion 376 by the semiconductor material layer 372. The metal layer 391L includes the first metal, which is the metal contained within the first metallic material portion 284.


According to an aspect of the present disclosure, the first metal of the first metallic material portion 284 and the metal layer 391L may be selected from metals that can form a first metal-semiconductor alloy upon reaction with the semiconductor material of the semiconductor material layer 372. In one embodiment, the first metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the first metal may be Cu. In one embodiment, the first metallic material portion 284 comprises the first metal at an atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. In one embodiment, the first metallic material portion 284 may consist essentially of the first metal. In one embodiment, the metal layer 391L comprises the first metal at an atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. In one embodiment, the metal layer 391L may consist essentially of the first metal. The metal layer 391L may be deposited by a conformal or a non-conformal deposition process. The thickness of the metal layer 391L, as measured over a sidewall of a via cavity, may be in a range from 1 nm to 30 nm, such as from 3 nm to 15 nm, although lesser and greater thicknesses may also be employed.


Referring to FIG. 29C, a thermal anneal process can be performed to induce reaction between the first metal of the metal layer 391L and the first metal of the first metallic material portion 284 and the semiconductor material of the semiconductor material layer 372. The elevated temperature of the thermal anneal may be in a range from 350 degrees Celsius to 600 degrees Celsius, although lesser and greater temperatures may also be employed. A furnace anneal, a rapid thermal anneal, or a laser anneal may be employed.


A metal-semiconductor alloy liner 393 comprising a metal-semiconductor alloy of the first metal and the semiconductor material can be formed by reacting the semiconductor material of the semiconductor material layer 372 with the metal layer 391L and with the first metallic material portion 284. The metal-semiconductor alloy liner 393 can be formed on an upper segment of a sidewall of each via cavity, on a bottom surface and sidewalls of the line cavity, and on the top surface of the second dielectric material layer 360. The thickness of the metal-semiconductor alloy liner 393, as measured over a sidewall of a via cavity, may be in a range from 2 nm to 60 nm, such as from 6 nm to 30 nm, although lesser and greater thicknesses may also be employed.


In one embodiment, the first metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof, and the semiconductor material may be selected from silicon, germanium, or a silicon germanium alloy. The metal-semiconductor alloy liner 393 may comprise, and/or may consist essentially of, a silicide, a germanide, or a germano-silicide of one or more of Cu, W, Ni, Co, Mo, Ta, Ti, Pt. In one embodiment, the metal-semiconductor alloy liner 393 may comprise copper silicide.


A bottom portion of the metal-semiconductor alloy liner 393 comprises the metal-semiconductor alloy portion 376. The metal-semiconductor alloy portion 376 can be formed at the bottom of each via cavity 389V. The metal-semiconductor alloy portion 376 includes atoms of the first metal from the first metal interconnect structure 280 and from a bottom portion of the metal layer 391L, and atoms of the semiconductor material from the semiconductor material layer 372. As such, the metal-semiconductor alloy portion 376 includes a lower portion embedded within a remaining portion of the first metal interconnect structure 280 (that is not incorporated into the metal-semiconductor alloy portion 376) and an upper portion that is located within the bottom region of a via cavity 389V.


In one embodiment, the first metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof, and the semiconductor material may be selected from silicon, germanium, or a silicon germanium alloy. The metal-semiconductor alloy portion 376 may comprise, and/or may consist essentially of, a silicide, a germanide, or a germano-silicide of one or more of Cu, W, Ni, Co, Mo, Ta, Ti, and Pt. In one embodiment, the metal-semiconductor alloy portion 376 also comprises copper silicide.


Referring to FIG. 29D, a metallic fill material comprising, and/or consisting essentially of, a second metal can be deposited over the metal-semiconductor alloy liner 393 to fill remaining volumes of the integrated line-and-via cavity 389. The second metal may be the same as, or may be different from, the first metal. The second metal may be selected from Cu, W, Ni, Co, Mo, Ta, Ti, Pt, or an alloy thereof. In one embodiment, the second metal may be Cu. In one embodiment, the deposited metallic fill material comprises the second metal at a second atomic percentage that is greater than 90%, which may be greater than 95% and/or 98 to 100%. The metallic material may be deposited by electroplating, electroless plating, physical vapor deposition, chemical vapor deposition, or a combination thereof.


Portions of the metallic fill material and the metal-semiconductor alloy liner 393 located above the horizontal plane including the top surface of the second dielectric material layer 360 can be removed by a planarization process such as a chemical mechanical polishing process. Each set of continuously extending remaining portions of the metallic fill material and the metal-semiconductor alloy liner 393 comprises a second metal interconnect structure 380 that includes a respective integrated line-and-via structure. Generally, a second metal interconnect structure 380 may comprise an integrated line-and-via structure that includes a respective metallic liner (comprising the metal-semiconductor alloy liner 393 and a respective second metallic material portion 384. Each second metallic material portion 384 can be a remaining portion of the metallic fill material comprising the second metal.


Each integrated line-and-via structure may be formed in a respective integrated line-and-via cavity 389 that vertically extends through the second dielectric material layer 360, and may fill the entirety of a line portion (i.e., the line cavity 389L) of the integrated line-and-via cavity 389 and an upper region of a via portion (i.e., the via cavity 389V) of the integrated line-and-via cavity 389.


In one embodiment, an integrated line-and-via structure may comprise a second metallic material portion 384 including the second metal and a metal-semiconductor alloy liner 393. The integrated line-and-via structure may include a metallic line portion 380L and a metallic via portion 380V adjoined to, and underlying, the metallic line portion 380L.


The metal-semiconductor alloy portion 376 is a bottom portion of the metal-semiconductor alloy liner 393, and is vertically spaced from the second metallic material portion 384 by the top portion of the metal-semiconductor alloy liner 393. The metal-semiconductor alloy portion 376 is located underneath the second metallic material portion 384, and contacts a top surface of the first metal interconnect structure 280.


The various embodiments of the present disclosure may be employed to provide metal interconnect structures that can fill high-aspect-ratio via cavities. For example, use of a metal-semiconductor alloy portion 376 can fill the bottommost portion of a via cavity 389V, and can effectively reduce the aspect ratio of the void within the via cavity 389 that needs to be subsequently filled with a metal interconnect structure (such as a second metal interconnect structure 380). By increasing the filled volume at the bottom of high-aspect-ratio via cavities and by eliminating or reducing a void within a via cavity, metal interconnect structures with high reliability may be provided.


Furthermore, the silicide and/or germanide material may act as a diffusion barrier for copper or tungsten metals and may have a lower resistivity than typical metal nitride, metal carbide, tantalum or titanium metal diffusion barriers for copper or tungsten metals. Silicide and/or germanide formation also leads to a volume expansion for copper metals and therefore provides aspect ratio reduction.



FIG. 30A is schematic vertical cross-sectional view of the ninth exemplary structure after formation of via cavities according to another embodiment (e.g., ninth embodiment) of the present disclosure. The ninth exemplary structure illustrated in FIG. 30A may be derived from the first exemplary structure illustrated in FIG. 16A by omitting formation of the optional conductive cap plates (198, 196, 19P) from the top surface of the connection-level metal interconnect structures (98, 96, 9P) in the step illustrated in FIG. 14. Furthermore, the via cavities (113, 213, 313) may optionally be formed during a separate photolithography and etch step than the line cavities (115, 215, 315) in the ninth embodiment. FIG. 30B is a close up of an upper portion “B” of the memory array region 100 of FIG. 30A.


Referring to FIG. 31A, a conductive liner layer 416L is deposited in the integrated line-and-via cavities {(115, 113), (215, 213), or (315, 313)}, in the line cavities (115, 215, 315) and over the exposed portions of the top surface of the line-and-via-level dielectric layer 130. While only one integrated line-and-via cavity (115, 113) and one line cavity 115 are shown in FIG. 31A, it should be understood that the conductive liner layer 416L may be simultaneously deposited into plural line-and-via cavities {(115, 113), (215, 213), or (315, 313)} and line cavities (115, 215, 315) which are shown in FIG. 30A. The line cavities are shallower than the integrated line-and-via cavities.


The conductive liner layer 416L may comprise a conductive metal nitride layer, such as TaN, WN, TiN or MoN. The conductive liner layer 416L may be non-selectively deposited by any suitable method, such as ALD, CVD or sputtering. Preferably, the conductive liner layer 416L comprises a TaN layer deposited by ALD. The conductive liner layer 416L thickness may be in a range from 2 nm to 30 nm, such as from 4 nm to 20 nm.


Referring to FIG. 31B, a cobalt liner layer 417L is deposited on the conductive liner layer 416L in the integrated line-and-via cavities {(115, 113), (215, 213), or (315, 313)}, in the line cavities (115, 215, 315) and over flat portions of the upper surface of the line-and-via-level dielectric layer 130. The cobalt liner layer 417L may comprise at least 90 atomic percent cobalt and be non-selectively deposited by any suitable method, such as ALD, CVD or sputtering. The cobalt liner layer 417L thickness may be in a range from 1 nm to 10 nm, such as from 3 nm to 6 nm.


Referring to FIG. 31C, cobalt-based conductive via structures 414 are selectively grown in lower portions of the integrated line-and-via cavities {(115, 113), (215, 213), or (315, 313)} on the cobalt liner layer 417L. The cobalt-based conductive via structure 414 may correspond to any of the conductive via structures (114, 214, 314) described above with regard to the prior embodiments. In one embodiment, the conductive via structure 414 may fill the respective via cavity 113 without filling the overlying line cavity 115. In another embodiment, the conductive via structure 414 may fill only the lower portion of the respective via cavity 113. In another embodiment, the conductive via structure 414 may fill the entire respective via cavity 113 and a lower portion of the respective overlying line cavity 115.


In the ninth embodiment, the cobalt-based conductive via structure 414 comprises pure cobalt or a cobalt alloy containing at least 90 atomic percent cobalt and 10 percent or less of one or more alloying elements. Preferably, the cobalt-based conductive via structure 414 is selectively grown by electrochemical deposition (“ECD”), which is also known as electroplating. ECD may be used to grow the cobalt-based conductive via structure 414 at the bottom of the deeper integrated line-and-via cavities (e.g., 113, 115) without growing the cobalt-based conductive via structure 414 in the shallower line cavities 115 or on flat portions of the cobalt liner layer 417L located over the flat portions of the top surface of the line-and-via-level dielectric layer 130. In one embodiment, ECD of cobalt uses a cobalt compound in an electrolyte plating bath which may contain one or more organic growth suppressor additives which permit selective growth of cobalt in deeper cavities. A current is applied to the plating bath during the cobalt deposition to form the cobalt-based conductive via structure 414. Without wishing to be bound by a particular theory, it is believed that cobalt may be selectively grown from the bottom of the deeper integrated line-and-via cavities (e.g., 113, 115) without growing the cobalt-based conductive via structure 414 in the shallower line cavities 115 or on flat portions of the cobalt liner layer 417L located over the flat portions of the top surface of the line-and-via-level dielectric layer 130 due to a difference in hydrogen concentration between the deeper integrated line-and-via cavities (e.g., 113, 115) and the shallower line cavities 115. It is believed that the hydrogen concentration in the deeper integrated line-and-via cavities (e.g., 113, 115) is lower than in the shallower line cavities 115. It is believed that the lower hydrogen concentration leads to a higher cobalt filling efficiency. Reduction of hydrogen concentration as a function of cavity depth may be enhanced by controlling the ECD process parameters, such as pH of the plating bath, substrate rotation speed, and/or current density applied to the plating bath.


Referring to FIG. 31D, the above described copper-based conductive material layer 418L is non-selectively deposited on the cobalt liner layer 417L located on the sidewalls of the integrated line-and-via cavities {(115, 113), (215, 213), or (315, 313)}, in the line cavities (115, 215, 315) and over flat portions of the upper surface of the line-and-via-level dielectric layer 130, as well as on the top surface of the cobalt-based conductive via structure 414 located in the lower portions of the integrated line-and-via cavities {(115, 113), (215, 213), or (315, 313)}. The copper-based conductive material layer 418L comprises copper at an atomic percentage that is greater than 90%, which may be greater than 95%, such as 98% to 100% The copper-based conductive material may consist essentially of copper, or may include an alloying element such as aluminum or silicon at an atomic percentage that is less than 10%, which may be less than 5%, such as 0.1% to 2%. The copper-based conductive material layer 418L may be deposited by ECD or electroless plating.


Referring to FIG. 31E, a planarization process, such as a chemical mechanical polishing (CMP) process can be performed to remove portions of the conductive liner layer 416L, the cobalt liner layer 417L and the copper-based conductive material layer 418L that are located above the horizontal plane including the top surface of the line-and-via-level dielectric layer 130.


Each remaining discrete portion of the deposited copper-based conductive material layer 418L constitutes a copper-based conductive line structure 418. The copper-based conductive line structure 418 may correspond to any of the copper-based conductive line structures (118, 218, 318) described above with regard to the prior embodiments. The copper-based conductive line structures 418 can include bit-line conductive line structures 118, word-line-connection conductive line structures 218, and/or peripheral conductive line structures 318. In one embodiment, each copper-based conductive line structure 418 comprises a top surface located within a horizontal plane including a top surface of the line-and-via-level dielectric layer 130.


Each remaining discrete portion of the conductive liner layer 416L constitutes a conductive liner 416. The conductive liner 416 may correspond to any of the conductive liners (116, 216, 316) described above with regard to the prior embodiments. The conductive liners 416 can include bit-line conductive liners 116, word-line-connection conductive liners 216, and/or peripheral conductive liners 316. In one embodiment, each conductive liner 416 comprises a top surface located within a horizontal plane including the top surface of the line-and-via-level dielectric layer 130.


Each remaining discrete portion of the cobalt liner layer 417L constitutes a cobalt liner 417. In one embodiment, each cobalt liner 417 comprises a top surface located within a horizontal plane including the top surface of the line-and-via-level dielectric layer 130. The cobalt liners 417 can include bit-line cobalt liners, word-line-connection cobalt liners, and/or peripheral cobalt liners.


Each contiguous combination of a bit-line conductive liner 116, bit-line cobalt liner 417 and a bit-line conductive line structure 118 constitutes a bit line (116, 417, 118). Each contiguous combination of a word-line-connection conductive liner 116, word-line-connection cobalt liner 417 and a word-line-connection conductive line structure 218 constitutes a word-line-connection line (216, 417, 218). Each contiguous combination of a peripheral conductive liner 316, a peripheral cobalt line structure 417, and a peripheral conductive line structure 318 constitutes a peripheral line (316, 417, 318).


Generally, a copper-based conductive line structure 418 that comprises copper at an atomic percentage that is greater than 90% can be formed in a line portion of an integrated line-and-via cavity (115, 113). Interconnect line-and-via structures (414, 416, 417, 418) are formed in the line-and-via-level dielectric layer 130.


Each of the interconnect line-and-via structures (414, 416, 417, 418) may comprise a respective cobalt-based conductive via structure 414, a respective copper-based conductive line structure 418 located over (e.g., directly on) the respective cobalt-based conductive via structure 414, a respective cobalt liner 417 laterally surrounding the respective cobalt-based conductive via structure 414 and the copper-based conductive line structure 418, and a respective conductive liner 416 laterally surrounding the respective cobalt liner 417.


Generally, the cobalt-based via structure 414 overlies and is electrically connected to a respective connection-level metal interconnect structure (98, 96, 9P), and may consist essentially of an elemental cobalt. In one embodiment, each copper-based conductive line structure 418 comprises copper at an atomic percentage that is greater than 90%, overlies a respective cobalt-based conductive via structure 414.


Referring to FIG. 32A, an alternative configuration of the ninth exemplary structure may be derived from the structure shown in FIG. 31E by forming recesses 418R in the upper portion of the copper-based conductive line structures 418. The recesses 418R may be formed by dishing of the copper-based conductive line structures 418 that occurs during the CMP step in FIG. 31E used to planarize the layers 416L, 417L and 418L.


Referring to FIG. 32B, a cobalt cap plate 419 is formed in the respective recess 418R. The cobalt cap plate 419 may be selectively grown by CVD or another selective cobalt growth method on exposed conductive surfaces of the copper-based conductive line structures 418. Alternatively, the cobalt cap plate 419 may be formed by non-selectively depositing a cobalt layer over the structure shown in FIG. 32A, followed by a CMP step to leave the cobalt cap plate 419 in the respective recess 418R. The cobalt cap thickness may be in a range from 3 nm to 30 nm, such as from 5 nm to 10 nm.


The reliability of the ninth exemplary structure may be improved by forming the cobalt-based via structure 414 below the copper-based conductive line structures 418 to reduce or avoid void formation below the copper-based conductive line structures 418. Furthermore, the optional the cobalt cap plate 419 which covers the top surface of the copper-based conductive line structure 418 further improves the reliability of the device. The method of the ninth embodiment which includes selective ECD growth of cobalt-based via conductive structure 414 also uses less steps than methods which use other conductive via structure 414 materials, such as tungsten, which may need an additional diffusion barrier layer located between its upper surface and the bottom surface of the copper-based conductive line structures 418 to reduce or prevent metal interdiffusion. In contrast, the copper-based conductive line structures 418 may be formed directly on the upper surface of the cobalt-based conductive via structure 414 without an intervening diffusion barrier layer.


Referring to the ninth embodiment and FIGS. 30A to 32B, a semiconductor structure comprises a semiconductor device (32, 46, 58) located over a substrate (9, 10), a connection-level metal interconnect structure 98 electrically connected to a node of the semiconductor device and embedded in a connection-level dielectric layer 90, and an interconnect line-and-via structure (414, 416, 417, 418) embedded in a line-and-via-level dielectric layer 130 that overlies the connection-level dielectric layer. The interconnect line-and-via structure comprises a conductive via structure 414 that overlies and is electrically connected to the connection-level metal interconnect structure 98 and that consists essentially of cobalt, and a copper-based conductive line structure 418 that comprises copper at an atomic percentage that is greater than 90%, overlies the conductive via structure 414.


In one embodiment, the interconnect line-and-via structure (414, 416, 417, 418) further comprises a cobalt liner 417 laterally surrounding the copper-based conductive line 418 structure and the conductive via structure 414, and a conductive liner 416 laterally surrounding the cobalt liner 417. In one embodiment, the conductive liner 416 comprises tantalum nitride. In one embodiment, a bottom surface of the copper-based conductive line structure 418 directly contacts a top surface the conductive via structure 414.


In the alternative embodiment of FIGS. 32A and 32B, the interconnect line-and-via structure further comprises a cobalt cap plate 419 that contacts a top surface of the copper-based conductive line structure 418.


In one embodiment, the semiconductor device comprises a three dimensional memory device comprising an alternating stack of insulating layers 32 and electrically conductive layers 46, and a memory opening fill structure 58 extending through the alternating stack and comprising a vertical semiconductor channel 60, a memory film 50 surrounding the vertical semiconductor channel, and a drain region 63 contacting a top end of the vertical semiconductor channel 60. In one embodiment, the copper-based conductive line structure 418 comprises a copper bit line which is electrically connected to the drain region 63 of the three dimensional memory device.



FIGS. 33A-33B are sequential vertical cross-sectional views of a tenth exemplary structure during formation of a metal interconnect assembly according to a tenth embodiment of the present disclosure.


Referring to FIG. 33A, the tenth exemplary structure according to the tenth embodiment of the present disclosure is illustrated. The tenth exemplary structure may be the same as the fourth exemplary structure described with reference to FIG. 25B. Generally, semiconductor devices are formed over a substrate. A first metal interconnect structure 280 embedded in a first dielectric material layer 260 can be formed over the semiconductor devices. A second dielectric material layer 360 can be formed over the first metal interconnect structure 280 and the first dielectric material layer 260. The second dielectric material layer 360 may comprise silicon oxide or organosilicate glass. A line-and-via cavity 389 can be formed in the second dielectric material layer 360. The integrated line-and-via cavity 389 comprises a via cavity 389V that overlies a physically exposed surface of the first metal interconnect structure 280 and a line cavity 389L adjoined to an upper portion of the via cavity 389V.


In one embodiment, the first metal interconnect structure 280 comprises an optional first metallic liner 282 including a first metallic barrier (i.e., diffusion barrier) material and a first metallic material portion 284 including a first metal as described above. In one embodiment, the first metal may comprise copper at an atomic percentage greater than 90%, 95%, 98%, 99%, and/or 99.8%. In one embodiment, the first metal may consist essentially of copper. The top surface of the first metal interconnect structure 280 may be formed within a horizontal plane including the top surface of the first dielectric material layer 260. In one embodiment, a copper surface of the first metallic material portion 284 may be physically exposed underneath the via cavity 389V within the integrated line-and-via cavity 389.


Referring to FIG. 33B, an organic growth suppressor material layer 377 can be formed on the physically exposed dielectric surfaces around the integrated line-and-via cavity 389 and over the second dielectric material layer 360. The growth suppressor material layer 377 comprises a self-aligned monolayer of growth suppressor molecules that combine only with the dielectric material of the second dielectric material layer 360, but are not attached to the metallic material of the first metal interconnect structure 280. According to an aspect of the present disclosure, the growth suppressor material layer 377 comprises a material that suppresses nucleation of a metal-containing precursor gas to be subsequently employed during a subsequent metal deposition process. Any combination of the material for the growth suppressor material layer 377 and the metal-containing precursor gas can be employed provided that the material for the growth suppressor material layer 377 retards nucleation of the metal-containing precursor gas.


In a non-limiting illustrative example, the growth suppressor material layer 377 may comprise a monolayer of trimethylsilane (TMS), and the metal-containing precursor gas may be a ruthenium-containing precursor gas, such as (carbonyl)-(alkylcyclohexadienyl)Ru that can be employed in a chemical vapor deposition process, or 1-ethylbenzyl-1,4-cyclohexadienyl-ruthenium that can be employed in an atomic layer deposition process in combination with oxygen.



FIG. 34A is schematic diagram illustrating a process of surface passivation of a silicon oxide surface by TMS growth suppressor material layer 377. The silicon oxide surface (e.g., the surface of the second dielectric material layer 360) contains hydroxyl groups (i.e., —OH radicals) on its surface. A growth suppressor material precursor, such as dimethylamino-trimethylsilane (DMA-TMS) is provided to the silicon oxide surface. For example, DMA-TMS may comprise a liquid that is provided onto the silicon oxide surface. DMA-TMS disassociates into dimethylamine (DMA) molecules and trimethylsilane (TMS) molecules. The TMS molecules are attached to the oxygen atoms of the hydroxyl groups on the silicon oxide surface to form the growth suppressor material layer 377, while the DMA gas molecules are removed from the silicon oxide surface by the vacuum pump of the deposition apparatus. Thus, in one embodiment, the growth suppressor material layer 377 comprises trimethylsilane molecules bonded to oxygen atoms on the silicon oxide surface. If the oxygen atoms are considered part of the growth suppressor material layer 377, then the growth suppressor material layer 377 material comprises trimethylsilanol. The growth suppressor material layer 377 is selectively formed on physically exposed surfaces of the second dielectric material layer 360, but is not attached to the physically exposed surfaces of the first metal interconnect structure 280.



FIG. 34B is a schematic diagram illustrating a change in the water contact angle over time for a hydrophobic silicon oxide surface terminated with —OH radicals and a hydrophilic copper/copper oxide surface upon passivation with dimethylamino-trimethylsilane precursor according to an embodiment of the present disclosure. Generally, exposure to dimethylamino-trimethylsilane for a time duration of over a few seconds is sufficient to attach a monolayer of trimethylsilane to the physically exposed hydrophobic silicon oxide surface of the second dielectric material layer 360. However, molecules of trimethylsilane are not attached to the hydrophilic copper surface or a copper oxide surface even after exposure to dimethylamino-trimethylsilane for a duration of 600 seconds, and the copper surface or the copper oxide surface remains hydrophilic.


Upon subsequent exposure to a metal-containing precursor gas, a metal nucleates on and grows from metallic surfaces (i.e., the copper or copper oxide surfaces of the first metal interconnect structure 280) at a much higher rate than from the growth suppressor material layer 377 located on the second dielectric material layer 360 surfaces. In one embodiment, the metal-containing precursor gas comprises (carbonyl)-(alkylcyclohexadienyl)Ru, and the metal comprises ruthenium.



FIG. 34C is a graph illustrating the dependence of deposited ruthenium thickness as a function of time over a copper surface, an organosilicate glass surface covered with the growth suppressor material layer, and a silicon oxide surface covered with the growth suppressor material layer, respectively. The thickness of ruthenium on the copper surface can be greater than the thickness of ruthenium on a growth suppressor material layer covered silicon oxide surface by a factor of about 10 or more. Generally, the selectivity of the deposition process decreases over time. In other words, the initial selectivity, as measured as the ratio of the thickness of the deposited metal over a pre-existing metal surface to the thickness of the metal over the growth suppressor material layer covered dielectric surface in the beginning of the deposition process, may be in a range from 100 to 1,000. This type of deposition process can grow the deposited metal from a pre-existing metal surface at a much higher deposition rate than from growth suppressor material layer covered dielectric surfaces, and thus, is referred to as an area selective deposition (ASD).


Referring to FIG. 35A, an area selective deposition process employing a metal-containing precursor gas is performed on the tenth exemplary structure illustrated in FIG. 33B. Generally, the material of the growth suppressor material layer 377 is selected such that the growth suppress material layer 377 is formed only over the dielectric surfaces of the second dielectric material layer 360, and not on the physically exposed surface of the first metal interconnect structure 280. The metal-containing precursor gas is selected such that nucleation of the metal-containing precursor gas is retarded over the growth suppressor material layer 377. Thus, the metal-containing precursor gas nucleates selectively on the metallic surfaces of the first metal interconnect structures 280, and a metal from the decomposed metal-containing precursor gas grows selectively from the metallic surfaces of the first metal interconnect structures 280.


Generally, a first metallic material can be deposited by performing an area selective deposition process. The first metallic material nucleates and grows from the physically exposed surface of the first metal interconnect structure 280 prior to nucleation on and growth from physically exposed surfaces of the second dielectric material layer 360. As discussed above, the selectivity of the area selective deposition process can be very high at an initial phase of the area deposition process.


In one embodiment, the area selective deposition process grows an initial first metallic material portion 4821 from a physically exposed surface of the first metal interconnect structure 280, while more than 50% of the physically exposed surfaces of the second dielectric material layer 360 are not covered by any portion of the first metallic material. In other words, atomic coverage of the decomposed metal over the growth suppressor material layer 377 can be less than 50% until the initial first metallic material portion 4821 covers the entirety of the physically exposed surface of the first metal interconnect structure 280 as a continuous metal portion without any opening therethrough.


In one embodiment, the thickness of the initial first metallic material portion 4821 may be more than 10%, and/or more than 20%, and/or more than 30%, and/or more than 50%, and/or more than 75%, and/or at least 100% (e.g., 10 to 100%) of the height of the via cavity 389V prior to surface coverage greater than 50% by the deposited metal atoms is provided over the surfaces of the second dielectric material layer 360 and over the growth suppressor material layer 377. In one embodiment, the initial first metallic material portion 4821 has a vertical thickness that is at least 50% of a height of the via cavity 389V.


In one embodiment, the first metallic material may a metal selected from ruthenium, tungsten, molybdenum, or cobalt. In one embodiment, the first metallic material consists essentially of ruthenium. A void 389′ is present within a volume of the integrated line-and-via cavity 389. The via cavity 389V portion of the integrated line-and-via cavity 389 is at least partially filled by the first metallic material portion 4821, while the line cavity 389L portion of the integrated line-and-via cavity 389 may remain substantially unfilled by the first metallic material portion 4821.


Referring to FIG. 35B, the selective deposition process can be continued until the first metallic material nucleated on the surfaces of the line cavity 389L within the integrated line-and-via cavity 389. A metallic liner comprising and/or consisting essentially of the first metallic material can be formed directly on the top surface of the first metal interconnect structure 280 and directly on the surfaces of the integrated line-and-via cavity 389 that define the volume of the integrated line-and-via cavity 389 as formed at the processing steps of FIG. 33A. The first metallic material of the metallic liner 482 is in direct contact with a dielectric material of the second dielectric material layer 360. The organic growth suppressor material layer 377 may be disintegrated during the metallic liner 482. Optionally, organic residue of the organic growth suppressor material layer 377 may remain in the integrated line-and-via cavity 389.


In one embodiment, the first metallic material comprises a metal selected from ruthenium, tungsten, molybdenum, or cobalt. In one embodiment, the first metallic material comprises the metal at an atomic percentage greater than 98%, and/or greater than 99%, and/or greater than 99.9%, or at 100%. In one embodiment, the first metallic material consists essentially of ruthenium.


In one embodiment, the metallic liner 482 completely fills the via cavity 389V portion of the integrated line-and-via cavity 389 and only partially fills the line cavity 389L portion of the integrated line-and-via cavity 389. The metallic liner 482 may be located on the bottom and sidewalls of the line cavity 389L below the void 389′.


In one embodiment, the top surface of the second dielectric material layer 360 may be located within a first horizontal plane HP1, and the bottom surface of the line cavity 389L may be located within a second horizontal plane HP2. In one embodiment, the vertical thickness of a horizontally-extending portion of the metallic liner 482 overlying the second horizontal plane HP2 may be less than the height of the via cavity 389V, which is the vertical distance between the second horizontal plane HP2 and a third horizontal plane HP3 including the bottom surface of the second dielectric material layer 360. In one embodiment, the portion of the metallic liner 482 located on the surfaces defining the volume of the line cavity 389L and over the top surface of the second dielectric material layer 360 may be conformal, i.e., may have the same thickness throughout. The thickness of the conformal portion of the metallic liner 482 may be in a range from 2 nm to 100 nm, such as from 4 nm to 50 nm, although lesser and greater thicknesses may also be employed. In one embodiment, each vertically-extending portion of the metallic liner 482 located on a sidewall of the second dielectric material layer 360 has the same thickness as the vertical thickness of the horizontally-extending portion of the metallic liner 482.


In one embodiment, a recessed physically exposed surface of the metallic liner 482 may comprise a first horizontal surface segment HSS1 having an areal overlap with an interface between the metallic liner 482 and the first metal interconnect structure 280 in a view along a vertical direction, and a second horizontal surface segment HSS2 having an areal overlap with a horizontal interface between the metallic liner 482 and the second dielectric material layer 360 in the view along the vertical direction. In one embodiment, the first horizontal surface segment HSS1 and the second horizontal surface HSS2 can be formed above the second horizontal plane HP2.


Referring to FIG. 35C, a second metallic material can be deposited in an unfilled volume of the integrated line-and-via cavity 389 on a physically exposed surface of the metallic liner 482. The second metallic material may be deposited by physical vapor deposition, chemical vapor deposition, electroplating, and/or electroless plating. The second metallic material may comprise any metallic material that can be deposited by physical vapor deposition, chemical vapor deposition, electroplating, and/or electroless plating. In one embodiment, the second metallic material may comprise and/or may consist essentially of, copper. In other words, the second metallic material may comprise pure copper or a copper alloy containing more than 50 atomic percent copper. A metallic fill material layer 484L comprising the second metallic material can fill the entirety of the unfilled volume of the line cavity 389L (i.e., fill the remaining void 389′).


Referring to FIG. 35D, portions of the second metallic material and the first metallic material that overlie the first horizontal plane HP1 (which includes a top surface of the second dielectric material layer 360) can be removed by performing a planarization process. The planarization process may comprise a recess etch process and/or a chemical mechanical polishing (CMP) process. A remaining portion of the second metallic material in the line cavity 389L constitutes a metallic fill material portion 484.


The combination of all material portions filling the integrated line-and-via cavity 389 constitutes a second metal interconnect structure 480. The second metal interconnect structure 480 comprises a metallic liner 482 including a remaining portion of the first metallic material and a metallic fill material portion 484 including a remaining portion of the second metallic material. In one embodiment, the second metallic material of the metallic fill material portion 484 does not contact the second dielectric material layer 360, and is spaced from the second dielectric material layer 360 by the metallic liner 482.


The second metal interconnect structure 480 is an integrated line-and-via structure embedded within the second dielectric material layer 360. The second metal interconnect structure 480 comprises a metal line portion 48L having a top surface located within the first horizontal plane HP1 and having a bottom surface located within the second horizontal plane HP2, and further comprises a metal via portion 48V adjoined to a bottom of the metal line portion 48L and contacting a top surface of the first metal interconnect structure 280. In one embodiment, at least a fraction of the interface between the metal via portion and the first metal interconnect structures 280 can be located within a third horizontal plane including an interface between the first dielectric material layer 260 and the second dielectric material layer 360.


In one embodiment, the second metal interconnect structure 480 comprises a metallic liner 482 comprising a first metallic material that fills an entire volume of the metal via portion 48V. In one embodiment, the bottom surface of the metal via portion 48V contacts a copper surface of the first metal interconnect structure 280. In one embodiment, the metal via portion 48V has a sidewall vertically extending between the metal line portion 48L and the first metal interconnect structure 280. The first metallic material of the metallic liner 482 has a greater vertical thickness than half of the width of the bottom portion of the metal via portion 48V. In one embodiment, the minimum lateral distance between opposing line segments of a bottom periphery of the sidewall that have parallel tangent lines is greater than twice the vertical thickness of the horizontally-extending portion of the metallic liner 482. The metal via portion 48V is formed by vertical growth of the first metallic material. Thus, the metal via portion 48V is free of any seam therein.


The interface between the metallic liner 482 and the metallic fill material portion 484 comprises: a first horizontal interface segment HIS1 having an areal overlap with an interface between the metal via portion 48V and the first metal interconnect structure 280 in a view along a vertical direction; and a second horizontal interface segment HIS2 having an areal overlap with an interface between the metal line portion 48L and the second dielectric material layer 360 in the view along the vertical direction. The first horizontal interface segment HIS1 and the second horizontal interface segment HIS2 are located above the second horizontal plane HP2. The first horizontal interface segment HIS1 may be located at, above or below the horizontal plane including the second horizontal interface segment HIS2.



FIGS. 36A and 36B are sequential vertical cross-sectional views of a first alternative configuration of the tenth exemplary structure during formation of a metal interconnect assembly according to an embodiment of the present disclosure. In the first alternative configuration the metallic liner 482 has a vertical protrusion above the top of the via cavity 389V.


Referring to FIG. 36A, the first alternative configuration of the tenth exemplary structure is illustrated at a processing step that corresponds to the processing step described with reference to FIG. 35B. The top surface of the metallic liner 482 comprises: a first horizontal surface segment HSS1 having an areal overlap with an interface between the metal via portion 48V and the first metal interconnect structure 280 in a view along a vertical direction; and a second horizontal surface segment HSS2 having an areal overlap with an interface between the metal line portion 48L and the second dielectric material layer 360 in the view along the vertical direction. The first horizontal surface segment HSS1 is formed above a horizontal plane including the second horizontal surface segment HSS2. The first alternative configuration can be provided by reducing the height of the via cavity 389V, and/or by employing an area selective deposition process that provides a higher selectivity in the deposition rate of the first metallic material.


Referring to FIG. 36B, the processing steps described with reference to FIGS. 35C and 35D can be performed to form a second metal interconnect structure 480. In one embodiment, the entirety of an interface between the metallic liner 482 and the metallic fill material is located above the second horizontal plane HP2. In one embodiment, the interface between the metallic liner 482 and the metallic fill material portion 484 comprises: a first horizontal interface segment HIS1 having an areal overlap with an interface between the metal via portion 48V and the first metal interconnect structure 280 in a view along a vertical direction; and a second horizontal interface segment HIS2 having an areal overlap with an interface between the metal line portion 48L and the second dielectric material layer 360 in the view along the vertical direction. In one embodiment, the first horizontal interface segment HIS1 is located above a horizontal plane including the second horizontal interface segment HIS2.



FIGS. 37A and 37B are sequential vertical cross-sectional views of a second alternative configuration of the tenth exemplary structure during formation of a metal interconnect assembly according to an embodiment of the present disclosure. In the second alternative configuration the metallic liner 482 has a vertical recess above the top of the via cavity 389V.


Referring to FIG. 37A, the second alternative configuration of the tenth exemplary structure is illustrated at a processing step that corresponds to the processing step described with reference to FIG. 35B. The top surface of the metallic liner 482 comprises: a first horizontal surface segment HSS1 having an areal overlap with an interface between the metal via portion 48V and the first metal interconnect structure 280 in a view along a vertical direction; and a second horizontal surface segment HSS2 having an areal overlap with an interface between the metal line portion 48L and the second dielectric material layer 360 in the view along the vertical direction. The first horizontal surface segment HSS1 is formed below a horizontal plane including the second horizontal surface segment HSS2. The second alternative configuration can be provided by increasing the height of the via cavity 389V, and/or by employing an area selective deposition process that provides a lesser selectivity in the deposition rate of the first metallic material.


Referring to FIG. 37B, the processing steps described with reference to FIGS. 35C and 35D can be performed to form a second metal interconnect structure 480. In one embodiment, the entirety of an interface between the metallic liner 482 and the metallic fill material is located above the second horizontal plane HP2. In one embodiment, the interface between the metallic liner 482 and the metallic fill material portion 484 comprises: a first horizontal interface segment HIS1 having an areal overlap with an interface between the metal via portion 48V and the first metal interconnect structure 280 in a view along a vertical direction; and a second horizontal interface segment HIS2 having an areal overlap with an interface between the metal line portion 48L and the second dielectric material layer 370 in the view along the vertical direction. In one embodiment, the first horizontal interface segment HIS1 is located below a horizontal plane including the second horizontal interface segment HIS2.


Referring to FIGS. 33A-37B and related drawings and according to various embodiments of the present disclosure, a metal interconnect assembly comprises: a first metal interconnect structure 280 embedded in a first dielectric material layer 260; and a second metal interconnect structure 480 embedded in a second dielectric material layer 360. The second metal interconnect structure 480 comprises a metal line portion 48L having a top surface located within a first horizontal plane HP1 and having a bottom surface located within a second horizontal plane HP2. The second metal interconnect structure 480 further comprises a metal via portion 48V adjoined to a bottom of the metal line portion 48L and contacting a top surface of the first metal interconnect structure 280. The second metal interconnect structure 480 includes a metallic liner 482 comprising a first metallic material that comprises (i.e., fills) an entire volume of the metal via portion 48V and an outer part of the metal line portion 48L. The second metal interconnect structure 480 also includes a metallic fill material portion 484 that comprises an inner part of the metal line portion 48L, does not contact the second dielectric material layer 360 and is spaced from the second dielectric material layer 360 by the metallic liner 482.


In one embodiment, the first metallic material comprises a metal selected from ruthenium, tungsten, molybdenum, or cobalt. In one embodiment, the first metallic material comprises the metal at an atomic percentage greater than 98%. In one embodiment, the first metallic material consists essentially of ruthenium.


In one embodiment, a vertical thickness of a horizontally-extending portion of the metallic liner 482 overlying the second horizontal plane HP2 is less than a height of the metal via portion 48V. In one embodiment, a vertically-extending portion of the metallic liner 482 located on a sidewall of the second dielectric material layer 360 has a same thickness as the vertical thickness of the horizontally-extending portion of the metallic liner 482. In one embodiment, the metal via portion 48V has a sidewall vertically extending between the metal line portion 48L and the first metal interconnect structure 280; and a minimum lateral distance between opposing line segments of a bottom periphery of the sidewall that have parallel tangent lines is greater than twice the vertical thickness of the horizontally-extending portion of the metallic liner 482. In one embodiment, the metal via portion 48V is free of any seam therein.


In one embodiment, an entirety of an interface between the metallic liner 482 and the metallic fill material is located above the second horizontal plane HP2. In one embodiment, the interface between the metallic liner 482 and the metallic fill material portion 484 comprises: a first horizontal interface segment HIS1 having an areal overlap with an interface between the metal via portion 48V and the first metal interconnect structure 280 in a view along a vertical direction; and a second horizontal interface segment HIS2 having an areal overlap with an interface between the metal line portion 48L and the second dielectric material layer 360 in the view along the vertical direction. In one embodiment, the first horizontal interface segment HIS1 is located above a horizontal plane including the second horizontal interface segment HIS2. In one embodiment, the first horizontal interface segment HIS1 is located below a horizontal plane including the second horizontal interface segment HIS2.


In one embodiment, the metallic fill material portion 484 comprises copper. In one embodiment, a bottom surface of the metal via portion 48V contacts a copper surface of the first metal interconnect structure 280. In one embodiment, the first metallic material of the metallic liner 482 is in direct contact with a dielectric material of the second dielectric material layer 360.


The various embodiments of the present disclosure may be employed to form metal interconnect structures that can selectively fill high aspect ratio line and via cavities of semiconductor device. In the tenth embodiment, the ruthenium liner selective area deposition forms an integrated ruthenium via portion and outer barrier of the line portion in the same step. Ruthenium may have a lower resistivity than copper in case of a small pitch, and has high compatibility with a copper damascene process. Furthermore, the ruthenium liner 482 also reduces the filling aspect ratio of a plated copper fill material portion 484.


Although the foregoing refers to particular preferred embodiments, it will be understood that the disclosure is not so limited. It will occur to those of ordinary skill in the art that various modifications may be made to the disclosed embodiments and that such modifications are intended to be within the scope of the disclosure. Compatibility is presumed among all embodiments that are not alternatives of one another. The word “comprise” or “include” contemplates all embodiments in which the word “consist essentially of” or the word “consists of” replaces the word “comprise” or “include,” unless explicitly stated otherwise. Where an embodiment employing a particular structure and/or configuration is illustrated in the present disclosure, it is understood that the present disclosure may be practiced with any other compatible structures and/or configurations that are functionally equivalent provided that such substitutions are not explicitly forbidden or otherwise known to be impossible to one of ordinary skill in the art. All of the publications, patent applications and patents cited herein are incorporated herein by reference in their entirety.

Claims
  • 1. A metal interconnect assembly, comprising: a first metal interconnect structure embedded in a first dielectric material layer; anda second metal interconnect structure embedded in a second dielectric material layer and comprising a metal line portion having a top surface located within a first horizontal plane and having a bottom surface located within a second horizontal plane, and further comprising a metal via portion adjoined to a bottom of the metal line portion and contacting a top surface of the first metal interconnect structure,wherein the second metal interconnect structure comprises a metallic liner comprising a first metallic material that comprises an entire volume of the metal via portion and an outer part of the metal line portion, and a metallic fill material portion comprising a second metallic material that comprises an inner part of the metal line portion, does not contact the second dielectric material layer and is spaced from the second dielectric material layer by the metallic liner.
  • 2. The metal interconnect assembly of claim 1, wherein the first metallic material comprises a metal selected from ruthenium, tungsten, molybdenum, or cobalt.
  • 3. The metal interconnect assembly of claim 2, wherein the first metallic material comprises the metal at an atomic percentage greater than 98%.
  • 4. The metal interconnect assembly of claim 1, wherein the first metallic material consists essentially of ruthenium.
  • 5. The metal interconnect assembly of claim 1, wherein a vertical thickness of a horizontally-extending portion of the metallic liner overlying the second horizontal plane is less than a height of the metal via portion.
  • 6. The metal interconnect assembly of claim 5, wherein a vertically-extending portion of the metallic liner located on a sidewall of the second dielectric material layer has a same thickness as the vertical thickness of the horizontally-extending portion of the metallic liner.
  • 7. The metal interconnect assembly of claim 5, wherein: the metal via portion has a sidewall vertically extending between the metal line portion and the first metal interconnect structure; anda minimum lateral distance between opposing line segments of a bottom periphery of the sidewall that have parallel tangent lines is greater than twice the vertical thickness of the horizontally-extending portion of the metallic liner.
  • 8. The metal interconnect assembly of claim 1, wherein the metal via portion is free of any seam therein.
  • 9. The metal interconnect assembly of claim 1, wherein an entirety of an interface between the metallic liner and the metallic fill material is located above the second horizontal plane.
  • 10. The metal interconnect assembly of claim 9, wherein the interface between the metallic liner and the metallic fill material portion comprises: a first horizontal interface segment having an areal overlap with an interface between the metal via portion and the first metal interconnect structure in a view along a vertical direction; anda second horizontal interface segment having an areal overlap with an interface between the metal line portion and the second dielectric material layer in the view along the vertical direction.
  • 11. The metal interconnect assembly of claim 10, wherein the first horizontal interface segment is located above a horizontal plane including the second horizontal interface segment.
  • 12. The metal interconnect assembly of claim 10, wherein the first horizontal interface segment is located below a horizontal plane including the second horizontal interface segment.
  • 13. The metal interconnect assembly of claim 1, wherein: the metallic fill material portion comprises copper;a bottom surface of the metal via portion contacts a copper surface of the first metal interconnect structure; andthe first metallic material of the metallic liner is in direct contact with a dielectric material of the second dielectric material layer.
  • 14. A semiconductor structure, comprising: the metal interconnect assembly of claim 1; anda three dimensional memory device comprising: an alternating stack of insulating layers and electrically conductive layers; anda memory opening fill structure extending through the alternating stack and comprising a vertical semiconductor channel, a memory film surrounding the vertical semiconductor channel, and a drain region contacting a top end of the vertical semiconductor channel, wherein the first metal interconnect structure comprises a copper bit line which is electrically connected to the drain region.
  • 15. A method of forming a device structure, comprising: forming semiconductor devices over a substrate;forming a first metal interconnect structure embedded in a first dielectric material layer over the semiconductor devices;forming a second dielectric material layer over the first metal interconnect structure and the first dielectric material layer;forming an integrated line-and-via cavity in the second dielectric material layer, wherein the integrated line-and-via cavity comprises a via cavity that overlies a physically exposed surface of the first metal interconnect structure and a line cavity adjoined to an upper portion of the via cavity;depositing a first metallic material by performing an area selective deposition process, wherein the first metallic material nucleates and grows from the physically exposed surface of the first metal interconnect structure prior to nucleation on and growth from the second dielectric material layer;depositing a second metallic material in an unfilled volume of the integrated line-and-via cavity on a physically exposed surface of the metallic liner; andremoving portions of the second metallic material and the first metallic material from above the horizontal plane including a top surface of the second dielectric material layer by performing a planarization process, wherein a second metal interconnect structure is formed, which comprises a metallic liner including a remaining portion of the first metallic material and a metallic fill material portion including a remaining portion of the second metallic material.
  • 16. The method of claim 15, further comprising selectively forming an organic growth suppressor material layer on surfaces of the second dielectric material layer while leaving the physically exposed surface of the first metal interconnect structure at a bottom of the via cavity.
  • 17. The method of claim 16, wherein the area selective deposition process grows an initial first metallic material portion from the physically exposed surface of the first metal interconnect structure while more than 50% of the organic growth suppressor material layer covered surfaces of the second dielectric material layer are not covered by any portion of the first metallic material.
  • 18. The method of claim 17, wherein the metallic liner completely fills the via cavity and only partially fills the line cavity.
  • 19. The method of claim 16, wherein the first metallic material comprises a metal selected from ruthenium, tungsten, molybdenum, or cobalt, and the second metallic material comprises copper.
  • 20. The method of claim 19, wherein the first metallic material consists essentially of ruthenium.
RELATED APPLICATIONS

This application is a continuation-in-part (CIP) application of U.S. application Ser. No. 17/821,659 filed on Aug. 23, 2022, which is a CIP application of U.S. application Ser. No. 17/566,262 filed on Dec. 30, 2021, which is a CIP application of U.S. application Ser. No. 17/509,323 filed on Oct. 25, 2021, the entire contents of which are incorporated herein by reference.

Continuation in Parts (3)
Number Date Country
Parent 17821659 Aug 2022 US
Child 18059698 US
Parent 17566262 Dec 2021 US
Child 17821659 US
Parent 17509323 Oct 2021 US
Child 17566262 US