The electronics industry has experienced an ever-increasing demand for smaller and faster electronic devices that are simultaneously able to support a greater number of increasingly complex and sophisticated functions. To meet these demands, there is a continuing trend in the integrated circuit (IC) industry to manufacture low-cost, high-performance, and low-power ICs. Thus far, these goals have been achieved in large part by reducing IC dimensions (for example, minimum IC feature size), thereby improving production efficiency and lowering associated costs. However, such scaling has also increased complexity of the IC manufacturing processes. Thus, realizing continued advances in IC devices and their performance requires similar advances in IC manufacturing processes and technology.
Recently, multigate devices have been introduced to improve gate control. Multigate devices have been observed to increase gate-channel coupling, reduce OFF-state current, and/or reduce short-channel effects (SCEs). One such multigate device is the gate-all around (GAA) device, which includes a gate structure that can extend, partially or fully, around a channel region to provide access to the channel region on at least two sides. GAA devices enable aggressive scaling down of IC technologies, maintaining gate control and mitigating SCEs, while seamlessly integrating with conventional IC manufacturing processes. As GAA devices continue to scale, challenges have arisen in some areas, such current leakage, especially when high current, high voltage or high speed is needed. Accordingly, although existing GAA devices and methods for fabricating such have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The present disclosure relates generally to integrated circuit devices, and more particularly, to multigate devices, such as gate-all-around (GAA) devices.
The following disclosure provides many different embodiments, or examples, for implementing different features. Reference numerals and/or letters may be repeated in the various examples described herein. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various disclosed embodiments and/or configurations. Further, specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Moreover, the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact.
Further, spatially relative terms, for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “over,” “below,” “beneath,” “up,” “down,” “top,” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s). The spatially relative terms are intended to encompass different orientations than as depicted of a device (or system or apparatus) including the element(s) or feature(s), including orientations associated with the device's use or operation. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Still further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range including the number described, such as within +/−10% of the number described, or other values as understood by person skilled in the art. For example, the term “about 5 nm” may encompass the dimension range from 4.5 nm to 5.5 nm.
The disclosed device structure and the method making the same are related to field-effect transistors (FETs), especially GAA FET structure. The disclosed device structure includes various structure features and fabrication steps to provide collective isolation and prevent the device structure from current leakage. The disclosed structure having one One-Time-Programmable Memory (OTP) device and the method making the same is taken as an example. However, it is understood that the disclosure is not limited to OPT devices and is applicable to any proper device for leakage reduction.
In some embodiments of the present disclosure, the method to form a device structure includes, after forming FETs in fin structure or GAA structure, includes operations to thin down the substrate from the backside to reach the bottom surface of the shallow trench isolation (STI) structure so that the semiconductor substrate is separated into a plurality of semiconductor islands that are isolated from each other by the STI structure, thereby achieving isolation of those semiconductor islands and reduction of leaking current. Thus, those well pickup regions for junction isolation are eliminated with the circuit area reduction more than 80%. Therefore, the disclosed device structure is also referred to as tap-less device structure.
A portion 54 of the IC structure 50 is further illustrated in
The above disclosed structure provides isolation for various FETs distributed along Y direction (along longitudinal direction of gates). However, various FETs distributed along X direction (along longitudinal direction of active regions such as fin active regions) on one active region are not properly isolated from each other. The structure in the present disclosure also includes multiple features to collectively achieve enhanced isolation for those FETs as described below.
In
Furthermore, the S/D features 70 are formed with a dielectric feature 68 embedded, thereby achieving the corresponding S/D features 70 from the semiconductor substrate 56. The dielectric feature 68 may include any suitable dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, other suitable dielectric material or a combination thereof. The formation of the S/D features 70 with the dielectric layer embedded therein may include etching to recess the S/D regions; epitaxially growing a semiconductor material with a lower doping concentration (such as doped with phosphorous for N-type FETs or with boron for P-type FETs); forming dielectric features 68; and epitaxially growing the semiconductor material with a higher doping concentration. The semiconductor material may include silicon, silicon germanium, or other suitable semiconductor material. The forming of the dielectric feature 68 may include depositing the dielectric material(s) and an anisotropic etching such as plasma etch to remove the portions deposited on sidewalls of the recesses.
In some alternative embodiments, the dielectric feature 68 is formed on the bottom surface of the epitaxial S/D feature 70 as illustrated in
A frontside interconnect structure is further formed over the FETs. The frontside interconnect structure includes contacts, vias and metal lines distributed in multiple metal layers. Some features (such as contacts 72) of the frontside interconnect structure are illustrated in
The IC structure 50 also includes the backside vias 64 and other conductive features 80 (such as metal lines) of the backside interconnect structure 59. In some embodiments, after the formation of the FETs (and other devices) and frontside an interconnect structure over FETs, a carrier substrate may be bonded to the frontside. Thereafter, the semiconductor substrate 56 is thinning down from the backside such that the STI structure 58 is exposed from the backside. Other processes may be additionally applied to planarize the backside surface, such etching, deposition, and chemical mechanical polishing (CMP). Accordingly, the bottom surface of the substrate 56 and the bottom surfaces of the STI structures 58 are coplanar. The backside dielectric layer 82 is deposited on the backside and directly contacts the coplanar bottom surfaces of the semiconductor substrate 56 and the STI structures 58, as illustrated in
The backside vias 64 are formed in the semiconductor substrate 56 and are electrically connected to the S/D features 70 as illustrated in
A backside interlayer dielectric (ILD) layer 84 is formed on the backside dielectric layer 82. The backside ILD layer 84 includes one or more dielectric material, such as an etch stop layer and a low-k dielectric material by suitable technique, such as chemical vapor deposition (CVD), spin-on coating, other suitable technique, or a combination thereof.
Other conductive features, such as metal lines 80, are formed in the backside ILD layer 84 and electrically connected to the backside vias 64 as illustrated in
In
As noted above, the backside vias 64 may have some alternative structure described in
In
Additional features and methods may be used for further isolation. For example, as illustrated in
Referring to
Note that workpiece 200 is illustrated in
The formation of the frontside structures includes forming the devices and the frontside interconnect structure 204 as described above, and further includes forming other features and components, such as gate-cut features 206 and dielectric gates 208. The gate-cut features 206 are dielectric features and are formed to cut long gate structure into segmented gate structures. The gate-cut features 206 may be formed before, during or after the formation of the gate structure 60 and are longitudinally oriented along the X direction while the gate structures 60 are longitudinally oriented along the Y direction. The dielectric gates 208 are dielectric features as well but are longitudinally oriented along the Y direction and are in parallel with the gate structures. In some embodiments, dummy gate structures are formed and then replaced with the gate structures 60 and the dielectric gates 208, respectively. The gate-cut features 206 are formed to cut the gate structures into segmented gate structure 60. The gate structure 60 includes a gate dielectric layer and a gate electrode disposed on the gate dielectric layer. The portions 60A (such as the gate dielectric layer or additionally portions of the gate electrode) of the gate structure 60 wraps around the channel layers 78.
Still referring to
The detailed operations to form the devices and the interconnect structure are further described in the flowchart of
Referring to
Referring to
Referring to
Referring to
Referring to
The method 100 proceeds to an operation 118 to form a backside interconnect structure including backside vias, backside dielectric vias, and backside metal lines distributed in one or more metal layers.
The method 100 may include other processes before, during or after the operations described above.
The backside interconnect structure 59 formed at the operation 118 is similar to the frontside interconnect structure 204 in terms of formation and composition. For example, the backside interconnect structure 59 includes backside vias 64, metal lines 80 and vias distributed in one or more metal layers and can be formed by a suitable technique, such as damascene process, dual damascene process, a procedure including deposition and patterning, other suitable method or a combination thereof. In some embodiments, the backside interconnect structure 59 includes backside vias 64 and the backside metal lines 80 formed by the methods described in
For example, as illustrated in
The operation 102 to form the frontside devices (such as GAA FETs or other multi-gate devices) and the frontside interconnect structure 204 includes various operations, such as those illustrated in
In some embodiments, the method 102 fabricates a multi-gate device that includes p-type GAA transistors and n-type GAA transistors. In some embodiments, method 102 fabricates a multi-gate device that includes first GAA transistors and second GAA transistors with different characteristics, such as the first GAA transistors in a critical path and the second GAA transistors in a non-critical path. In the present embodiment, a path is defined as a route to distribute signal in a circuit. A critical path is the place that mainly dominates the circuit speed (or signal distribution speed) that is dependent on different circuit applications. On the other hand, if the circuit speed is varied with transistors' performance significantly, then the signal path will be referred to as critical path. In some respects, the critical path and the non-critical GAA path may have different power consumptions during field operations. In an integrated circuit, the electrical current (and also electrical power) in the circuit may be nonuniformly distributed. Average current densities in some local areas are greater than those in other local areas. Those areas with greater average current densities are referred to as critical paths, which leads to various concerns, such as reducing power efficiency, degrading circuit performance, decreasing circuit speed, increasing battery size, and causing reliability issues. In the existing method, device dimensions, such as channel widths of the transistors in the critical paths are increased to adjust or reduce the corresponding average current density. However, the existing method will increase other issues. For example, the circuit areas are increased, and the packing density is reduced. In other examples, adjustment to the dimensions of the devices in the critical paths introduces jog in an active region that further increase circuit layout complexity and challenges circuit design due to the smaller circuit cell height and gate pitch in advanced technology nodes.
The disclosed multigate device and the method making the same addresses those concerns. Particularly, for performance boosting, the present disclosure chooses high driving devices (or devices with greater number sheet number devices) at critical path; and low power devices (or less sheet number devices) at non-critical path.
At block 132, a semiconductor layer stack is formed over a substrate. The semiconductor layer stack includes first semiconductor layers and second semiconductor layers stacked vertically in an alternating configuration. At block 134, a gate structure is formed over a first region of the semiconductor layer stack and. The gate structure includes a dummy gate stack and gate spacers. At block 136, portions of the semiconductor layer stack in second regions are removed to form source/drain recesses. At block 138, inner spacers are formed along sidewalls of the first semiconductor layers in the semiconductor layer stack. At block 140, epitaxial source/drain features are formed in the source/drain recesses. At block 142, an interlayer dielectric (ILD) layer is formed over the epitaxial source/drain features. At block 144, the dummy gate stack is removed, thereby forming a gate trench that exposes the semiconductor layer stack in a gate region. At block 146, the first semiconductor layers are removed from the semiconductor layer stack exposed by the gate trench, thereby forming gaps between the second semiconductor layers. At block 148, gate stacks are formed in the gate trench around the second semiconductor layers in the gate region. At block 150, other fabrication processes, including forming an interconnect structure, are performed from the frontside of the workpiece. Additional processing is contemplated by the present disclosure. Additional steps can be provided before, during, and after method 102, and some of the steps described can be moved, replaced, or eliminated for additional embodiments of method 102.
The present disclosure provides for many different embodiments. The disclosed device structure and the method making the same are related to field-effect transistors (FETs), especially GAA FET structure. The disclosed device structure includes various structure features and fabrication steps to provide collective isolation and prevent the device structure from current leakage. The disclosed structure having one One-Time-Programmable Memory (OTP) device and the method making the same is taken as an example. Furthermore, the disclosed structure and method are also compatible with other fabrication technologies without enhanced the circuit packing density and power efficiency.
The present disclosure provides an integrated circuit (IC) and methods for fabricating such. In one example aspect, an exemplary integrated circuit structure includes a semiconductor substrate having a frontside and a backside; a shallow trench isolation (STI) structure formed in the semiconductor substrate and defining an active region, wherein the STI structure includes a STI bottom surface, wherein the semiconductor substrate includes a substrate bottom surface, and wherein the STI bottom surface and the substrate bottom surface are coplanar; a field-effect transistor (FET) over the active region and formed on the frontside of the semiconductor substrate; and a backside dielectric layer disposed on the substrate bottom surface and the STI bottom surface.
In another example aspect, the present disclosure provides a method of making an integrated circuit (IC) structure. The method includes receiving a semiconductor substrate having a frontside and a backside; forming a circuit structure having semiconductor devices on the frontside of the semiconductor substrate and an interconnect structure over the semiconductor devices; and thinning down the semiconductor substrate from the backside of the semiconductor substrate such that an isolation structure is exposed.
In yet another example aspect, the present disclosure provides an integrated circuit (IC) structure. The IC structure includes a semiconductor substrate having a frontside and a backside; a shallow trench isolation (STI) structure formed in the semiconductor substrate and defining an active region, wherein the STI structure includes a STI bottom surface, wherein the semiconductor substrate includes a substrate bottom surface, and wherein the STI bottom surface and the substrate bottom surface are coplanar; a field-effect transistor (FET) over the active region and formed on the frontside of the semiconductor substrate; and a backside dielectric layer disposed on the substrate bottom surface and the STI bottom surface. The active region includes multiple channel layers vertically stacked and spaced away from each other; the FET includes a source, a drain, a gate interposed between the source and the drain; the gate is further extending to wrap around each of the multiple channel layers; and each of the source and drain further includes a dielectric material layer embedded in an epitaxial semiconductor feature.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application claims priority to U.S. Provisional Patent Application No. 63/517,409 filed on Aug. 3, 2023, entitled “INTEGRATED CIRCUIT WITH ENHANCED ISOLATION” (Attorney Docket No. P2023-0855/24061.4858PV01), the entire disclosure of which is hereby incorporated herein by reference.
Number | Date | Country | |
---|---|---|---|
63517409 | Aug 2023 | US |