Embodiments of the present disclosure relate generally to semiconductor packaging, and more particularly to semiconductor package structure including polygonal linking dies.
In recent years, the semiconductor industry has experienced rapid growth due to continuous improvement in the integration density of a variety of electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, improvement in integration density has resulted from iterative reduction of minimum feature size, which allows more components to be integrated into a given area.
These continuously scaled electronic components require smaller packages that occupy less area than previous packages. Exemplary types of packages include quad flat pack (QFP), pin grid array (PGA), ball grid array (BGA), flip chips (FC), three-dimensional integrated circuits (3D ICs), wafer-level packages (WLPs), and package on package (PoP) devices. However, there are quite a few challenges to be handled for the technologies of advanced packaging.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the subject matter provided. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
In addition, source/drain region(s) may refer to a source or a drain, individually or collectively dependent upon the context. For example, a device may include a first source/drain region and a second source/drain region, among other components. The first source/drain region may be a source region, whereas the second source/drain region may be a drain region, or vice versa. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
In addition, source/drain region(s) may refer to a source or a drain, individually or collectively dependent upon the context. For example, a device may include a first source/drain region and a second source/drain region, among other components. The first source/drain region may be a source region, whereas the second source/drain region may be a drain region, or vice versa. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
Some embodiments of the disclosure are described. Additional operations can be provided before, during, and/or after the stages described in these embodiments. Some of the stages that are described can be replaced or eliminated for different embodiments. Some of the features described below can be replaced or eliminated and additional features can be added for different embodiments. Although some embodiments are discussed with operations performed in a particular order, these operations may be performed in another logical order.
Packaging technologies were once considered just back-end processes, almost an inconvenience. Times have changed. Computing workloads have evolved more over the past decade than perhaps the previous four decades. Cloud computing, big data analytics, artificial intelligence (AI), neural network training, AI inferencing, mobile computing on advanced smartphones, and even self-driving cars are all pushing the computing envelope. Modern workloads have brought packaging technologies to the forefront of innovation, and they are critical to a product's performance, function, and cost. These modern workloads have pushed the product design to embrace a more holistic approach for optimization at the system level.
Chip-on-Wafer-on-Substrate (CoWoS) is a wafer-level multi-chip packaging technology. CoWoS is a packaging technology that incorporates multiple chips side-by-side on a silicon interposer in order to achieve better interconnect density and performance. Individual chips are bonded through, for example, micro-bumps on a silicon interposer, forming a chip-on-wafer (CoW) structure. The CoW structure is then subsequently thinner such that through-silicon-vias (TSVs) are exposed, which is followed by the formation of bumps (e.g., C4 bumps) and singulation. The CoW structure is then bonded to a package substrate forming the CoWoS structure. Since multiple chips or dies are generally incorporated in a side-by-side manner, the CoWoS is considered a 2.5-dimensional (2.5D) wafer-level packaging technology.
Integrated Fan-Out (InFO) is another wafer-level packaging technology. InFO is a packaging technology that incorporates high-density redistribution layer (RDL) and through InFO via (TIV) for high-density interconnect and performance for various applications, such as mobile devices, high performance computing, etc. A wafer is typically diced into individual known good dies (KGDs) after testing, and the KGDs are placed on a temporary carrier with certain distance apart. RDLs are formed subsequently to enable higher number of external contacts without increasing the size of KGDs.
On the other hand, those multiple chips that are bonded to the interposer in a CoWoS structure or embedded in an InFO structure can each include stacking dies or chiplets (i.e., modular dies), with multi-layers, multi-chip sizes, and multi-functions. In one implementation, the stacking dies are bonded together using hybrid bonding (HB). Hybrid bonding is a process that stacks and bonds dies using both dielectric bonding layers and metal-to-metal interconnects in advanced packaging. Since no bumps like micro-bumps are used, hybrid bonding is regarded as a bumpless bonding technique. Hybrid bonding can provide improved integration density, faster speeds, and higher bandwidth. In addition to die-to-die bonding, hybrid bonding can also be used for wafer-to-wafer bonding and die-to-wafer bonding.
Stacking dies featuring ultra-high-density-vertical stacking (often using hybrid bonding) is sometimes referred to System on Integrated Chips (SoIC) technologies. SoIC technologies can achieve high performance, low power, and minimum resistance-inductance-capacitance (RLC). SoIC technologies integrate active and passive chips that are partitioned from System on Chip (SoC), into a new integrated SoC system, which is electrically identical to native SoC, to achieve better form factor and performance. A die stack bonded together using hybrid bonding is sometimes, therefore, referred to as a SoIC die stack (“SoIC die stack” and “die stack” are used interchangeably throughout the disclosure).
Dies are diced using wafer dicing processes such as mechanical sawing or laser cutting. After wafer dicing processes, dies are conventionally rectangular. Linking chips (sometimes also referred to as “connection chips”), which are used to electrically connect two dies, are conventionally rectangular as well. For example, local silicon interconnect (LSI) chips are conventionally rectangular. Since dies vary in size, rectangular dies do not always utilize the whole area at a certain vertical level (e.g., a top vertical level where multiple top dies are located, a bottom vertical level where multiple bottom dies are located). In other words, there are certain unoccupied areas (sometimes also referred to as “nonoverlapping areas” or “gaps”) at a certain vertical level.
In accordance with some aspects of the disclosure, various semiconductor die assemblies (sometimes referred to as “die assemblies”) and semiconductor package structures are provided. In order to utilize the unoccupied or nonoverlapping areas mentioned above, a polygonal linking die is introduced into the die assembly. The polygonal linking die is not rectangular. The polygonal linking die is disposed at a top vertical level where the top dies are located. The polygonal linking die is disposed on two different bottom dies. In one embodiment, the polygonal linking die is bonded to both bottom dies using hybrid bonding.
Plasma dicing enables or unleashes the possibility of fabricating polygonal dies. The polygonal linking die operates to increase vertical electrical connection points within the die assembly because the conventionally unoccupied or nonoverlapping area at the top vertical level can be utilized to form vertical electrical connection points using, for example, hybrid bonding structures. The utilization of the chip area is increased accordingly. Details of various aspects of the disclosure will be described below with reference to
As shown in
The top dies 102a, 102b, 102c, and 102d are disposed at the same vertical level (e.g., a top vertical level 194 shown in
As shown in
As shown in
The hybrid bonding structures 258-1, 258-4, 258-5, 258-6, 258-9, and 258-10 (collectively “258”) allow for electrical connections in the Z-direction between one of the bottom dies 104a and 104b and one of the top dies 102a-102d. Details of the hybrid bonding structures 258-1, 258-4, 258-5, 258-6, 258-9, and 258-10 will be described below with reference to
The top dies 102a, 102b, 102c, and 102d are lateral to each other in the X-direction shown in
As mentioned above, the top dies 102a, 102b, 102c, and 102d are conventionally rectangular and vary in size. Therefore, the top dies 102a, 102b, 102c, and 102d do not always utilize the entire area at the top vertical level 194 shown in
In order to utilize these unoccupied or nonoverlapping areas, the polygonal linking die 106 is introduced into the die assembly 100. The polygonal linking die 106 is disposed at the top vertical level 194 and on both the bottom die 104a and the bottom die 104b. The polygonal linking die 106 is not rectangular. In some embodiments that will be discussed below with reference to
Similarly, each of the hybrid bonding structures 258-2, 258-3, 258-7, and 258-8 includes two hybrid bonding metal pads on two sides of the bonding interface 190, respectively. Details of the hybrid bonding structures 258-2, 258-3, 258-7, and 258-8 will be described below with reference to
The polygonal linking die 106 is electrically connected to the bottom die 104a through, for example, the hybrid bonding structures 258-2 and 258-7. The polygonal linking die 106 is electrically connected to the bottom die 104b through, for example, the hybrid bonding structures 258-3 and 258-8. Since the polygonal linking die 106 includes a multilayer interconnect (MLI) structure that allows for routing signals and/or distributing signals as needed, the bottom die 104a and the bottom die 104b are electrically connected through the polygonal linking die 106. In other words, the polygonal linking die 106 operates to “bridge” the bottom die 104a and the bottom die 104b. As a result, the top dies 102a and 102c are electrically connected to the top dies 102b and 102d through the polygonal linking die 106 and the bottom dies 104a and 104b. As such, all components in the die assembly 100 are electrically connected or linked.
The polygonal linking die 106 operates to increase vertical electrical connection points within the die assembly 100 because these conventionally unoccupied or nonoverlapping areas at the top vertical level 194 can be utilized to form vertical electrical connection points using, for example, hybrid bonding structures 258.
The MLI structure, in one implementation, includes a combination of dielectric layers and conductive layers configured to form various interconnect structures. The conductive layers are configured to form vertical interconnect features (e.g., vias, etc.) and horizontal interconnect features (e.g., conductive lines extending in the X-Y plane). Vertical interconnect features typically connect horizontal interconnect features in different layers (e.g., a first metal layer often denoted as “M1” and a fifth metal layer often denoted as “M5”) of the MLI structure. The MLI structure is configured to route signals and/or distribute signals (e.g., clock signals, voltage signals, ground signals, etc.) to one or more of the bottom dies 104a and 104b. In some embodiments, the polygonal linking die 106 may include semiconductor devices (e.g., passive devices, active devices, etc.) in addition to the MLI structure.
One or more semiconductor devices (e.g., transistors, resistors, capacitors, inductors, etc.) are formed on the silicon substrate 250, before being flipped, in a front-end-of-line (FEOL) process. A multilayer interconnect (MLI) structure 252 is disposed over the one or more semiconductor devices, before being flipped. The MLI structure 252 includes a combination of dielectric layers and conductive layers configured to form various interconnect structures. The conductive layers are configured to form vertical interconnect features (e.g., device-level contacts, vias, etc.) and horizontal interconnect features (e.g., conductive lines extending in a horizontal plane). Vertical interconnect features typically connect horizontal interconnect features in different layers (e.g., a first metal layer often denoted as “M1” and a fifth metal layer often denoted as “M5”) of the MLI structure 252. During operation of bottom die 104b, the interconnect structures are configured to route signals and/or distribute signals (e.g., clock signals, voltage signals, ground signals) to the one or more semiconductor devices to fulfill certain functions. It should be understood that although the MLI structure 252 is depicted in
In the example shown in
A seal ring 290 is a metallization structure that is located between and separates the core circuitry of the bottom die 104b and the peripheral regions (or edges) of the bottom die 104b. The seal ring 290 surrounds the core circuitry in the X-Y plane and prevents the intrusion of cracks and moisture penetration or chemical damage like acid, alkaline containing or diffusion of contaminating species.
Likewise, the top die 102b has a front side (denoted as “F” in
For die-to-die boding, back-end processes, such as dicing, die handling, and die transport on film frame, have to be adapted to front-end clean levels, allowing high bonding yields on a die level. For example, copper hybrid bonding is conducted in a cleanroom in a wafer fab, instead of in an outsourced semiconductor assembly and test (OSAT) facility. Pick-and-place systems are often used to handle dies in the context of die-to-die boding or die-to-wafer boding. A pick-and-place system is an automatic system that can pick a top die and place it onto the bottom die or a host wafer, often in a high-speed manner.
While the region 196 is illustrated and described in detail with reference to
The die assembly 100 shown in
The package substrate 302 includes interconnect structures that provide electrical connections between the micro-bumps 324 on its top surface and the package balls 328 on its bottom surface. In some examples, the package substrate 302 can be bonded to a printed circuit board (PCB) using package balls 328. In other examples, the package substrate 302 can be bonded to a power node of a power source such as a power supply and a ground node of the power source.
In another implementation, the silicon chip 304 includes the interconnect structures and multiple deep trench capacitors (DTCs). The DTCs are characterized by high aspect ratios (e.g., larger than one hundred). The DTCs located in the silicon chip 304 can add capacitance to the die assembly 100.
In yet another implementation, the silicon chip 304 includes semiconductor devices (e.g., passive devices, active devices, etc.) in addition to the interconnect structures. In still another implementation, the silicon chip 304 includes both semiconductor devices (e.g., passive devices, active devices, etc.) and DTCs in addition to the interconnect structures. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
Similarly, the silicon chip 304 is bonded to the package substrate 302 using, for example, micro-bumps (i.e., pumps) 324 (or C4 copper bumps in other examples). The package substrate 302 includes interconnect structures that provide electrical connections between the micro-bumps 324 on its top surface and the package balls 328 on its bottom surface. In some examples, the package substrate 302 can be bonded to a printed circuit board (PCB) using package balls 328. In other examples, the package substrate 302 can be bonded to a power node of a power source such as a power supply and a ground node of the power source.
In one embodiment, the silicon chip 304 includes interconnect structures (e.g., MLI structures and TSVs). In other words, the semiconductor package 500 is a CoWoS package, and the silicon chip 304 is an interposer. The interconnect structures in the silicon chip 304 provide electrical connections between the bottom dies 104a and 104b and electrical connections between the package substrate 302 and the bottom dies 104a and 104b. In some examples, the silicon chip 304 includes the interconnect structures and multiple deep trench capacitors (DTCs). The DTCs are characterized by high aspect ratios (e.g., larger than one hundred). The DTCs located in the silicon chip 304 can add capacitance to the die assembly 100.
In another embodiment, the silicon chip 304 may include semiconductor devices (e.g., passive devices, active devices, etc.) in addition to the interconnect structures and, in some examples, DTCs. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
Similarly, the silicon chip 304 is bonded to the package substrate 302 using, for example, C4 copper bumps 326 (or micro-bumps in other examples). The package substrate 302 includes interconnect structures that provide electrical connections between the C4 copper bumps 326 on its top surface and the package balls 328 on its bottom surface. In some examples, the package substrate 302 can be bonded to a printed circuit board (PCB) using package balls 328. In other examples, the package substrate 302 can be bonded to a power node of a power source such as a power supply and a ground node of the power source.
In one embodiment, the fan-out package structure 308 includes interconnect structures, such as redistribution layers (RDLs) and through InFO vias (TIVs). In other words, the semiconductor package 700 is using the InFO packaging platform. The interconnect structures in the fan-out package structure 308 provide electrical connections between the bottom dies 104a and 104b and electrical connections between the package substrate 302 and the bottom dies 104a and 104b. In some examples, the fan-out package structure 308 includes at least one die and molding compound surrounding the at least one die. The at least one die includes semiconductor devices (e.g., passive devices, active devices, etc.). In other examples, the fan-out package structure 308 may include integrated passive devices (IPDs) such as resistors, capacitors, inductors, microstrips, and impedance matching elements, and the like.
In one implementation, the bottom die 104a and the bottom die 104b are bonded to the fan-out package structure 308 using, for example, micro-bumps, C4 copper bumps, or solder balls, which are connected to RDLs at the top surface of the fan-out package structure 308.
Similarly, the fan-out package structure 308 is bonded to the package substrate 302 using, for example, C4 copper bumps 326 (or micro-bumps in other examples), which are connected to the RDLs. The package substrate 302 includes interconnect structures that provide electrical connections between the C4 copper bumps 326 on its top surface and the package balls 328 on its bottom surface. In some examples, the package substrate 302 can be bonded to a printed circuit board (PCB) using package balls 328. In other examples, the package substrate 302 can be bonded to a power node of a power source such as a power supply and a ground node of the power source.
As explained above, dies are conventionally diced using wafer dicing processes such as mechanical sawing or laser cutting. After wafer dicing processes, dies are conventionally rectangular. Plasma dicing, however, enables or unleashes the possibility of fabricating polygonal dies.
At operation 802, a first bottom die (e.g., the bottom die 104a shown in
At operation 804, a first top die (e.g., the top die 102a shown in
At operation 806, a second top die (e.g., the top die 102b shown in
At operation 808, a linking die (e.g., the polygonal linking die 106) is formed. The linking die is characterized by a polygonal shape in a horizontal plane (e.g., the X-Y plane shown in
At operation 810, the linking die is bonded to both the first bottom die and the second bottom die using hybrid bonding. The linking die is at the top vertical level.
At operation 852, multiple polygonal linking dies are fabricated on a wafer. In one embodiment, the polygonal linking dies have different geometries and designs. In another embodiment, the polygonal linking dies have the same geometry and design. As mentioned above, each of the polygonal linking die includes a multi-layer interconnect (MLI) structure that allows for routing signals and/or distributing signals as needed. In some embodiments, some of the polygonal linking dies may include semiconductor devices (e.g., passive devices, active devices, etc.) in addition to the MLI structures.
At operation 854, a plasma dicing process is performed to define the boundaries (or edges) of the multiple polygonal linking dies in the horizontal plane (i.e., the X-Y plane). Unlike conventional dicing processes such as mechanical sawing or laser cutting, the plasma dicing process has more flexibility for die layout and design. During the plasma dicing process, deep dicing lanes are etched into the wafer. These deep dicing lanes define the boundaries (edges) of each of the multiple linking dies. In one implementation, the plasma dicing process includes a deep reactive ion etching (DRIE) process. Plasma dicing has the advantages of higher utilization of the wafer area, higher throughput, stronger dies, high yields, in addition to more flexibility for die layout and design.
At operation 856, a backside grinding is performed. After the backside grinding, the remaining substrate (e.g., silicon substrate) at these deep dicing lanes formed at operation 804 is removed. As such, the multiple polygonal linking dies are separated. Each of the multiple polygonal linking dies can be used as shown in
In the example shown in
In the example shown in
In the example shown in
While four exemplary geometries of the polygonal linking die 106 are illustrated, it should be understood that other geometries or shapes can be employed in other examples. While the exemplary geometries of the polygonal linking die 106 is characterized by portions extending in either the X-direction or the Y-direction, it should be understood that this is no intended to be limiting. In some embodiments, the polygonal linking die 106 may include an elongated potion that is extended in neither the X-direction nor the Y-direction.
In one implementation, the geometry or shape of a polygonal linking die can be determined based on the distribution of dies at a certain level (e.g., the top vertical level 194 shown in
As shown in
The package substrates 302a and 302b are disposed at the same vertical level (e.g., a bottom vertical level 192 shown in
The top dies 102a, 102b, 102c, and 102d are disposed at the same vertical level (e.g., a top vertical level 194 shown in
As shown in
The top dies 102a, 102b, 102c, and 102d are lateral to each other in the X-direction shown in
As mentioned above, the top dies 102a, 102b, 102c, and 102d are conventionally rectangular and vary in size. Therefore, the top dies 102a, 102b, 102c, and 102d do not always utilize the entire area at the top vertical level 194 shown in
In order to utilize these unoccupied or nonoverlapping areas, the polygonal linking die 106 is introduced into the semiconductor package 1000. The polygonal linking die 106 is disposed at the top vertical level 194 and on both the package substrate 302a and the package substrate 302b. As shown in
The polygonal linking die 106 is electrically connected to the package substrate 302a through, for example, the micro-bumps 324. The polygonal linking die 106 is electrically connected to the package substrate 302b through, for example, the micro-bumps 324. Since the polygonal linking die 106 includes a MLI structure that allows for routing signals and/or distributing signals as needed, the package substrate 302a and the package substrate 302b are electrically connected through the polygonal linking die 106. In other words, the polygonal linking die 106 operates to “bridge” the package substrate 302a and the package substrate 302b. As a result, the top dies 102a and 102c are electrically connected to the top dies 102b and 102d through the polygonal linking die 106 and the package substrates 302a and 302b. As such, all components in the semiconductor package 1000 are electrically connected or linked.
The polygonal linking die 106 operates to increase vertical electrical connection points within the semiconductor package 1000 because these conventionally unoccupied or nonoverlapping areas at the top vertical level 194 can be utilized to form vertical electrical connection points using, for example, micro-bumps 324.
The package substrates 302a and 302b includes interconnect structures that provide electrical connections between the micro-bumps 324 on its top surface and the package balls 328 on its bottom surface. In some examples, the package substrates 302a and 302b can be bonded to a PCB using package balls 328. In other examples, each of the package substrates 302a and 302b can be bonded to a power node of a power source such as a power supply and a ground node of the power source.
In one embodiment, the fan-out package structure 308 includes interconnect structures, such as redistribution layers (RDLs) and through InFO vias (TIVs). In other words, the semiconductor package 1200 is using the InFO packaging platform. The interconnect structures in the fan-out package structure 308 and the polygonal linking die 106 provide electrical connections between the package substrates 302a and 302b. The interconnect structures in the fan-out package structure 308 provide electrical connections between the package substrates 302a and 302b and the top dies 102a and 102b. In some examples, the fan-out package structure 308 includes at least one die and molding compound surrounding the at least one die. The at least one die includes semiconductor devices (e.g., passive devices, active devices, etc.). In other examples, the fan-out package structure 308 may include integrated passive devices (IPDs) such as resistors, capacitors, inductors, microstrips, and impedance matching elements, and the like.
In one implementation, the top die 102a, the top die 102b, and the polygonal linking die 106 are bonded to the fan-out package structure 308 using, for example, micro-bumps, C4 copper bumps, or solder balls, which are connected to RDLs at the top surface of the fan-out package structure 308.
Similarly, the fan-out package structure 308 is bonded to the package substrates 302a and 302b using, for example, C4 copper bumps 326 (or micro-bumps in other examples), which are connected to the RDLs. Each of the package substrates 302a and 302b includes interconnect structures that provide electrical connections between the C4 copper bumps 326 on its top surface and the package balls 328 on its bottom surface.
In accordance with some aspects of the disclosure, a semiconductor die assembly is provided. The semiconductor die assembly includes: a first bottom die and a second bottom die disposed at a bottom vertical level; a first top die disposed at a top vertical level above the bottom vertical level in a vertical direction and bonded to the first bottom die; a second top die disposed at the top vertical level and bonded to the second bottom die; and a linking die disposed at the top vertical level and bonded to both the first bottom die and the second bottom die. The linking die is characterized by a polygonal shape in a horizontal plane perpendicular to the vertical direction, and the polygonal shape is not a rectangle.
In accordance with some aspects of the disclosure, a method for fabricating a semiconductor die assembly is provided. The method includes the following steps: placing a first bottom die and a second bottom die at a bottom vertical level; bonding a first top die to the first bottom die using hybrid bonding, the first top die being at a top vertical level above the bottom vertical level in a vertical direction; bonding a second top die to the second bottom die, the second top die being at the top vertical level; forming a linking die, the linking die being characterized by a polygonal shape in a horizontal plane perpendicular to the vertical direction, and the polygonal shape is not a rectangle; and bonding the linking die to both the first bottom die and the second bottom die, the linking die being at the top vertical level.
In accordance with some aspects of the disclosure, a semiconductor package is provided. The semiconductor package includes a package substrate and a semiconductor die assembly disposed over and electrically connected to the package substrate. The semiconductor die assembly includes: a first bottom die and a second bottom die disposed at a bottom vertical level; a first top die disposed at a top vertical level above the bottom vertical level in a vertical direction and bonded to the first bottom die; a second top die disposed at the top vertical level and bonded to the second bottom die; and a linking die disposed at the top vertical level and bonded to both the first bottom die and the second bottom die. The linking die is characterized by a polygonal shape in a horizontal plane perpendicular to the vertical direction, and the polygonal shape is not a rectangle.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.