Super-self-aligned contacts and method for making the same

Information

  • Patent Grant
  • 10461081
  • Patent Number
    10,461,081
  • Date Filed
    Monday, November 13, 2017
    6 years ago
  • Date Issued
    Tuesday, October 29, 2019
    4 years ago
  • Inventors
  • Original Assignees
    • Tel Innovations, Inc. (Los Gatos, CA, US)
  • Examiners
    • Diallo; Mamadou L
    Agents
    • Penilla IP, APC
Abstract
A number of first hard mask portions are formed on a dielectric layer to vertically shadow a respective one of a number of underlying gate structures. A number of second hard mask filaments are formed adjacent to each side surface of each first hard mask portion. A width of each second hard mask filament is set to define an active area contact-to-gate structure spacing. A first passage is etched between facing exposed side surfaces of a given pair of neighboring second hard mask filaments and through a depth of the semiconductor wafer to an active area. A second passage is etched through a given first hard mask portion and through a depth of the semiconductor wafer to a top surface of the underlying gate structure. An electrically conductive material is deposited within both the first and second passages to respectively form an active area contact and a gate contact.
Description
BACKGROUND

During semiconductor wafer fabrication, electrically conductive active area contacts are formed between active areas at the substrate level of the wafer and electrically conductive interconnect lines located above the substrate level. Also, electrically conductive gate contacts are formed between transistor gate structures within the wafer and electrically conductive interconnect lines located above the gate structures. Conventional active area contact and gate contact fabrication methods have relied upon photolithographic techniques in which a photomask is used to project a light pattern onto a photoresist layer deposited on the wafer, so as to transfer the pattern to the photoresist layer, wherein the pattern defines various openings within the photoresist layer at which contacts are to be formed. The various contacts are required to be accurately aligned to the underlying active areas and gate features for proper contact placement, and ultimately for proper device operation. Therefore, the photomask must be accurately aligned to the wafer to enable proper transfer of the contact pattern onto the wafer.


As device sizes become smaller and their features become more closely spaced on the wafer, contact placement and fabrication becomes more difficult. For example, it becomes more difficult to satisfy the increasing photomask-to-wafer alignment accuracy requirements. Therefore, it is of interest to seek methods by which contacts can be more accurately placed and fabricated for devices having smaller and more closely spaced features.


SUMMARY

In one embodiment, a method is disclosed for fabricating an active area contact within a semiconductor wafer. In the method, a number of first hard mask portions are formed over a corresponding number of underlying gate structures, such that each first hard mask portion vertically shadows a respective one of the underlying gate structures. Also in the method, a number of second hard mask filaments are formed adjacent to each of the number of first hard mask portions. A combined width of each first hard mask portion and its adjoining second hard mask filaments is greater than a width of the respective underlying gate structure. Also, a width of each second hard mask filament defines an active area contact-to-gate structure spacing. The method further includes an operation for etching a passage between facing surfaces of neighboring second hard mask filaments, and through a depth of the semiconductor wafer to an active area. Then, an electrically conductive material is deposited within the passage to form the active area contact.


In another embodiment, a method is disclosed for fabricating a gate contact within a semiconductor wafer. In the method, a first hard mask portion is formed over a gate structure within a section of the semiconductor wafer, such that the first hard mask portion vertically shadows the gate structure. Also, the first hard mask portion is formed to include substantially vertical side surfaces. Also in the method, a second hard mask filament is formed adjacent to each side surface of the first hard mask portion. An etching operation is then performed to etch a passage through the first hard mask portion, and through a depth of the semiconductor wafer to a top surface of the gate structure. During this etching operation, surfaces of the second hard mask filaments adjacent to the vertical side surfaces of the first hard mask portion are revealed through etching of the first mask portion. The revealed side surfaces of the first hard mask portion define side surfaces of the passage. The method then proceeds with an operation for depositing an electrically conductive material within the passage to form the gate contact.


In another embodiment, a method is disclosed for fabricating an active area contact and a gate contact within a semiconductor wafer. The method includes an operation for depositing a photon absorption layer between gate structures within a section of the semiconductor wafer, so as to substantially cover an area present between gate structures with the photon absorption layer while leaving a top surface of each gate structure uncovered. Then, a dielectric layer is deposited over both the photon absorption layer and the top of each gate structure within the section of the semiconductor wafer. The method continues with forming a number of first hard mask portions on the dielectric layer and over the gate structures within the section of the semiconductor wafer. Each first hard mask portion vertically shadows a respective one of the gate structures. Also, each first hard mask portion includes substantially vertical side surfaces. The method then proceeds with forming a second hard mask filament adjacent to each vertical side surface of each first hard mask portion, such that each second hard mask filament has an exposed side surface. A width of each second hard mask filament defines an active area contact-to-gate structure spacing. The method also includes an operation for etching a first passage between facing exposed side surfaces of a given pair of neighboring second hard mask filaments, and through a depth of the semiconductor wafer to an active area. The method further includes an operation for etching a second passage through a given first hard mask portion, and through a depth of the semiconductor wafer to a top surface of the gate structure underlying the given first hard mask portion. Surfaces of the second hard mask filaments adjacent to the vertical side surfaces of the given first hard mask portion are revealed through etching of the given first mask portion. These revealed surfaces of the second hard mask filaments define side surfaces of the second passage. The method then proceeds with an operation for depositing an electrically conductive material within both the first and second passages to respectively form the active area contact and the gate contact.


In another embodiment, a semiconductor device is disclosed. The semiconductor device includes a linear gate structure having side surfaces and a top surface. A width of the linear gate structure is defined by a perpendicular distance between the side surfaces of the linear gate structure. The semiconductor device also includes a gate contact disposed to electrically connect to the top surface of the linear gate structure. The gate contact has a substantially rectangular horizontal cross-section. Also, the gate contact is defined to substantially cover the width of the linear gate structure without extending substantially beyond either of the side surfaces of the gate structure.


Other aspects and advantages of the invention will become more apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the present invention.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1A is an illustration showing a flowchart of a method for fabricating super-self-aligned contacts within a semiconductor wafer, in accordance with one embodiment of the present invention;



FIG. 1B is an illustration showing an expanded view of operation 101 of FIG. 1A, in accordance with one embodiment of the present invention;



FIG. 1C is an illustration showing an expanded view of operation 103 of FIG. 1A, in accordance with one embodiment of the present invention;



FIG. 1D is an illustration showing an expanded view of operation 105 of FIG. 1A, in accordance with one embodiment of the present invention;



FIG. 1E is an illustration showing an expanded view of operation 107 of FIG. 1A, in accordance with one embodiment of the present invention;



FIG. 1F is an illustration showing an expanded view of operation 109 of FIG. 1A, in accordance with one embodiment of the present invention;



FIG. 1G is an illustration showing an expanded view of operation 113 of FIG. 1A, in accordance with one embodiment of the present invention;



FIG. 2A is an illustration showing a top view of the wafer portion, in accordance with one embodiment of the present invention;



FIG. 2B is an illustration showing a vertical cross-section view of the wafer portion, in accordance with one embodiment of the present invention;



FIG. 2C is an illustration showing the an expanded view of a given gate structure, in accordance with one embodiment of the present invention;



FIG. 3 is an illustration showing the photon absorption layer deposited over the wafer portion, in accordance with one embodiment of the present invention;



FIG. 4 is an illustration showing the upper portion of the photon absorption layer removed to expose the top surfaces of the gate structures, in accordance with one embodiment of the present invention;



FIG. 5 is an illustration showing the dielectric layer deposited over the wafer portion, in accordance with one embodiment of the present invention;



FIG. 6 is an illustration showing the first hard mask layer deposited over the dielectric layer, and the negative photoresist layer deposited over the first hard mask layer, in accordance with one embodiment of the present invention;



FIG. 7 is an illustration showing the exposure of the wafer portion to the vertically collimated, incoherent light, in accordance with one embodiment of the present invention;



FIG. 8 is an illustration showing the wafer portion following removal of the non-cross-linked portions of the negative photoresist layer, in accordance with one embodiment of the present invention;



FIG. 9 is an illustration showing the wafer portion following removal of the unprotected portions of the first hard mask layer, in accordance with one embodiment of the present invention;



FIG. 10 is an illustration showing the wafer portion following removal of the remaining negative photoresist portions, in accordance with one embodiment of the present invention;



FIG. 11 is an illustration showing the second hard mask layer deposited over the wafer portion, in accordance with one embodiment of the present invention;



FIG. 12 is an illustration showing the second hard mask filaments adjacent to the first hard mask portions, in accordance with one embodiment of the present invention;



FIG. 13 is an illustration showing the positive photoresist layer deposited over the wafer portion, in accordance with one embodiment of the present invention;



FIG. 14A is an illustration showing an example active area contact mask used to pattern the positive photoresist layer, in accordance with one embodiment of the present invention;



FIG. 14B is an illustration showing the vertical cross-section view A-A of the wafer portion with the patterned positive photoresist layer, in accordance with one embodiment of the present invention;



FIG. 15 is an illustration showing the vertical cross-section view A-A of the wafer portion with the passages for the active area contacts etched therein, in accordance with one embodiment of the present invention;



FIG. 16 is an illustration showing the wafer portion following removal of the patterned photoresist layer, in accordance with one embodiment of the present invention;



FIG. 17 is an illustration showing the positive photoresist layer deposited over the wafer portion, in accordance with one embodiment of the present invention;



FIG. 18A is an illustration showing an example gate contact mask used to pattern the positive photoresist layer, in accordance with one embodiment of the present invention;



FIG. 18B is an illustration showing the vertical cross-section view A-A of the wafer portion with the patterned positive photoresist layer, in accordance with one embodiment of the present invention;



FIG. 19 is an illustration showing the vertical cross-section view A-A of the wafer portion with the passages for the gate contacts etched therein, in accordance with one embodiment of the present invention;



FIG. 20 is an illustration showing the wafer portion following removal of the patterned photoresist layer, in accordance with one embodiment of the present invention;



FIG. 21 is an illustration showing the wafer portion following removal of the first hard mask portions and the second hard mask filaments, in accordance with one embodiment of the present invention;



FIG. 22 is an illustration showing the vertical cross-section view A-A of the wafer portion with the metal layer deposited thereon, in accordance with one embodiment of the present invention;



FIG. 23 is an illustration showing the vertical cross-section view A-A of the wafer portion with the excess metal layer removed to leave the active area contacts and the gate contacts, in accordance with one embodiment of the present invention;



FIG. 24A is an illustration showing a top view of the wafer portion following formation of the active area contacts and gate contacts, in accordance with one embodiment of the present invention; and



FIG. 24B is an illustration showing an expanded view of an area, as called out in FIG. 24A, in accordance with one embodiment of the present invention.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.



FIGS. 1A-1G are illustrations showing a flowchart of a method for fabricating super-self-aligned (SSA) contacts within a semiconductor wafer (“wafer” hereafter), in accordance with one embodiment of the present invention. The SSA contacts fabricated according to the method of FIGS. 1A-1G can be either active area contacts or gate contacts. To facilitate description, FIGS. 2A-24B illustrate physical representations of a portion of the wafer as it is subjected to the operations of the method of FIGS. 1A-1G. The method begins with an operation 101 for preparing a wafer portion for the SSA contact process. FIG. 1B is an illustration showing an expanded view of operation 101, in accordance with one embodiment of the present invention. As shown in FIG. 1B, operation 101 includes an operation 115 for providing the wafer portion upon which the SSA contacts are to be fabricated.



FIGS. 2A-2C are illustrations showing an exemplary wafer portion 200 provided in operation 115. It should be understood that the exemplary wafer portion 200 is provided by way of example for the purpose of describing the SSA contact fabrication method of FIGS. 1A-1G. It should be further understood that the SSA contact fabrication method disclosed herein is not to be limited to use with the particular exemplary wafer portion 200. Moreover, it should be appreciated that the SSA contact fabrication process disclosed herein can be performed on essentially any semiconductor device or semiconductor wafer within which one or more active area contacts and/or one or more gate contacts are to be defined.



FIG. 2A is an illustration showing a top view of the wafer portion 200, in accordance with one embodiment of the present invention. FIG. 2B is an illustration showing a vertical cross-section view of the wafer portion 200, in accordance with one embodiment of the present invention. The vertical cross-section view (A-A) of FIG. 2B corresponds to the vertical cross-section of the wafer portion 200 at a location corresponding to bracket A-A in FIG. 2A. The wafer portion 200 includes a number of gate structures 205 defined thereon in a parallel orientation with respect to each other. FIG. 2C is an illustration showing an expanded view of a given gate structure 205, in accordance with one embodiment of the present invention. It should be understood that the given gate structure 205, as shown in FIG. 2C, is representative of the other gate structures 205 within the wafer portion 200.


Each gate structure 205 is defined as a linear gate structure having a central conductive region 219, a top region 217, and sidewall spacers 215. In various embodiments, the central conductive region 219 can be formed of polysilicon, metal, or essentially any other suitable electrically conductive material. The top region 217 is formed of an electrically conductive material that is capable of reflecting photons, such a those of incoherent light. For example, in one embodiment, the top region 217 is formed of NiSi2. In various embodiments, the sidewall spacers 215 can be formed of essentially any suitable material. For example, in one embodiment, the sidewall spacers 215 are formed of Si3N4.


Each gate structure 205 is defined as a linear gate structure having a top surface 222, substantially parallel side surfaces 220, a width 216 defined perpendicularly between the side surfaces 220, and a length 218 extending perpendicular to the width 216 along the top surface 222. In the exemplary wafer portion 200, for ease of description, each linear gate structure 205 is shown to have a length approximately equal to the length 218. However, it should be understood that the various gate structures 205 are not required to have the same length. For example, any number of the linear gate structures 205 may be segmented to include a number of breaks, depending on the circuit function to be defined.


Each linear gate structure 205, or segment thereof, is devoid of a substantial change in direction along its length. In one embodiment, a substantial change in direction of a linear gate structure 205, or segment thereof, exists when the width 216 of the linear gate structure 205 at any point thereon changes by more than 50% of the nominal width 216 of the linear gate structure 205 along its entire length. In another embodiment, a substantial change in direction of a linear gate structure 205, or segment thereof, exists when the width 216 of the linear gate structure 205 changes from any first location on the linear gate structure 205 to any second location on the linear gate structure 205 by more than 50% of the width 216 at the first location.


The exemplary wafer portion 200 further includes a number of active areas 203 for NMOS devices, as denoted by (n+), and a number of active areas 201 for PMOS devices, as denoted by (p+). As shown in the cross-section view A-A of FIG. 2B, the n+ active areas 203 are disposed within a “p well” 211, and the p wells 211 are bordered by shallow trench isolation (STI) regions 209. It should be appreciated that in some embodiments the p wells 211 can extend under the STI regions 209. The wafer portion 200, including the p wells 211, the STI regions 209 and the gate structures 205 thereabove, is defined over a substrate 213, such as a silicon substrate. Additionally, the active area regions 201/203 between the sidewalls 215 of each gate structure 205 can be defined to have an exposed conductive surface 207. In one embodiment, the exposed conductive surface 207 is formed of metal, metal silicide, or a combination thereof. For example, in one embodiment, a salicide process is performed to form a nickel silicide as the exposed conductive surface 207 present over portions of the active area regions 201/203.


Additionally, the wafer portion 200 can include a thin, e.g., 200-300 angstroms thick, etch stop and/or stress liner conformally disposed over its top surface, i.e., conformally disposed over the upper exposed surfaces of the substrate 213, STI regions 209, active area regions 201/203, and gate structures 205. For clarity purposes, the etch stop and/or stress liner is not shown in FIGS. 2A-24B. However, it should be understood that such a conformal layer can be present, if appropriate.


Although the wafer portion 200 provided for the SSA contact process has been described in some detail in FIGS. 2A-2C, it should be understood that the SSA contact process is not restricted to the specifically disclosed features of the exemplary wafer portion 200. In other embodiments, the SSA contact process described herein can be used to defined contacts on a semiconductor wafer portion that includes either more or less features than what are explicitly disclosed herein with regard to the exemplary wafer portion 200, so long as the top surface 222 and/or top region 217 of each gate structure is capable of reflecting photons.


With reference back to FIG. 1B, from the operation 115, the method proceeds with an operation 117 for depositing a photon absorption layer 301 over the wafer portion 200. In one embodiment the photon absorption layer 301 is formed of amorphous carbon. However, it should be understood that in other embodiments the photon absorption layer 301 can be formed from essentially any material that has a sufficiently strong photon absorption property and has adequate chemical, structural, thermal, and electrical properties as required for successful manufacture and operation of the semiconductor device formed on the wafer portion 200. In one embodiment, the sufficiently strong photon absorption property of the photon absorption layer 301 material is evidenced by a minimal backscattering of photons incident upon a surface of the photon absorption layer 301 material.



FIG. 3 is an illustration showing the photon absorption layer 301 deposited over the wafer portion 200, in accordance with one embodiment of the present invention. The photon absorption layer 301 is initially deposited to fill the regions between the gate structures 205, and to extend up to a level above the top surfaces 222 of the gate structures 205. An operation 119 is then performed to remove an upper portion of the photon absorption layer 301 so as to expose the top surfaces 222 of the gate structures 205. FIG. 4 is an illustration showing the upper portion of the photon absorption layer 301 removed to expose the top surfaces 222 of the gate structures 205, in accordance with one embodiment of the present invention.


In various embodiments, the removal of the upper portion of the photon absorption layer 301 in operation 119 can be performed using an etching process, a chemical mechanical planarization (CMP) process, or a combination thereof. It should be understood that through operations 117 and 119, the photon absorption layer 301 is deposited between the gate structures 205 so as to substantially cover an area of the wafer portion 200 present between the gate structures 205 with the photon absorption layer 301, while leaving the top surface 222 of each gate structure 205 uncovered. In other words, following operation 119, the photon absorption layer 301 fills regions adjacent to each gate structure 205 so as to contact the side surfaces 220 of each gate structure 205 without covering the top surface 222 of each gate structure 205.


Following operation 119, an operation 121 is performed to deposit a dielectric layer 501, i.e., a pre-metal dielectric layer, over the wafer portion 200. FIG. 5 is an illustration showing the dielectric layer 501 deposited over the wafer portion 200, in accordance with one embodiment of the present invention. The dielectric layer 501 is deposited over both the photon absorption layer 301 and the top surface 222 of each gate structure 205. In one embodiment, the dielectric layer 501 is formed of an electrically insulating oxide material. However, it should be understood that the SSA contact fabrication process is not limited to use with a specific type of dielectric layer 501. The dielectric layer 501 can be formed from essentially any material, or stack of materials, having adequate chemical, structural, thermal, and electrical properties as required for successful manufacture and operation of the semiconductor device formed on the wafer portion 200. Additionally, as part of operation 121, an upper surface 503 of the deposited dielectric layer 501 is substantially planarized. In one embodiment, planarization of the dielectric layer 501 upper surface 503 is performed using a CMP process. However, in other embodiments, essentially any technique can be used to planarize the dielectric layer 501, so long as the technique is compatible with the materials present on the wafer portion 200.


With reference back to FIG. 1A, the method proceeds from the operation 101 to an operation 103 in which first hard mask portions 601A are formed directly over the gate structures 205. The term “first” as used herein with regard to the first hard mask portions 601A, distinguishes a material type used to form the first hard mask portions 601A. As will be discussed later, second hard mask filaments 1101A are also used in the SSA fabrication process. The term “second” as used herein with regard to the second hard mask filaments 1101A, distinguishes a material type used to form the second hard mask filaments 1101A, that is different from the “first” material type used to form the first hard mask portions 601A. In operation 103, the first hard mask portions 601A are formed over a corresponding number of underlying gate structures such that each first hard mask portion 601A vertically shadows a respective one of the underlying gate structures 205.



FIG. 1C is an illustration showing an expanded view of operation 103, in accordance with one embodiment of the present invention. As shown in FIG. 1C, operation 103 includes an operation 123 for depositing a first hard mask layer 601 over the wafer portion 200. More specifically, the first hard mask layer 601 is deposited over the dielectric layer 501. An operation 125 is then performed to deposit a negative photoresist layer 603 over the first hard mask layer 601. FIG. 6 is an illustration showing the first hard mask layer 601 deposited over the dielectric layer 501, and the negative photoresist layer 603 deposited over the first hard mask layer 601, in accordance with one embodiment of the present invention. The first hard mask layer 601 can be defined by essentially any suitable hard mask material. For example, in some embodiments, the first hard mask layer 601 can be defined as an advanced patterning film (APF) or as a SiON hard mask. Also, the first hard mask layer 601 can be deposited on the wafer portion 200 using essentially any hard mask deposition technique. For example, in one embodiment, the first hard mask layer 601 is deposited using a chemical vapor deposition (CVD) process.


A negative photoresist material is characterized in that portions of the negative photoresist material that are sufficiently exposed to a light source will made insoluble, i.e., non-removable, in the presence of a developer solution, and underexposed portions of the negative photoresist material will be remain soluble, i.e., removable, in the presence of the developer solution. The negative photoresist layer 603 can be defined by essentially any type of negative photoresist material, e.g., photosensitive polymer, so long as the light exposure threshold for cross-linking of the negative photoresist material is suitable for use with a given light source, such that more than a forward exposure of the negative photoresist material to the given light source is required for cross-linking of the negative photoresist material. For example, the light exposure threshold of the negative photoresist material is such that a transmission of collimated, incoherent light from the given light source through an upper surface 605 of the negative photoresist layer 603 to a lower surface 607 of the negative photoresist layer 603, i.e., forward exposure, is not sufficient to cross-link the negative photoresist material.


However, the light exposure threshold of the negative photoresist material is such that the forward exposure of the negative photoresist material combined with a reflective exposure of the negative photoresist material, i.e., exposure to light reflected upward from below the lower surface 607 of the negative photoresist layer 603, is sufficient to cross-link the negative photoresist material. Also, the characteristics of the light, e.g., intensity, duration, wavelength, etc., incident upon the negative photoresist layer 603 can be controlled in conjunction with the light exposure threshold of the negative photoresist material such that a specific amount of reflective exposure of the negative photoresist material is required for cross-linking of the negative photoresist material. Additionally, it should be understood that the negative photoresist layer 603 can be deposited on the wafer portion 200 using essentially any photoresist deposition technique, such as spin-on deposition.


From the operation 125, the method proceeds with an operation 127 for exposing and developing the negative photoresist layer 603, so as to only leave negative photoresist portions that vertically overlie gate structures 205. In one embodiment, operation 125 is performed by uniformly exposing the negative photoresist layer 603 to vertically collimated, incoherent light, whereby the light passes through the negative photoresist layer 603 to be absorbed by the photon absorption layer 301, and to be reflected by the top surface 222/top region 217 of the gate structures 205. FIG. 7 is an illustration showing the exposure of the wafer portion 200 to the vertically collimated, incoherent light, in accordance with one embodiment of the present invention. The uniform exposure of the negative photoresist layer 603 to the vertically collimated, incoherent light is depicted by the downward pointing arrows within bracket 701. Reflection of the light, i.e., upward reflection, is depicted by the upward pointing arrows within brackets 703. It should be appreciated that use of incoherent light serves to prevent formation of standing light waves.


Because both forward and reflected exposures of the negative photoresist layer 603 are required to cross-link the negative photoresist, only those portions of negative photoresist that vertically overlie the reflective top surfaces 222/top regions 217 of the gate structures will be cross-linked. Also, it should be appreciated that because the light is vertically collimated to be normally, i.e., perpendicularly, incident upon the top surfaces 222 of the gate structures 205, which are substantially horizontal, only those portions of the negative photoresist layer 603 that are located vertically over the gate structures 205 will be subjected to substantial reflective exposure of the light.


Following exposure of the negative photoresist layer 603 to the light, the negative photoresist layer 603 is developed to remove the non-cross-linked portions of the negative photoresist layer 603. In various embodiments, essentially any photoresist development technique suitable for use with the particular negative photoresist material can be utilized. For example, in one embodiment, an acid etch can be used to remove the non-cross-linked portions of the negative photoresist layer 603. FIG. 8 is an illustration showing the wafer portion 200 following removal of the non-cross-linked portions of the negative photoresist layer 603, in accordance with one embodiment of the present invention. As shown in FIG. 8, exposure and development of the negative photoresist layer 603 in operation 127 leaves the negative photoresist portions 603A, such that each negative photoresist portion 603A vertically overlies a respective one of the underlying gate structures 205.


Following operation 127, the method proceeds with an operation 129 for removing portions of the first hard mask layer 601 that are not protected by the negative photoresist portions 603A, thereby forming the first hard mask portions 601A directly over the gate structures 205. In one embodiment, operation 129 is performed using a vertically biased etching process, such that the portions of the first hard mask layer 601 that are not protected by the negative photoresist portions 603A are removed in a substantially top-down manner. However, it should be understood that other techniques can be used to remove the portions of the first hard mask layer 601 that are not protected by the negative photoresist portions 603A, so long as a width 901 of the remaining first hard mask portions 601A substantially matches a width 903 of the negative photoresist portions 603A. In other words, undercutting of the first hard mask portions 601A relative to the negative photoresist portions 603A should be minimized to the extent possible.



FIG. 9 is an illustration showing the wafer portion 200 following removal of the unprotected portions of the first hard mask layer 601, in accordance with one embodiment of the present invention. As shown in FIG. 9, removal of the unprotected portions of the first hard mask layer 601 in operation 129 leaves the first hard mask portions 601A, such that each first hard mask portion 601A vertically shadows a respective one of the underlying gate structures 205. It should be understood that vertical shadowing of a given underlying gate structure 205 by a given first hard mask portion 601A is defined by the given first hard mask portion 601A having the substantially same horizontal cross-section size and shape as the given underlying gate structure 205. The horizontal cross-section size and shape refers to the size and shape of the feature, i.e., gate structure 205 or first hard mask portion 601A, when cut in a horizontal plane substantially parallel to the horizontal surface of the substrate 213. It should be further appreciated that the horizontal width 901 of each first hard mask portion 601A is substantially the same as the horizontal width of the top surface 222/top region 217 of the underlying gate structure 205.


Following the operation 129, the method proceed with an operation 131 for removing the remaining negative photoresist portions 603A. Removal of the remaining negative photoresist portions 603A can be performed using essentially any photoresist stripping technique, e.g., chemical stripping, ashing, etc. FIG. 10 is an illustration showing the wafer portion 200 following removal of the remaining negative photoresist portions 603A, in accordance with one embodiment of the present invention.


With reference back to FIG. 1A, the method proceeds from the operation 103 to an operation 105 in which the second hard mask filaments 1101A are formed adjacent to the first hard mask portions 601A. FIG. 1D is an illustration showing an expanded view of operation 105, in accordance with one embodiment of the present invention. As shown in FIG. 1D, operation 105 includes an operation 133 for conformally depositing a second hard mask layer 1101 over the wafer portion 200. FIG. 11 is an illustration showing the second hard mask layer 1101 conformally deposited over the wafer portion 200, in accordance with one embodiment of the present invention. As shown in FIG. 11, the second hard mask layer 1101 is conformally deposited over both the dielectric layer 501 and the first hard mask portions 601A.


The second hard mask layer 1101 can be defined by essentially any suitable hard mask material, so long as the second hard mask material is different from the first hard mask material used to form the first hard mask portions 601A. More specifically, the second hard mask material should have an etching selectivity different than that of the first hard mask material, such that the first hard mask material can be etched without substantially etching the second hard mask material. For example, in one embodiment, the second hard mask layer 1101 can be formed of a nitride material. Also, the second hard mask layer 1101 can be deposited on the wafer portion 200 using essentially any hard mask deposition technique. For example, in one embodiment, the second hard mask layer 1101 is conformally deposited using a chemical vapor deposition (CVD) process.


Following the operation 133, an operation 135 is performed to remove portions of the second hard mask layer 1101 to leave second hard mask filaments 1101A adjacent to the first hard mask portions 601A. FIG. 12 is an illustration showing the second hard mask filaments 1101A adjacent to the first hard mask portions 601A, in accordance with one embodiment of the present invention. The second hard mask filaments 1101A are essentially defined as sidewall spacers adjacent to the first hard mask portions 601A, such that each side surface of each first hard mask portion 601A has an adjoining second hard mask filament 1101A. In one embodiment, a horizontal width 1201 of each second hard mask filament 1101A, as measured perpendicular to the sidewall of its adjoining first hard mask portion 601A, is defined to be substantially the same. Also, in one embodiment, a vertical cross-section profile of each exposed sidewall 1203 of each second hard mask filament 1101A is defined to be substantially vertical. However, in other embodiments, the vertical cross-section profile of the exposed sidewalls 1203 of the hard mask filaments 1101A may other than substantially vertical. For example, in one embodiment, the vertical cross-section profile of the exposed sidewall 1203 of the second hard mask filament 1101A can be tapered such that the second hard mask filament 1101A is thicker at its bottom, i.e., at the dielectric layer 501, relative to its top.


In one embodiment, the second hard mask filaments 1101A are formed by etching the second hard mask layer 1101, such that horizontal surfaces of the second hard mask layer 1101 are preferentially etched relative to the vertical surfaces of the second hard mask layer 1101. It should be understood, however, that other techniques can be utilized to form the second hard mask filaments 1101A from the second hard mask layer 1101, such that each second hard mask filament 1101A is formed as a hard mask spacer extending out from the sidewalls of the first hard mask portions 601A. Because the width 901 of a given first hard mask portion 601A is substantially equal to the width of the top surface 222 of the underlying gate structure 205, a combined width of the given first hard mask portion 601A and its adjoining second hard mask filaments 1101A is greater than the width of the underlying gate structure 205. Also, it should be understood that a perpendicular spacing 1205 between facing exposed side surfaces of a given pair of neighboring second hard mask filaments 1101A effectively defines a width of an active area contact to be formed between the given pair of neighboring second hard mask filaments 1101A. Therefore, because the first hard mask portion 601A vertically shadows the underlying gate structure 205, the width 1201 of each second hard mask filament effectively defines an active area contact-to-gate structure 205 spacing.


With reference back to FIG. 1A, the method proceeds from the operation 105 to an operation 107 in which passages are etched for active area contacts. FIG. 1E is an illustration showing an expanded view of operation 107, in accordance with one embodiment of the present invention. As shown in FIG. 1E, operation 107 includes an operation 137 for depositing a positive photoresist layer 1301 over the wafer portion 200. FIG. 13 is an illustration showing the positive photoresist layer 1301 deposited over the wafer portion 200, in accordance with one embodiment of the present invention. As shown in FIG. 13, the positive photoresist layer 1301 is deposited over both the exposed dielectric layer 501 portions, the exposed first hard mask portions 601A, and the exposed second hard mask filaments 1101A. The positive photoresist layer 1301 can be defined by essentially any type of positive photoresist material. The positive photoresist material is characterized in that portions of the positive photoresist material that are sufficiently exposed to a light source will made soluble, i.e., removable, in the presence of a developer solution, and underexposed portions of the positive photoresist material will remain insoluble, i.e., non-removable, in the presence of the developer solution.


Following operation 137, an operation 139 is performed to pattern the positive photoresist layer 1301 with an active area contact mask. More specifically, the positive photoresist layer 1301 is patterned to include a substantially linear opening through the positive photoresist layer 1301, extending from one first hard mask portion 601A to a neighboring first hard mask portion 601A in a direction substantially perpendicular to the length of each of the neighboring first hard mask portions 601A. The patterning of the positive photoresist layer 1301 can be performed using essentially any conventional photolithography technique.



FIG. 14A is an illustration showing an example active area contact mask used to pattern the positive photoresist layer 1301, in accordance with one embodiment of the present invention. The active area contact mask includes a number of linear openings 1401. Each linear opening 1401 represents an area where the positive photoresist layer 1301 is removed to expose the underlying dielectric layer 501, first hard mask portions 601A, and second hard mask filaments 1101A. Although, the linear openings 1401 are shown as “ideal” rectangular-shaped openings, it should be understood that the actual linear openings 1401 may have somewhat rounded ends. However, it should be noted that the rounded ends will lie above the first hard mask portions 601A and/or the second hard mask filaments 1101A, but not above the dielectric layer 501 portion that extends perpendicularly between the second hard mask filaments 1101A.



FIG. 14B is an illustration showing the vertical cross-section view A-A of the wafer portion 200 with the patterned positive photoresist layer 1301, in accordance with one embodiment of the present invention. Substantially rectangular areas 1403 of the dielectric layer 501 are exposed between the neighboring second hard mask filaments 1101A within the linear openings 1401. The substantially rectangular areas 1403 of the dielectric layer 501 are bounded on two opposing side by the second hard mask filaments 1101A, and on the other two opposing sides by the linear opening 1401 of the patterned positive photoresist layer 1301. It should be appreciated that the substantially rectangular areas 1403 of the dielectric layer 501 represent the horizontal cross-section of the active area contact to be formed.


Also, it should be appreciated that because the active area contact is to be bounded by the second hard mask filaments 1101A in the linear openings 1401, and because the linear openings 1401 are “oversized” with respect to the distance between the sidewalls of the neighboring second hard mask filaments 1101A, there is some flexibility provided in the indexing of the active area contact mask to the wafer portion 200 when patterning the positive photoresist layer 1301. For example, if the linear opening 1401 is offset slightly in its direction of extent between the first hard mask portions 601A, the substantially rectangular area 1403 of exposed dielectric layer 501 will be unaffected.


Following the operation 139, the method proceeds with an operation 141 for etching passages 1501 for the active area contacts. FIG. 15 is an illustration showing the vertical cross-section view A-A of the wafer portion 200 with the passages 1501 etched therein, in accordance with one embodiment of the present invention. The passages 1501 for the active area contacts are etched downward through the exposed, substantially rectangular areas 1403 of the dielectric layer 501 within the linear openings 1401. In one embodiment, the passages 1501 for the active area contacts are etched in a substantially vertical manner, such that sidewalls of the passages 1501 extend in a substantially vertical manner downward from the periphery of the substantially rectangular areas 1403 of the dielectric layer 501 within the linear openings 1401. Although, the sidewalls of the passages 1501 ideally extend downward in a substantially vertical manner, it should be understood that the sidewalls of the passages 1501 can be slightly tapered. For example, in one embodiment, the sidewalls of a given passage 1501 can be tapered such that the rectangular opening of the given passage 1501 is slightly smaller at its bottom end relative to its top end.


In one embodiment, a vertically biased etching process can be used to form the passages 1501 for the active area contacts. The passages 1501 are etched downward through the underlying portion of the dielectric layer 501, and the underlying portion of the photon absorption layer 301 to reach the conductive material, e.g., silicide, present at the top of the underlying active area, or to reach an etch stop layer present over the underlying active area. It should be understood that during the etching of the passages 1501 for the active area contacts, the second hard mask filaments 1101A are etched very slowly so as to not be substantially removed.


Following the operation 141, an operation 143 is performed to remove the patterned photoresist layer 1301 from the wafer portion 200. FIG. 16 is an illustration showing the wafer portion 200 following removal of the patterned photoresist layer 1301, in accordance with one embodiment of the present invention. The patterned photoresist layer 1301 can be removed using essentially any photoresist stripping technique, e.g., chemical stripping, ashing, etc.


With reference back to FIG. 1A, the method proceeds from the operation 107 to an operation 109 in which passages are etched for gate contacts. FIG. 1F is an illustration showing an expanded view of operation 109, in accordance with one embodiment of the present invention. As shown in FIG. 1F, operation 109 includes an operation 145 for depositing a positive photoresist layer 1701 over the wafer portion 200. FIG. 17 is an illustration showing the positive photoresist layer 1701 deposited over the wafer portion 200, in accordance with one embodiment of the present invention. As shown in FIG. 17, the positive photoresist layer 1701 is deposited over both the exposed dielectric layer 501 portions, the exposed first hard mask portions 601A, the exposed second hard mask filaments 1101A, and within the active area contact passages 1501 previously etched in operation 107. The positive photoresist layer 1701 can be defined by essentially any type of positive photoresist material. The positive photoresist material is characterized in that portions of the positive photoresist material that are sufficiently exposed to a light source will made soluble, i.e., removable, in the presence of a developer solution, and underexposed portions of the positive photoresist material will remain insoluble, i.e., non-removable, in the presence of the developer solution.


Following operation 145, an operation 147 is performed to pattern the positive photoresist layer 1701 with a gate contact mask. More specifically, the positive photoresist layer 1701 is patterned to include a number of substantially linear openings through the positive photoresist layer 1701, each extending across a given first hard mask portion 601A and across portions of the two second hard mask filaments 1101A adjacent to the given first hard mask portion 601A. The substantially linear opening defined through the positive photoresist layer 1701 is oriented to extend in a direction substantially perpendicular to the length 218 of the underlying gate structure 205 over which the linear opening is defined. The patterning of the positive photoresist layer 1701 can be performed using essentially any conventional photolithography technique.



FIG. 18A is an illustration showing an example gate contact mask used to pattern the positive photoresist layer 1701, in accordance with one embodiment of the present invention. The gate contact mask includes a number of linear openings 1801. Each linear openings 1801 represents area where the positive photoresist layer 1701 is removed to expose the underlying first hard mask portion 601A, and adjoining portions of the second hard mask filaments 1101A. Although, the linear openings 1801 are shown as “ideal” rectangular-shaped openings, it should be understood that the actual linear openings 1801 may have somewhat rounded ends. However, it should be noted that the rounded ends of a given linear opening 1801 will lie above the adjoining second hard mask filaments 1101A, and will not lie above the first hard mask portion 601A over which the given linear opening 1801 extends.



FIG. 18B is an illustration showing the vertical cross-section view A-A of the wafer portion 200 with the patterned positive photoresist layer 1701, in accordance with one embodiment of the present invention. Substantially rectangular areas 1803 of first hard mask portions 601A are exposed between the neighboring second hard mask filaments 1101A within the linear openings 1801. The substantially rectangular areas 1803 of the first hard mask portions 601A are bounded on two opposing side by the second hard mask filaments 1101A, and on the other two opposing sides by the linear opening 1801 of the patterned positive photoresist layer 1701. It should be appreciated that the substantially rectangular area 1803 of the first hard portion 601A, as exposed in the linear opening 1801, represents the horizontal cross-section of the gate contact to be formed. Also, it should be appreciated that because the gate contact is to be bounded by the second hard mask filaments 1101A in the linear opening 1801, and because the linear opening 1801 is “oversized” with respect to the width of the first hard mask portion 601A, there is some flexibility provided in the indexing of the gate contact mask to the wafer portion 200 when patterning the positive photoresist layer 1701. For example, if the linear opening 1801 is offset slightly in its direction of extent between the second hard mask filaments 1101A, the substantially rectangular area 1803 of the exposed first hard mask portion 601A will be unaffected.


Following the operation 147, the method proceeds with an operation 149 for etching passages 1901 for the gate contacts. FIG. 19 is an illustration showing the vertical cross-section view A-A of the wafer portion 200 with the passages 1901 etched therein, in accordance with one embodiment of the present invention. The passages 1901 for the gate contacts are etched downward through the exposed, substantially rectangular areas 1803 of the first hard mask portion 601A within the linear openings 1801. It should be appreciated that because the materials of the first hard mask portion 601A and the second hard mask filament 1101A are different, these material can be selected to have a substantially different etching selectivity with respect to a given etching process. For example, the first hard mask portion 601A may be defined to etch ten times faster than the second hard mask filaments 1101A. Therefore, during the particular etching process to be performed in operation 149, the exposed first hard mask portion 601A is defined to be preferentially etched without substantially affecting the neighboring exposed second hard mask filaments 1101A. It should be understood that during the etching of the passages 1901 for the gate contacts, the second hard mask filaments 1101A are etched very slowly so as to not be substantially removed.


In one embodiment, the passages 1901 for the gate contacts are etched in a substantially vertical manner, such that sidewalls of the passages 1901 extend in a substantially vertical manner downward from the periphery of the substantially rectangular areas 1803 of the exposed first hard mask portion 601A within the linear openings 1801. However, it should be understood that the sidewalls of the passages 1901 are not required to extend downward in a substantially vertical manner. For example, in one embodiment, the sidewalls of the passages 1901 can be slightly tapered, such that the rectangular opening of the given passage 1901 is slightly smaller at its bottom end relative to its top end. In one embodiment, a vertically biased etching process can be used to form the passages 1901 for the gate contacts. The passages 1901 are etched downward through the first hard mask portion 601A to reach the top surface 222 of the underlying gate structure 205, or to reach an etch stop layer present over the underlying gate structure 205.


Following the operation 149, an operation 151 is performed to remove the patterned photoresist layer 1701 from the wafer portion 200. FIG. 20 is an illustration showing the wafer portion 200 following removal of the patterned photoresist layer 1701, in accordance with one embodiment of the present invention. The patterned photoresist layer 1701 can be removed using essentially any photoresist stripping technique, e.g., chemical stripping, ashing, etc.


With reference back to FIG. 1A, the method proceeds from the operation 109 to an operation 111 in which the first hard mask portions 601A and the second hard mask filaments 1101A are removed from the wafer portion 200. FIG. 21 is an illustration showing the wafer portion 200 following removal of the first hard mask portions 601A and the second hard mask filaments 1101A, in accordance with one embodiment of the present invention. Operation 111 can be performed using essentially any hard mask removal technique. For example, in one embodiment the first hard mask portions 601A and the second hard mask filaments 1101A are removed using a wet stripping technique. It should also be understood that the operation 111 includes removal of any exposed etch stop layer, if present at the bottoms of the passages 1501 and 1901.


The method proceeds from operation 111 to an operation 113 in which active area contacts 2301 and gate contacts 2303 are disposed within the passages 1501 and 1901, respectively. FIG. 1G is an illustration showing an expanded view of operation 113, in accordance with one embodiment of the present invention. As shown in FIG. 1G, operation 113 includes an operation 153 for depositing a metal layer 2201 over the wafer portion 200. FIG. 22 is an illustration showing the vertical cross-section view A-A of the wafer portion 200 with the metal layer 2201 deposited thereon, in accordance with one embodiment of the present invention. In one embodiment, the metal layer 2201 is deposited as a liner followed by a metal fill. For example, in one embodiment, the metal layer 2201 is formed by first depositing a TiN liner over the wafer portion 200 using a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process. Then, a tungsten (W) fill layer is deposited over the TiN liner using a CVD process. In this embodiment, the TiN liner is relatively thin, while the W fill layer completely fills the contact passages 1501 and 1901.


Following the operation 153, an operation 155 is performed to removal excess metal from the top of the wafer portion 200, so as to leave the top surface of the dielectric layer exposed 501 and the contact passages 1501 and 1901 filled with metal. For example, in the TiN liner/W fill embodiment, a CMP process can be used to perform operation 155 so as to remove the W fill layer and the TiN liner from the top surface of the dielectric layer 501. FIG. 23 is an illustration showing the vertical cross-section view A-A of the wafer portion 200 with the excess metal layer 2201 removed to leave the active area contacts 2301 and the gate contacts 2303, in accordance with one embodiment of the present invention. Following completion of operation 113, i.e., following completion of the SSA contact fabrication process, fabrication of the wafer portion 200 can continue with fabrication of a metalization layer over the dielectric layer 501.



FIG. 24A is an illustration showing a top view of the wafer portion 200 following formation of the active area contacts 2301 and gate contacts 2303, in accordance with one embodiment of the present invention. It should be appreciated that each active area contact 2301 is substantially centered between its neighboring gate structures 205. Also, it should be appreciated that each gate contact 2303 is defined to substantially cover the width of the underlying gate structure 205 without extending substantially beyond either of the side surfaces of the underlying gate structure 205. Hence, due to their direct reference from the as-fabricated gate structures 205, the active area contacts 2301 and the gate contacts 2303 are considered to be super-self-aligned (SSA) contacts with respect to the gate structures 205. Additionally, it should be appreciated that the horizontal cross-section of each active area contact and each gate contact is substantially rectangular in shape.



FIG. 24B is an illustration showing an expanded view of an area 2401, as called out in FIG. 24A, in accordance with one embodiment of the present invention. As shown in FIG. 24B, the active area contact 2301 is substantially centered between its neighboring gate structures 205, such that a substantially equal gate-to-active area contact spacing (SGC) exists on each side the active area contact 2301. As previously discussed, the gate-to-active area contact spacing (SGC) is defined by the width of the second hard mask filament 1101A, as measured in the horizontal direction perpendicular to the length 218 of the gate structure 205. As shown in FIG. 24B, the gate pitch (PGA) is equal to the sum of the gate width (WGA), the active area contact width (WCT), and twice the gate-to-active area contact spacing (SGC).


While this invention has been described in terms of several embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. Therefore, it is intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.

Claims
  • 1. A semiconductor device, comprising: a first linear gate structure;a second linear gate structure located next to the first linear gate structure, the second linear gate structure separated from the first linear gate structure by a gate pitch, the second linear gate structure forming a first PMOS transistor and a first NMOS transistor;a third linear gate structure located next to the second linear gate structure, the third linear gate structure separated from the second linear gate structure by the gate pitch, the third linear gate structure forming a second PMOS transistor and a second NMOS transistor;a fourth linear gate structure located next to the third linear gate structure, the fourth linear gate structure separated from the third linear gate structure by the gate pitch, the fourth linear gate structure forming a third PMOS transistor and a third NMOS transistor;a fifth linear gate structures located next to the fourth linear gate structure, the fifth linear gate structure separated from the fourth linear gate structure by the gate pitch;a first gate contact physically connected to the second linear gate structure at a location between the first PMOS transistor and the first NMOS transistor;a second gate contact physically connected to the third linear gate structure at a location between the second PMOS transistor and the second NMOS transistor; anda third gate contact physically connected to the fourth linear gate structure at a location between the third PMOS transistor and the third NMOS transistor.
  • 2. The semiconductor device as recited in claim 1, wherein each of the first, second, third, fourth, and fifth linear gate structures extends lengthwise in a first direction, wherein the first gate contact is offset in the first direction from the second gate contact.
  • 3. The semiconductor device as recited in claim 2, wherein the second gate contact is offset in the first direction from the third gate contact.
  • 4. The semiconductor device as recited in claim 3, wherein the first gate contact is offset in the first direction from the third gate contact.
  • 5. The semiconductor device as recited in claim 1, wherein each of the first, second, third, fourth, and fifth linear gate structures extends lengthwise in a first direction, wherein the second linear gate structure has a width measured in a second direction perpendicular to the first direction, wherein the first gate contact has a width measured in the second direction, wherein the width of the first gate contact is substantially equal to the width of the second linear gate structure.
  • 6. The semiconductor device as recited in claim 5, wherein the third linear gate structure has a width measured in the second direction, wherein the second gate contact has a width measured in the second direction, wherein the width of the second gate contact is substantially equal to the width of the third linear gate structure.
  • 7. The semiconductor device as recited in claim 6, wherein the fourth linear gate structure has a width measured in the second direction, wherein the third gate contact has a width measured in the second direction, wherein the width of the third gate contact is substantially equal to the width of the fourth linear gate structure.
  • 8. The semiconductor device as recited in claim 7, wherein each of the first, second, and third gate contacts has a substantially equal length as measured in the first direction.
  • 9. The semiconductor device as recited in claim 8, wherein the widths of the second, third, and fourth linear gate structures are substantially equal.
  • 10. The semiconductor device as recited in claim 1, wherein each of the first, second, third, fourth, and fifth linear gate structures extends lengthwise in a first direction, wherein a first end of the second linear gate structure is substantially aligned in the first direction with a first end of the third linear gate structure.
  • 11. The semiconductor device as recited in claim 10, wherein a first end of the fourth linear gate structure is substantially aligned in the first direction with a first end of the third linear gate structure.
  • 12. The semiconductor device as recited in claim 11, wherein a second end of the second linear gate structure is substantially aligned in the first direction with a second end of the third linear gate structure.
  • 13. The semiconductor device as recited in claim 12, wherein a second end of the fourth linear gate structure is substantially aligned in the first direction with a second end of the third linear gate structure.
  • 14. The semiconductor device as recited in claim 1, wherein the first linear gate structure does not form a gate electrode of a transistor.
  • 15. The semiconductor device as recited in claim 14, wherein the fifth linear gate structure does not form a gate electrode of a transistor.
  • 16. The semiconductor device as recited in claim 1, further comprising: a first p-type diffusion region formed between the first linear gate structure and the first PMOS transistor;a second p-type diffusion region formed to extend from the first PMOS transistor to the second PMOS transistor;a third p-type diffusion region formed to extend from the second PMOS transistor to the third PMOS transistor; anda fourth p-type diffusion region formed between the third PMOS transistor and the fifth linear gate structure.
  • 17. The semiconductor device as recited in claim 16, further comprising: a first n-type diffusion region formed between the first linear gate structure and the first NMOS transistor;a second n-type diffusion region formed to extend from the first NMOS transistor to the second NMOS transistor;a third n-type diffusion region formed to extend from the second NMOS transistor to the third NMOS transistor; anda fourth n-type diffusion region formed between the third NMOS transistor and the fifth linear gate structure.
  • 18. The semiconductor device as recited in claim 17, further comprising: a first diffusion contact physically connected to the first p-type diffusion region;a second diffusion contact physically connected to the second p-type diffusion region;a third diffusion contact physically connected to the third p-type diffusion region;a fourth diffusion contact physically connected to the fourth p-type diffusion region;a fifth diffusion contact physically connected to the first n-type diffusion region; anda sixth diffusion contact physically connected to the fourth n-type diffusion region.
  • 19. The semiconductor device as recited in claim 18, wherein the second diffusion contact is substantially equally spaced from each of the second linear gate structure and the third linear gate structure.
  • 20. The semiconductor device as recited in claim 19, wherein the fourth diffusion contact is substantially equally spaced from each of the fourth linear gate structure and the fifth linear gate structure.
  • 21. The semiconductor device as recited in claim 20, wherein the sixth diffusion contact is substantially equally spaced from each of the fourth linear gate structure and the fifth linear gate structure.
CLAIM OF PRIORITY

This application is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 15/064,323, filed on Mar. 8, 2016, which is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 14/566,249, filed on Dec. 10, 2014, issued as U.S. Pat. No. 9,281,371, on Mar. 8, 2016, which is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 14/033,952, filed on Sep. 23, 2013, issued as U.S. Pat. No. 8,951,916, on Feb. 10, 2015, which is a divisional application under 35 U.S.C. 121 of prior U.S. application Ser. No. 11/956,305, filed Dec. 13, 2007, issued as U.S. Pat. No. 8,541,879, on Sep. 24, 2013. The disclosure of each above-identified patent application is incorporated herein by reference in its entirety for all purposes.

US Referenced Citations (906)
Number Name Date Kind
3521242 Katz Jul 1970 A
4069493 Bobenrieth Jan 1978 A
4197555 Uehara et al. Apr 1980 A
4417161 Uya Nov 1983 A
4424460 Best Jan 1984 A
4575648 Lee Mar 1986 A
4602270 Finegold Jul 1986 A
4613940 Shenton et al. Sep 1986 A
4657628 Holloway et al. Apr 1987 A
4682202 Tanizawa Jul 1987 A
4745084 Rowson et al. May 1988 A
4780753 Shinichi et al. Oct 1988 A
4801986 Chang et al. Jan 1989 A
4804636 Groover Feb 1989 A
4812688 Chu et al. Mar 1989 A
4884115 Michel et al. Nov 1989 A
4890148 Ikeda Dec 1989 A
4928160 Crafts May 1990 A
4975756 Haken et al. Dec 1990 A
5005068 Ikeda Apr 1991 A
5047979 Leung Sep 1991 A
5057895 Beasom Oct 1991 A
5068603 Mahoney Nov 1991 A
5079614 Khatakhotan Jan 1992 A
5097422 Corbin et al. Mar 1992 A
5117277 Yuyama et al. May 1992 A
5121186 Wong et al. Jun 1992 A
5208765 Turnbull May 1993 A
5224057 Igarashi Jun 1993 A
5242770 Chen et al. Sep 1993 A
5268319 Harari Dec 1993 A
5298774 Ueda et al. Mar 1994 A
5313426 Sakuma et al. May 1994 A
5338963 Klaasen Aug 1994 A
5351197 Upton et al. Sep 1994 A
5359226 DeJong Oct 1994 A
5365454 Nakagawa et al. Nov 1994 A
5367187 Yuen Nov 1994 A
5378649 Huang Jan 1995 A
5396128 Dunning et al. Mar 1995 A
5420447 Waggoner May 1995 A
5461577 Shaw et al. Oct 1995 A
5471403 Fujimaga Nov 1995 A
5486717 Kokubo Jan 1996 A
5497334 Russell et al. Mar 1996 A
5497337 Ponnapalli et al. Mar 1996 A
5526307 Lin et al. Jun 1996 A
5536955 Ali Jul 1996 A
5545904 Orbach Aug 1996 A
5581098 Chang Dec 1996 A
5581202 Yano et al. Dec 1996 A
5612893 Hao et al. Mar 1997 A
5636002 Garofalo Jun 1997 A
5656861 Godinho et al. Aug 1997 A
5682323 Pasch et al. Oct 1997 A
5684311 Shaw Nov 1997 A
5684733 Wu et al. Nov 1997 A
5698873 Colwell et al. Dec 1997 A
5705301 Garza et al. Jan 1998 A
5717635 Akatsu Feb 1998 A
5723883 Gheewalla Mar 1998 A
5723908 Fuchida et al. Mar 1998 A
5740068 Liebmann et al. Apr 1998 A
5745374 Matsumoto Apr 1998 A
5754826 Gamal May 1998 A
5756385 Yuan May 1998 A
5764533 deDood Jun 1998 A
5774367 Reyes et al. Jun 1998 A
5780909 Hayashi Jul 1998 A
5789776 Lancaster et al. Aug 1998 A
5790417 Chao et al. Aug 1998 A
5796128 Tran et al. Aug 1998 A
5796624 Sridhar et al. Aug 1998 A
5798298 Yang et al. Aug 1998 A
5814844 Nagata et al. Sep 1998 A
5825203 Kusunoki et al. Oct 1998 A
5834851 Ikeda et al. Nov 1998 A
5838594 Kojima Nov 1998 A
5841663 Sharma et al. Nov 1998 A
5847421 Yamaguchi Dec 1998 A
5850362 Sakuma et al. Dec 1998 A
5852562 Shinomiya et al. Dec 1998 A
5858580 Wang et al. Jan 1999 A
5898194 Gheewala Apr 1999 A
5900340 Reich et al. May 1999 A
5905287 Hirata May 1999 A
5908827 Sirna Jun 1999 A
5915199 Hsu Jun 1999 A
5917207 Colwell et al. Jun 1999 A
5920486 Beahm et al. Jul 1999 A
5923059 Gheewala Jul 1999 A
5923060 Gheewala Jul 1999 A
5929469 Mimoto et al. Jul 1999 A
5930163 Hara et al. Jul 1999 A
5935763 Caterer et al. Aug 1999 A
5949101 Aritome Sep 1999 A
5973369 Hayashi Oct 1999 A
5973507 Yamazaki Oct 1999 A
5977305 Wigler et al. Nov 1999 A
5977574 Schmitt et al. Nov 1999 A
5984510 Ali Nov 1999 A
5998879 Iwaki et al. Dec 1999 A
6009251 Ho et al. Dec 1999 A
6026223 Scepanovic et al. Feb 2000 A
6026225 Iwasaki Feb 2000 A
6034433 Beatty Mar 2000 A
6037613 Mariyama Mar 2000 A
6037617 Kumagai Mar 2000 A
6044007 Capodieci Mar 2000 A
6054872 Fudanuki et al. Apr 2000 A
6063132 DeCamp et al. May 2000 A
6077310 Yamamoto et al. Jun 2000 A
6080206 Tadokoro et al. Jun 2000 A
6084255 Ueda Jul 2000 A
6084437 Sako Jul 2000 A
6091845 Pierrat et al. Jul 2000 A
6099584 Arnold et al. Aug 2000 A
6100025 Wigler et al. Aug 2000 A
6114071 Chen et al. Sep 2000 A
6144227 Sato Nov 2000 A
6159839 Jeng et al. Dec 2000 A
6166415 Sakemi et al. Dec 2000 A
6166560 Ogura et al. Dec 2000 A
6174742 Sudhindranath et al. Jan 2001 B1
6182272 Andreev et al. Jan 2001 B1
6194104 Hsu Feb 2001 B1
6194252 Yamaguchi Feb 2001 B1
6194912 Or-Bach Feb 2001 B1
6207479 Liew et al. Mar 2001 B1
6209123 Maziasz et al. Mar 2001 B1
6230299 McSherry et al. May 2001 B1
6232173 Hsu et al. May 2001 B1
6240542 Kapur May 2001 B1
6249902 Igusa et al. Jun 2001 B1
6255600 Schaper Jul 2001 B1
6255845 Wong et al. Jul 2001 B1
6262487 Igarashi et al. Jul 2001 B1
6269472 Garza et al. Jul 2001 B1
6275973 Wein Aug 2001 B1
6282696 Garza et al. Aug 2001 B1
6291276 Gonzalez Sep 2001 B1
6295224 Chan et al. Sep 2001 B1
6297668 Schober Oct 2001 B1
6297674 Kono et al. Oct 2001 B1
6303252 Lin Oct 2001 B1
6323117 Noguchi Nov 2001 B1
6331733 Or-Bach et al. Dec 2001 B1
6331791 Huang Dec 2001 B1
6335250 Egi Jan 2002 B1
6338972 Sudhindranath et al. Jan 2002 B1
6347062 Nii et al. Feb 2002 B2
6356112 Tran et al. Mar 2002 B1
6359804 Kuriyama et al. Mar 2002 B2
6370679 Chang et al. Apr 2002 B1
6378110 Ho Apr 2002 B1
6380592 Tooher et al. Apr 2002 B2
6388296 Hsu May 2002 B1
6393601 Tanaka et al. May 2002 B1
6399972 Masuda et al. Jun 2002 B1
6400183 Yamashita et al. Jun 2002 B2
6408427 Cong et al. Jun 2002 B1
6415421 Anderson et al. Jul 2002 B2
6416907 Winder et al. Jul 2002 B1
6417549 Oh Jul 2002 B1
6421820 Mansfield et al. Jul 2002 B1
6425112 Bula et al. Jul 2002 B1
6425117 Pasch et al. Jul 2002 B1
6426269 Haffner et al. Jul 2002 B1
6436805 Trivedi Aug 2002 B1
6445049 Iranmanesh Sep 2002 B1
6445065 Gheewala et al. Sep 2002 B1
6467072 Yang et al. Oct 2002 B1
6469328 Yanai et al. Oct 2002 B2
6470489 Chang et al. Oct 2002 B1
6476493 Or-Bach et al. Nov 2002 B2
6477695 Gandhi Nov 2002 B1
6480032 Aksamit Nov 2002 B1
6480989 Chan et al. Nov 2002 B2
6482689 Trivedi Nov 2002 B2
6492066 Capodieci et al. Dec 2002 B1
6496965 Van Ginneken et al. Dec 2002 B1
6504186 Kanamoto et al. Jan 2003 B2
6505327 Lin Jan 2003 B2
6505328 van Ginneken et al. Jan 2003 B1
6507941 Leung et al. Jan 2003 B1
6509952 Govil et al. Jan 2003 B1
6514849 Hui et al. Feb 2003 B1
6516459 Sahouria Feb 2003 B1
6523156 Cirit Feb 2003 B2
6525350 Kinoshita et al. Feb 2003 B1
6536028 Katsioulas et al. Mar 2003 B1
6543039 Watanabe Apr 2003 B1
6553544 Tanaka et al. Apr 2003 B2
6553559 Liebmann et al. Apr 2003 B2
6553562 Capodieci et al. Apr 2003 B2
6566720 Aldrich May 2003 B2
6570234 Gardner May 2003 B1
6571140 Wewalaarachchi May 2003 B1
6571379 Takayama May 2003 B2
6574786 Pohlenz et al. Jun 2003 B1
6578190 Ferguson et al. Jun 2003 B2
6583041 Capodieci Jun 2003 B1
6588005 Kobayashi et al. Jul 2003 B1
6590289 Shively Jul 2003 B2
6591207 Naya et al. Jul 2003 B2
6609235 Ramaswamy et al. Aug 2003 B2
6610607 Armbrust et al. Aug 2003 B1
6617621 Gheewala et al. Sep 2003 B1
6620561 Winder et al. Sep 2003 B2
6621132 Onishi et al. Sep 2003 B2
6632741 Clevenger et al. Oct 2003 B1
6633182 Pileggi et al. Oct 2003 B2
6635935 Makino Oct 2003 B2
6642744 Or-Bach et al. Nov 2003 B2
6643831 Chang et al. Nov 2003 B2
6650014 Kariyazaki Nov 2003 B2
6661041 Keeth Dec 2003 B2
6662350 Fried et al. Dec 2003 B2
6664587 Guterman et al. Dec 2003 B2
6673638 Bendik et al. Jan 2004 B1
6675361 Crafts Jan 2004 B1
6677649 Minami et al. Jan 2004 B2
6687895 Zhang Feb 2004 B2
6690206 Rikino et al. Feb 2004 B2
6691297 Misaka et al. Feb 2004 B1
6700405 Hirairi Mar 2004 B1
6703170 Pindo Mar 2004 B1
6709880 Yamamoto et al. Mar 2004 B2
6714903 Chu et al. Mar 2004 B1
6732334 Nakatsuka May 2004 B2
6732338 Crouse et al. May 2004 B2
6732344 Sakamoto et al. May 2004 B2
6734506 Oyamatsu May 2004 B2
6737199 Hsieh May 2004 B1
6737318 Murata et al. May 2004 B2
6737347 Houston et al. May 2004 B1
6745372 Cote et al. Jun 2004 B2
6745380 Bodendorf et al. Jun 2004 B2
6749972 Yu Jun 2004 B2
6750555 Satomi et al. Jun 2004 B2
6760269 Nakase et al. Jul 2004 B2
6765245 Bansal Jul 2004 B2
6777138 Pierrat et al. Aug 2004 B2
6777146 Samuels Aug 2004 B1
6787469 Houston et al. Sep 2004 B2
6787823 Shibutani Sep 2004 B2
6789244 Dasasathyan et al. Sep 2004 B1
6789246 Mohan et al. Sep 2004 B1
6792591 Shi et al. Sep 2004 B2
6792593 Takashima et al. Sep 2004 B2
6794677 Tamaki et al. Sep 2004 B2
6794914 Sani et al. Sep 2004 B2
6795332 Yamaoka et al. Sep 2004 B2
6795358 Tanaka et al. Sep 2004 B2
6795952 Stine et al. Sep 2004 B1
6795953 Bakarian et al. Sep 2004 B2
6800883 Furuya et al. Oct 2004 B2
6806180 Cho Oct 2004 B2
6807663 Cote et al. Oct 2004 B2
6809399 Ikeda et al. Oct 2004 B2
6812574 Tomita et al. Nov 2004 B2
6818389 Fritze et al. Nov 2004 B2
6818929 Tsutsumi et al. Nov 2004 B2
6819136 Or-Bach Nov 2004 B2
6820248 Gan Nov 2004 B1
6826738 Cadouri Nov 2004 B2
6834375 Stine et al. Dec 2004 B1
6835991 Pell, III Dec 2004 B2
6841880 Matsumoto et al. Jan 2005 B2
6850854 Naya et al. Feb 2005 B2
6854096 Eaton et al. Feb 2005 B2
6854100 Chuang et al. Feb 2005 B1
6867073 Enquist Mar 2005 B1
6871338 Yamauchi Mar 2005 B2
6872990 Kang Mar 2005 B1
6877144 Rittman et al. Apr 2005 B1
6879511 Dufourt Apr 2005 B2
6881523 Smith Apr 2005 B2
6884712 Yelehanka et al. Apr 2005 B2
6885045 Hidaka Apr 2005 B2
6889370 Kerzman et al. May 2005 B1
6897517 Houdt May 2005 B2
6897536 Nomura et al. May 2005 B2
6898770 Boluki et al. May 2005 B2
6904582 Rittman et al. Jun 2005 B1
6918104 Pierrat et al. Jul 2005 B2
6920079 Shibayama Jul 2005 B2
6921982 Joshi et al. Jul 2005 B2
6922354 Ishikura et al. Jul 2005 B2
6924560 Wang et al. Aug 2005 B2
6928635 Pramanik et al. Aug 2005 B2
6931617 Sanie et al. Aug 2005 B2
6953956 Or-Bach et al. Oct 2005 B2
6954918 Houston Oct 2005 B2
6957402 Templeton et al. Oct 2005 B2
6968527 Pierrat Nov 2005 B2
6974978 Possley Dec 2005 B1
6977856 Tanaka et al. Dec 2005 B2
6978436 Cote et al. Dec 2005 B2
6978437 Rittman et al. Dec 2005 B1
6980211 Lin et al. Dec 2005 B2
6992394 Park Jan 2006 B2
6992925 Peng Jan 2006 B2
6993741 Liebmann et al. Jan 2006 B2
6994939 Ghandehari et al. Feb 2006 B1
6998722 Madurawe Feb 2006 B2
7003068 Kushner et al. Feb 2006 B2
7009862 Higeta et al. Mar 2006 B2
7016214 Kawamata et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7028285 Cote et al. Apr 2006 B2
7041568 Goldbach et al. May 2006 B2
7052972 Sandhu et al. May 2006 B2
7053424 Ono May 2006 B2
7063920 Baba-Ali Jun 2006 B2
7064068 Chou et al. Jun 2006 B2
7065731 Jacques et al. Jun 2006 B2
7079413 Tsukamoto et al. Jul 2006 B2
7079989 Wimer Jul 2006 B2
7093208 Williams et al. Aug 2006 B2
7093228 Andreev et al. Aug 2006 B2
7103870 Misaka et al. Sep 2006 B2
7105871 Or-Bach et al. Sep 2006 B2
7107551 de Dood et al. Sep 2006 B1
7115343 Gordon et al. Oct 2006 B2
7115920 Bernstein et al. Oct 2006 B2
7120882 Kotani et al. Oct 2006 B2
7124386 Smith et al. Oct 2006 B2
7126837 Banachowicz et al. Oct 2006 B1
7132203 Pierrat Nov 2006 B2
7137092 Maeda Nov 2006 B2
7141853 Campbell et al. Nov 2006 B2
7143380 Anderson et al. Nov 2006 B1
7149999 Kahng et al. Dec 2006 B2
7152215 Smith et al. Dec 2006 B2
7155685 Mori et al. Dec 2006 B2
7155689 Pierrat et al. Dec 2006 B2
7159197 Falbo et al. Jan 2007 B2
7174520 White et al. Feb 2007 B2
7175940 Laidig et al. Feb 2007 B2
7176508 Joshi et al. Feb 2007 B2
7177215 Tanaka et al. Feb 2007 B2
7183611 Bhattacharyya Feb 2007 B2
7185294 Zhang Feb 2007 B2
7188322 Cohn et al. Mar 2007 B2
7194712 Wu Mar 2007 B2
7200831 Kitabayashi Apr 2007 B2
7200835 Zhang et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7205191 Kobayashi Apr 2007 B2
7208794 Hofmann et al. Apr 2007 B2
7214579 Widdershoven et al. May 2007 B2
7219326 Reed et al. May 2007 B2
7221031 Ryoo et al. May 2007 B2
7225423 Bhattacharya et al. May 2007 B2
7227183 Donze et al. Jun 2007 B2
7228510 Ono Jun 2007 B2
7231628 Pack et al. Jun 2007 B2
7235424 Chen et al. Jun 2007 B2
7243316 White et al. Jul 2007 B2
7252909 Shin et al. Aug 2007 B2
7257017 Liaw Aug 2007 B2
7264990 Rueckes et al. Sep 2007 B2
7266787 Hughes et al. Sep 2007 B2
7269803 Khakzadi et al. Sep 2007 B2
7278118 Pileggi et al. Oct 2007 B2
7279727 Ikoma et al. Oct 2007 B2
7287320 Wang et al. Oct 2007 B2
7294534 Iwaki Nov 2007 B2
7302651 Allen et al. Nov 2007 B2
7308669 Buehler et al. Dec 2007 B2
7312003 Cote et al. Dec 2007 B2
7312144 Cho Dec 2007 B2
7315994 Aller et al. Jan 2008 B2
7327591 Sadra et al. Feb 2008 B2
7329938 Kinoshita Feb 2008 B2
7329953 Tu Feb 2008 B2
7335583 Chang Feb 2008 B2
7335966 Ihme et al. Feb 2008 B2
7337421 Kamat Feb 2008 B2
7338896 Vanhaelemeersch et al. Mar 2008 B2
7345909 Chang et al. Mar 2008 B2
7346885 Semmler Mar 2008 B2
7350183 Cui et al. Mar 2008 B2
7353492 Gupta et al. Apr 2008 B2
7358131 Bhattacharyya Apr 2008 B2
7360179 Smith et al. Apr 2008 B2
7360198 Rana et al. Apr 2008 B2
7366997 Rahmat et al. Apr 2008 B1
7367008 White et al. Apr 2008 B2
7376931 Kokubun May 2008 B2
7383521 Smith et al. Jun 2008 B2
7397260 Chanda et al. Jul 2008 B2
7400627 Wu et al. Jul 2008 B2
7402848 Chang et al. Jul 2008 B2
7404154 Venkatraman et al. Jul 2008 B1
7404173 Wu et al. Jul 2008 B2
7411252 Anderson et al. Aug 2008 B2
7421678 Barnes et al. Sep 2008 B2
7423298 Mariyama et al. Sep 2008 B2
7424694 Ikeda Sep 2008 B2
7424695 Tamura et al. Sep 2008 B2
7424696 Vogel et al. Sep 2008 B2
7426710 Zhang et al. Sep 2008 B2
7432562 Bhattacharyya Oct 2008 B2
7434185 Dooling et al. Oct 2008 B2
7441211 Gupta et al. Oct 2008 B1
7442630 Kelberlau et al. Oct 2008 B2
7444609 Charlebois et al. Oct 2008 B2
7446352 Becker et al. Nov 2008 B2
7449371 Kemerling et al. Nov 2008 B2
7458045 Cote et al. Nov 2008 B2
7459792 Chen Dec 2008 B2
7465973 Chang et al. Dec 2008 B2
7466607 Hollis et al. Dec 2008 B2
7469396 Hayashi et al. Dec 2008 B2
7480880 Visweswariah et al. Jan 2009 B2
7480891 Sezginer Jan 2009 B2
7484197 Allen et al. Jan 2009 B2
7485934 Liaw Feb 2009 B2
7487475 Kriplani et al. Feb 2009 B1
7492013 Correale, Jr. Feb 2009 B2
7500211 Komaki Mar 2009 B2
7502275 Nii et al. Mar 2009 B2
7503026 Ichiryu et al. Mar 2009 B2
7504184 Hung et al. Mar 2009 B2
7506300 Sezginer et al. Mar 2009 B2
7508238 Yamagami Mar 2009 B2
7509621 Melvin, III Mar 2009 B2
7509622 Sinha et al. Mar 2009 B2
7512017 Chang Mar 2009 B2
7512921 Shibuya Mar 2009 B2
7514355 Katase Apr 2009 B2
7514959 Or-Bach et al. Apr 2009 B2
7523429 Kroyan et al. Apr 2009 B2
7527900 Zhou et al. May 2009 B2
7535751 Huang May 2009 B2
7538368 Yano May 2009 B2
7543262 Wang et al. Jun 2009 B2
7563701 Chang et al. Jul 2009 B2
7564134 Lee et al. Jul 2009 B2
7568174 Sezginer et al. Jul 2009 B2
7569309 Walter et al. Aug 2009 B2
7569310 Wallace et al. Aug 2009 B2
7569894 Suzuki Aug 2009 B2
7575973 Mokhlesi et al. Aug 2009 B2
7592247 Yang et al. Sep 2009 B2
7592676 Nakanishi Sep 2009 B2
7598541 Okamoto et al. Oct 2009 B2
7598558 Hashimoto et al. Oct 2009 B2
7614030 Hsu Nov 2009 B2
7625790 Yang Dec 2009 B2
7632610 Wallace et al. Dec 2009 B2
7640522 Gupta et al. Dec 2009 B2
7646651 Lee et al. Jan 2010 B2
7647574 Haruki Jan 2010 B2
7653884 Furnish et al. Jan 2010 B2
7665051 Ludwig et al. Feb 2010 B2
7700466 Booth et al. Apr 2010 B2
7712056 White et al. May 2010 B2
7739627 Chew et al. Jun 2010 B2
7749662 Matthew et al. Jul 2010 B2
7755110 Gliese et al. Jul 2010 B2
7770144 Dellinger Aug 2010 B2
7781847 Yang Aug 2010 B2
7791109 Wann et al. Sep 2010 B2
7802219 Tomar et al. Sep 2010 B2
7816740 Houston Oct 2010 B2
7825437 Pillarisetty et al. Nov 2010 B2
7842975 Becker et al. Nov 2010 B2
7873929 Kahng et al. Jan 2011 B2
7882456 Zach Feb 2011 B2
7888705 Becker et al. Feb 2011 B2
7898040 Nawaz Mar 2011 B2
7906801 Becker et al. Mar 2011 B2
7908578 Becker et al. Mar 2011 B2
7910958 Becker et al. Mar 2011 B2
7910959 Becker et al. Mar 2011 B2
7917877 Singh et al. Mar 2011 B2
7917879 Becker et al. Mar 2011 B2
7923266 Thijs et al. Apr 2011 B2
7923337 Chang et al. Apr 2011 B2
7923757 Becker et al. Apr 2011 B2
7926001 Pierrat Apr 2011 B2
7932544 Becker et al. Apr 2011 B2
7932545 Becker et al. Apr 2011 B2
7934184 Zhang Apr 2011 B2
7939443 Fox et al. May 2011 B2
7943966 Becker et al. May 2011 B2
7943967 Becker et al. May 2011 B2
7948012 Becker et al. May 2011 B2
7948013 Becker et al. May 2011 B2
7952119 Becker et al. May 2011 B2
7956421 Becker Jun 2011 B2
7958465 Lu et al. Jun 2011 B2
7962867 White et al. Jun 2011 B2
7962878 Melzner Jun 2011 B2
7962879 Tang et al. Jun 2011 B2
7964267 Lyons et al. Jun 2011 B1
7971160 Osawa et al. Jun 2011 B2
7989847 Becker et al. Aug 2011 B2
7989848 Becker et al. Aug 2011 B2
7992122 Burstein et al. Aug 2011 B1
7994583 Inaba Aug 2011 B2
8004042 Yang et al. Aug 2011 B2
8022441 Becker et al. Sep 2011 B2
8030689 Becker et al. Oct 2011 B2
8035133 Becker et al. Oct 2011 B2
8044437 Venkatraman et al. Oct 2011 B1
8058671 Becker et al. Nov 2011 B2
8058690 Chang Nov 2011 B2
8072003 Becker et al. Dec 2011 B2
8072053 Li Dec 2011 B2
8088679 Becker et al. Jan 2012 B2
8088680 Becker et al. Jan 2012 B2
8088681 Becker et al. Jan 2012 B2
8088682 Becker et al. Jan 2012 B2
8089098 Becker et al. Jan 2012 B2
8089099 Becker et al. Jan 2012 B2
8089100 Becker et al. Jan 2012 B2
8089101 Becker et al. Jan 2012 B2
8089102 Becker et al. Jan 2012 B2
8089103 Becker et al. Jan 2012 B2
8089104 Becker et al. Jan 2012 B2
8101975 Becker et al. Jan 2012 B2
8110854 Becker et al. Feb 2012 B2
8129750 Becker et al. Mar 2012 B2
8129751 Becker et al. Mar 2012 B2
8129752 Becker et al. Mar 2012 B2
8129754 Becker et al. Mar 2012 B2
8129755 Becker et al. Mar 2012 B2
8129756 Becker et al. Mar 2012 B2
8129757 Becker et al. Mar 2012 B2
8129819 Becker et al. Mar 2012 B2
8130529 Tanaka Mar 2012 B2
8134183 Becker et al. Mar 2012 B2
8134184 Becker et al. Mar 2012 B2
8134185 Becker et al. Mar 2012 B2
8134186 Becker et al. Mar 2012 B2
8138525 Becker et al. Mar 2012 B2
8161427 Morgenshtein et al. Apr 2012 B2
8178905 Toubou May 2012 B2
8178909 Venkatraman et al. May 2012 B2
8198656 Becker et al. Jun 2012 B2
8207053 Becker et al. Jun 2012 B2
8214778 Quandt et al. Jul 2012 B2
8217428 Becker et al. Jul 2012 B2
8225239 Reed et al. Jul 2012 B2
8225261 Hong et al. Jul 2012 B2
8245180 Smayling et al. Aug 2012 B2
8247846 Becker Aug 2012 B2
8253172 Becker et al. Aug 2012 B2
8253173 Becker et al. Aug 2012 B2
8258547 Becker et al. Sep 2012 B2
8258548 Becker et al. Sep 2012 B2
8258549 Becker et al. Sep 2012 B2
8258550 Becker et al. Sep 2012 B2
8258551 Becker et al. Sep 2012 B2
8258552 Becker et al. Sep 2012 B2
8258581 Becker et al. Sep 2012 B2
8259286 Maly Sep 2012 B2
8264007 Becker et al. Sep 2012 B2
8264008 Becker et al. Sep 2012 B2
8264009 Becker et al. Sep 2012 B2
8264044 Becker Sep 2012 B2
8274099 Becker Sep 2012 B2
8283701 Becker et al. Oct 2012 B2
8294212 Wang et al. Oct 2012 B2
8316327 Herold Nov 2012 B2
8356268 Becker et al. Jan 2013 B2
8363455 Rennie Jan 2013 B2
8378407 Audzeyeu et al. Feb 2013 B2
8395224 Becker et al. Mar 2013 B2
8402397 Robles et al. Mar 2013 B2
8405163 Becker et al. Mar 2013 B2
8422274 Tomita et al. Apr 2013 B2
8436400 Becker et al. May 2013 B2
8453094 Kornachuk et al. May 2013 B2
8575706 Becker et al. Nov 2013 B2
8667443 Smayling et al. Mar 2014 B2
8701071 Kornachuk et al. Apr 2014 B2
8735995 Becker et al. May 2014 B2
8756551 Becker et al. Jun 2014 B2
8836045 Becker et al. Sep 2014 B2
8839162 Amundson et al. Sep 2014 B2
8839175 Smayling et al. Sep 2014 B2
8847329 Becker et al. Sep 2014 B2
8863063 Becker et al. Oct 2014 B2
8921896 Becker et al. Dec 2014 B2
9006841 Kumar Apr 2015 B2
9035359 Becker May 2015 B2
9202779 Kornachuk et al. Dec 2015 B2
9269423 Sever Feb 2016 B2
9336344 Smayling May 2016 B2
9425272 Becker Aug 2016 B2
9425273 Becker Aug 2016 B2
9443947 Becker Sep 2016 B2
9633987 Smayling Apr 2017 B2
9917056 Smayling Mar 2018 B2
20010049813 Chan et al. Dec 2001 A1
20020003270 Makino Jan 2002 A1
20020015899 Chen et al. Feb 2002 A1
20020024049 Nii Feb 2002 A1
20020030510 Kono et al. Mar 2002 A1
20020063582 Rikino May 2002 A1
20020068423 Park et al. Jun 2002 A1
20020079516 Lim Jun 2002 A1
20020079927 Katoh et al. Jun 2002 A1
20020149392 Cho Oct 2002 A1
20020166107 Capodieci et al. Nov 2002 A1
20020194575 Allen et al. Dec 2002 A1
20030042930 Pileggi et al. Mar 2003 A1
20030046653 Liu Mar 2003 A1
20030061592 Agrawal et al. Mar 2003 A1
20030088839 Watanabe May 2003 A1
20030088842 Cirit May 2003 A1
20030090924 Nii May 2003 A1
20030103176 Abe et al. Jun 2003 A1
20030106037 Moniwa et al. Jun 2003 A1
20030107085 Gudesen et al. Jun 2003 A1
20030117168 Uneme et al. Jun 2003 A1
20030124847 Houston et al. Jul 2003 A1
20030125917 Rich et al. Jul 2003 A1
20030126569 Rich et al. Jul 2003 A1
20030128565 Tomita Jul 2003 A1
20030145288 Wang et al. Jul 2003 A1
20030145299 Fried et al. Jul 2003 A1
20030177465 MacLean et al. Sep 2003 A1
20030178648 Bansal Sep 2003 A1
20030185076 Worley Oct 2003 A1
20030203287 Miyagawa Oct 2003 A1
20030229868 White et al. Dec 2003 A1
20030229875 Smith et al. Dec 2003 A1
20040029372 Jang et al. Feb 2004 A1
20040049754 Liao et al. Mar 2004 A1
20040063038 Shin et al. Apr 2004 A1
20040115539 Broeke et al. Jun 2004 A1
20040139412 Ito et al. Jul 2004 A1
20040145028 Matsumoto et al. Jul 2004 A1
20040153979 Chang Aug 2004 A1
20040161878 Or-Bach et al. Aug 2004 A1
20040164360 Nishida et al. Aug 2004 A1
20040169201 Hidaka Sep 2004 A1
20040194050 Hwang et al. Sep 2004 A1
20040196705 Ishikura et al. Oct 2004 A1
20040229135 Wang et al. Nov 2004 A1
20040232444 Shimizu Nov 2004 A1
20040243966 Dellinger Dec 2004 A1
20040245547 Stipe Dec 2004 A1
20040262640 Suga Dec 2004 A1
20050001271 Kobayashi Jan 2005 A1
20050009312 Butt et al. Jan 2005 A1
20050009344 Hwang et al. Jan 2005 A1
20050012157 Cho et al. Jan 2005 A1
20050044522 Maeda Feb 2005 A1
20050055828 Wang et al. Mar 2005 A1
20050076320 Maeda Apr 2005 A1
20050087806 Hokazono Apr 2005 A1
20050093147 Tu May 2005 A1
20050101112 Rueckes et al. May 2005 A1
20050110130 Kitabayashi et al. May 2005 A1
20050135134 Yen Jun 2005 A1
20050136340 Baselmans et al. Jun 2005 A1
20050138598 Kokubun Jun 2005 A1
20050156200 Kinoshita Jul 2005 A1
20050185325 Hur Aug 2005 A1
20050189604 Gupta et al. Sep 2005 A1
20050189614 Ihme et al. Sep 2005 A1
20050196685 Wang et al. Sep 2005 A1
20050205894 Sumikawa et al. Sep 2005 A1
20050212018 Schoellkopf et al. Sep 2005 A1
20050224982 Kemerling et al. Oct 2005 A1
20050229130 Wu et al. Oct 2005 A1
20050251771 Robles Nov 2005 A1
20050264320 Chung et al. Dec 2005 A1
20050264324 Nakazato Dec 2005 A1
20050266621 Kim Dec 2005 A1
20050268256 Tsai et al. Dec 2005 A1
20050274983 Hayashi et al. Dec 2005 A1
20050278673 Kawachi Dec 2005 A1
20050280031 Yano Dec 2005 A1
20060036976 Cohn Feb 2006 A1
20060038234 Liaw Feb 2006 A1
20060050588 Osada Mar 2006 A1
20060063334 Donze et al. Mar 2006 A1
20060065893 Jin et al. Mar 2006 A1
20060070018 Semmler Mar 2006 A1
20060073694 Chang Apr 2006 A1
20060084261 Iwaki Apr 2006 A1
20060091550 Shimazaki et al. May 2006 A1
20060095872 McElvain May 2006 A1
20060101370 Cui et al. May 2006 A1
20060112355 Pileggi et al. May 2006 A1
20060113533 Tamaki et al. Jun 2006 A1
20060113567 Ohmori et al. Jun 2006 A1
20060120143 Liaw Jun 2006 A1
20060121715 Chang Jun 2006 A1
20060123376 Vogel et al. Jun 2006 A1
20060125024 Ishigaki Jun 2006 A1
20060131609 Kinoshita et al. Jun 2006 A1
20060136848 Ichiryu et al. Jun 2006 A1
20060146638 Chang et al. Jul 2006 A1
20060151810 Ohshige Jul 2006 A1
20060158270 Gibet et al. Jul 2006 A1
20060170108 Hiroi Aug 2006 A1
20060177744 Bodendorf et al. Aug 2006 A1
20060181310 Rhee Aug 2006 A1
20060195809 Cohn et al. Aug 2006 A1
20060195810 Morton Aug 2006 A1
20060197557 Chung Sep 2006 A1
20060203530 Venkatraman Sep 2006 A1
20060206854 Barnes et al. Sep 2006 A1
20060223302 Chang et al. Oct 2006 A1
20060248495 Sezginer Nov 2006 A1
20060261417 Suzuki Nov 2006 A1
20060277521 Chen Dec 2006 A1
20060289861 Correale, Jr. Dec 2006 A1
20070001304 Liaw Jan 2007 A1
20070002617 Houston Jan 2007 A1
20070004147 Toubou Jan 2007 A1
20070007574 Ohsawa Jan 2007 A1
20070038973 Li et al. Feb 2007 A1
20070074145 Tanaka Mar 2007 A1
20070094634 Seizginer et al. Apr 2007 A1
20070101305 Smith et al. May 2007 A1
20070105023 Zhou et al. May 2007 A1
20070106971 Lien et al. May 2007 A1
20070113216 Zhang May 2007 A1
20070172770 Witters et al. Jul 2007 A1
20070186196 Tanaka Aug 2007 A1
20070196958 Bhattacharya et al. Aug 2007 A1
20070204253 Murakawa Aug 2007 A1
20070209029 Ivonin et al. Sep 2007 A1
20070210391 Becker et al. Sep 2007 A1
20070211521 Kawasumi Sep 2007 A1
20070218685 Sivakumar et al. Sep 2007 A1
20070234252 Visweswariah et al. Oct 2007 A1
20070234262 Uedi et al. Oct 2007 A1
20070241810 Onda Oct 2007 A1
20070256039 White Nov 2007 A1
20070257277 Takeda et al. Nov 2007 A1
20070264758 Correale Nov 2007 A1
20070274140 Joshi et al. Nov 2007 A1
20070277129 Allen et al. Nov 2007 A1
20070288882 Kniffin et al. Dec 2007 A1
20070290361 Chen Dec 2007 A1
20070294652 Bowen Dec 2007 A1
20070297249 Chang et al. Dec 2007 A1
20080001176 Gopalakrishnan Jan 2008 A1
20080005712 Charlebois et al. Jan 2008 A1
20080021689 Yamashita et al. Jan 2008 A1
20080022247 Kojima et al. Jan 2008 A1
20080046846 Chew et al. Feb 2008 A1
20080073717 Ha Mar 2008 A1
20080081472 Tanaka Apr 2008 A1
20080082952 O'Brien Apr 2008 A1
20080083991 Yang et al. Apr 2008 A1
20080086712 Fujimoto Apr 2008 A1
20080097641 Miyashita et al. Apr 2008 A1
20080098334 Pileggi et al. Apr 2008 A1
20080098341 Kobayashi et al. Apr 2008 A1
20080099795 Bernstein et al. May 2008 A1
20080127000 Majumder et al. May 2008 A1
20080127029 Graur et al. May 2008 A1
20080134128 Blatchford et al. Jun 2008 A1
20080137051 Maly Jun 2008 A1
20080144361 Wong Jun 2008 A1
20080148216 Chan et al. Jun 2008 A1
20080163141 Scheffer et al. Jul 2008 A1
20080168406 Rahmat et al. Jul 2008 A1
20080169868 Toubou Jul 2008 A1
20080211028 Suzuki Sep 2008 A1
20080216207 Tsai Sep 2008 A1
20080244494 McCullen Oct 2008 A1
20080251779 Kakoschke et al. Oct 2008 A1
20080265290 Nielsen et al. Oct 2008 A1
20080276105 Hoberman et al. Nov 2008 A1
20080283910 Dreeskornfeld et al. Nov 2008 A1
20080283925 Berthold et al. Nov 2008 A1
20080285331 Torok et al. Nov 2008 A1
20080308848 Inaba Dec 2008 A1
20080308880 Inaba Dec 2008 A1
20080315258 Masuda et al. Dec 2008 A1
20090014811 Becker et al. Jan 2009 A1
20090024974 Yamada Jan 2009 A1
20090031261 Smith et al. Jan 2009 A1
20090032898 Becker et al. Feb 2009 A1
20090032967 Becker et al. Feb 2009 A1
20090037864 Becker et al. Feb 2009 A1
20090057780 Wong et al. Mar 2009 A1
20090075485 Ban et al. Mar 2009 A1
20090077524 Nagamura Mar 2009 A1
20090085067 Hayashi et al. Apr 2009 A1
20090087991 Yatsuda et al. Apr 2009 A1
20090101940 Barrows et al. Apr 2009 A1
20090106714 Culp et al. Apr 2009 A1
20090155990 Yanagidaira et al. Jun 2009 A1
20090159950 Ishibashi Jun 2009 A1
20090181314 Shyu et al. Jul 2009 A1
20090187871 Cork Jul 2009 A1
20090206443 Juengling Aug 2009 A1
20090224408 Fox Sep 2009 A1
20090228853 Hong et al. Sep 2009 A1
20090228857 Kornachuk et al. Sep 2009 A1
20090235215 Lavin Sep 2009 A1
20090273100 Aton et al. Nov 2009 A1
20090280582 Thijs et al. Nov 2009 A1
20090283921 Wang Nov 2009 A1
20090302372 Chang et al. Dec 2009 A1
20090319977 Saxena et al. Dec 2009 A1
20100001321 Becker et al. Jan 2010 A1
20100006897 Becker et al. Jan 2010 A1
20100006898 Becker et al. Jan 2010 A1
20100006899 Becker et al. Jan 2010 A1
20100006900 Becker et al. Jan 2010 A1
20100006901 Becker et al. Jan 2010 A1
20100006902 Becker et al. Jan 2010 A1
20100006903 Becker et al. Jan 2010 A1
20100006947 Becker et al. Jan 2010 A1
20100006948 Becker et al. Jan 2010 A1
20100006950 Becker et al. Jan 2010 A1
20100006951 Becker et al. Jan 2010 A1
20100006986 Becker et al. Jan 2010 A1
20100011327 Becker et al. Jan 2010 A1
20100011328 Becker et al. Jan 2010 A1
20100011329 Becker et al. Jan 2010 A1
20100011330 Becker et al. Jan 2010 A1
20100011331 Becker et al. Jan 2010 A1
20100011332 Becker et al. Jan 2010 A1
20100011333 Becker et al. Jan 2010 A1
20100012981 Becker et al. Jan 2010 A1
20100012982 Becker et al. Jan 2010 A1
20100012983 Becker et al. Jan 2010 A1
20100012984 Becker et al. Jan 2010 A1
20100012985 Becker et al. Jan 2010 A1
20100012986 Becker et al. Jan 2010 A1
20100017766 Becker et al. Jan 2010 A1
20100017767 Becker et al. Jan 2010 A1
20100017768 Becker et al. Jan 2010 A1
20100017769 Becker et al. Jan 2010 A1
20100017770 Becker et al. Jan 2010 A1
20100017771 Becker et al. Jan 2010 A1
20100017772 Becker et al. Jan 2010 A1
20100019280 Becker et al. Jan 2010 A1
20100019281 Becker et al. Jan 2010 A1
20100019282 Becker et al. Jan 2010 A1
20100019283 Becker et al. Jan 2010 A1
20100019284 Becker et al. Jan 2010 A1
20100019285 Becker et al. Jan 2010 A1
20100019286 Becker et al. Jan 2010 A1
20100019287 Becker et al. Jan 2010 A1
20100019288 Becker et al. Jan 2010 A1
20100019308 Chan et al. Jan 2010 A1
20100023906 Becker et al. Jan 2010 A1
20100023907 Becker et al. Jan 2010 A1
20100023908 Becker et al. Jan 2010 A1
20100023911 Becker et al. Jan 2010 A1
20100025731 Becker et al. Feb 2010 A1
20100025732 Becker et al. Feb 2010 A1
20100025733 Becker et al. Feb 2010 A1
20100025734 Becker et al. Feb 2010 A1
20100025735 Becker et al. Feb 2010 A1
20100025736 Becker et al. Feb 2010 A1
20100032722 Becker et al. Feb 2010 A1
20100032723 Becker et al. Feb 2010 A1
20100032724 Becker et al. Feb 2010 A1
20100032726 Becker et al. Feb 2010 A1
20100037194 Becker et al. Feb 2010 A1
20100037195 Becker et al. Feb 2010 A1
20100096671 Becker et al. Apr 2010 A1
20100115484 Frederick May 2010 A1
20100187609 Moroz Jul 2010 A1
20100203689 Bernstein et al. Aug 2010 A1
20100224943 Kawasaki Sep 2010 A1
20100229140 Werner et al. Sep 2010 A1
20100232212 Anderson et al. Sep 2010 A1
20100252865 Van Der Zanden Oct 2010 A1
20100252896 Smayling Oct 2010 A1
20100264468 Xu Oct 2010 A1
20100270681 Bird et al. Oct 2010 A1
20100287518 Becker Nov 2010 A1
20100301482 Schultz et al. Dec 2010 A1
20110014786 Sezginer Jan 2011 A1
20110016909 Mirza et al. Jan 2011 A1
20110108890 Becker et al. May 2011 A1
20110108891 Becker et al. May 2011 A1
20110154281 Zach Jun 2011 A1
20110207298 Anderson et al. Aug 2011 A1
20110260253 Inaba Oct 2011 A1
20110298025 Haensch et al. Dec 2011 A1
20110317477 Liaw Dec 2011 A1
20120012932 Perng et al. Jan 2012 A1
20120118854 Smayling May 2012 A1
20120131528 Chen May 2012 A1
20120273841 Quandt et al. Nov 2012 A1
20130097574 Balabanov et al. Apr 2013 A1
20130200465 Becker et al. Aug 2013 A1
20130200469 Becker et al. Aug 2013 A1
20130207198 Becker et al. Aug 2013 A1
20130207199 Becker et al. Aug 2013 A1
20130254732 Kornachuk et al. Sep 2013 A1
20140197543 Kornachuk et al. Jul 2014 A1
20150249041 Becker et al. Sep 2015 A1
20150270218 Becker et al. Sep 2015 A1
20160079159 Kornachuk et al. Mar 2016 A1
20160079276 Becker et al. Mar 2016 A1
Foreign Referenced Citations (92)
Number Date Country
0102644 Jul 1989 EP
0788166 Aug 1997 EP
1394858 Mar 2004 EP
1670062 Jun 2006 EP
1833091 Aug 2007 EP
1730777 Sep 2007 EP
2251901 Nov 2010 EP
2860920 Apr 2005 FR
58-182242 Oct 1983 JP
58-215827 Dec 1983 JP
61-182244 Aug 1986 JP
S61-202451 Sep 1986 JP
S62-047148 Feb 1987 JP
S63-310136 Dec 1988 JP
H01284115 Nov 1989 JP
03-165061 Jul 1991 JP
H05152937 Jun 1993 JP
H05211437 Aug 1993 JP
H05218362 Aug 1993 JP
H07-153927 Jun 1995 JP
2684980 Jul 1995 JP
1995-302706 Nov 1995 JP
09-282349 Oct 1997 JP
1997-09289251 Nov 1997 JP
10-116911 May 1998 JP
1999-045948 Feb 1999 JP
2000-164811 Jun 2000 JP
2001-068558 Mar 2001 JP
2001-168707 Jun 2001 JP
2001-306641 Nov 2001 JP
2002-026125 Jan 2002 JP
2002-026296 Jan 2002 JP
2002-184870 Jun 2002 JP
2001-056463 Sep 2002 JP
2002-258463 Sep 2002 JP
2002-289703 Oct 2002 JP
2001-272228 Mar 2003 JP
2003-100872 Apr 2003 JP
2003-264231 Sep 2003 JP
2004-013920 Jan 2004 JP
2004-200300 Jul 2004 JP
2004-241529 Aug 2004 JP
2004-342757 Dec 2004 JP
2005-020008 Jan 2005 JP
2003-359375 May 2005 JP
2005-123537 May 2005 JP
2005-135971 May 2005 JP
2005-149265 Jun 2005 JP
2005-183793 Jul 2005 JP
2005-203447 Jul 2005 JP
2005-268610 Sep 2005 JP
2006-073696 Mar 2006 JP
2005-114752 Oct 2006 JP
2006-303022 Nov 2006 JP
2007-012855 Jan 2007 JP
2007-013060 Jan 2007 JP
2007-043049 Feb 2007 JP
2007-141971 Jun 2007 JP
2010-141047 Jun 2010 JP
2011-515841 May 2011 JP
10-0417093 Jun 1997 KR
10-1998-087485 Dec 1998 KR
1998-0084215 Dec 1998 KR
10-1999-0057943 Jul 1999 KR
2000-0005660 Jan 2000 KR
10-2000-0028830 May 2000 KR
10-2002-0034313 May 2002 KR
10-2002-0070777 Sep 2002 KR
2003-0022006 Mar 2003 KR
2004-0005609 Jan 2004 KR
10-2005-0030347 Mar 2005 KR
2005-0037965 Apr 2005 KR
2006-0108233 Oct 2006 KR
10-2007-0077162 Jul 2007 KR
386288 Apr 2000 TW
200423404 Nov 2004 TW
200426632 Dec 2004 TW
200534132 Oct 2005 TW
200620017 Jun 2006 TW
200630838 Sep 2006 TW
200709309 Mar 2007 TW
200709565 Mar 2007 TW
200811704 Mar 2008 TW
200947567 Nov 2009 TW
WO 2005104356 Nov 2005 WO
WO 2006014849 Feb 2006 WO
WO 2006052738 May 2006 WO
WO 2006090445 Aug 2006 WO
WO 2007014053 Feb 2007 WO
WO 2007063990 Jun 2007 WO
WO 2007103587 Sep 2007 WO
WO 2009054936 Apr 2009 WO
Non-Patent Literature Citations (231)
Entry
U.S. Appl. No. 60/625,342, Pileggi et al, dated Nov. 4, 2004.
Acar, et al., “A Linear-Centric Simulation Framework for Parametric Fluctuations”, 2002, IEEE, Carnegie Mellon University USA, pp. 1-8.
Amazawa, et al., “Fully Planarized Four-Level Interconnection with Stacked VLAS Using CMP of Selective CVD-A1 and Insulator and its Application to Quarter Micron Gate Array LSIs”, 1995, IEEE, Japan, pp. 473-476.
Axelrad et al. “Efficient Full-Chip Yield Analysis Methodology for OPC-Corrected VLSI Design”, 2000, International Symposium on Quality Electronic Design (ISQED).
Balasinski et al. “Impact of Subwavelength CD Tolerance on Device Performance”, 2002, SPIE.
Burkhardt, et al., “Dark Field Double Dipole Lithography (DDL) for Back-End-Of-Line Processes”, 2007, SPIE Proceeding Series, vol. 6520; 65200K.
Capetti, et al., “Sub kl = 0.25 Lithography with Double Patterning Technique for 45nm Technology Node Flash Memory Devices at λ= 193nm”, 2007, SPIE Proceeding Series, vol. 6520; 65202K.
Capodieci, L., et al., “Toward a Methodology for Manufacturability-Driven Design Rule Exploration,” DAC 2004, Jun. 7-11, 2004, San Diego, CA.
Chandra, et al., “An Interconnect Channel Design Methodology for High Performance Integrated Circuits”, 2004, IEEE, Carnegie Mellon University, pp. 16.
Cheng, et al., “Feasibility Study of Splitting Pitch Technology on 45nm Contact Patterning with 0.93 Na”, 2007, SPIE Proceeding Series, vol. 6520; 65202N.
Chow, et al., “The Design of a SRAM-Based Field-Programmable Gate Array—Part II: Circuit Design and Layout”, 1999, IEEE, vol. 7 # 3 pp. 321-330.
Clark et al. “Managing Standby and Active Mode Leakage Power in Deep Sub-Micron Design”, Aug. 9-11, 2004, ACM.
Cobb et al. “Using OPC to Optimize for Image Slope and Improve Process Window”, 2003, SPIE.
Devgan “Leakage Issues in IC Design: Part 3”, 2003, CCAD.
DeVor, et al., “Statistical Quality Design and Control”, 1992, Macmillan Publishing Company, pp. 264-267.
Dictionary.com, “channel,” in Collins English Dictionary—Complete & Unabridged 10th Edition. Source location: HarperCollins Publishers. http://dictionary.reference.com/browse/channel. Available: http://dictionary.reference.com.
Dusa, et al. “Pitch Doubling Through Dual Patterning Lithography Challenges in Integration and Litho Budgets”, 2007, SPIE Proceeding Series, vol. 6520; 65200G.
El-Gamal, “Fast, Cheap and Under Control: The Next Implementation Fabric”, Jun. 2-6, 2003, ACM Press, pp. 354-355.
Firedberg, et al., “Modeling Within-Field Gate Length Spatial Variation for Process-Design Co-Optimization,” 2005 Proc. of SPIE vol. 5756, pp. 178-188.
Frankel, “Quantum State Control Interference Lithography and Trim Double Patterning for 32-16nm Lithography”, 2007, SPIE Proceeding Series, vol. 6520; 65202L.
Garg, et al. “ Lithography Driven Layout Design”, 2005, IEEE.
Grobman et al. “Reticle Enhancement Technology Trends: Resource and Manufacturability Implications for the Implementation of Physical Designs” Apr. 1-4, 2001, ACM.
Grobman et al. “Reticle Enhancement Technology: Implications and Challenges for Physical Design” Jun. 18-22, 2001, ACM.
Gupta et al. “ Enhanced Resist and ETCH CD Control by Design Perturbation”, Oct. 4-7, 2006, Society of Photo-Optical Instrumentation Engineers.
Gupta et al. “A Practical Transistor-Level Dual Threshold Voltage Assignment Methodology”, 2005, Sixth International Symposium on Quality Electronic Design (ISQED).
Gupta et al. “Detailed Placement for Improved Depth of Focus and CD Control”, 2005, ACM.
Gupta et al. “Joining the Design and Mask Flows for Better and Cheaper Masks”, Oct. 14-17, 2004, Society of Photo-Optical Instrumentation Engineers.
Gupta et al. “Manufacturing-Aware Physical Design”, 2003, ACM.
Gupta et al. “Selective Gate-Length Biasing for Cost-Effective Runtime Leakage Control”, Jun. 7-11, 2004, ACM.
Gupta et al. “Wafer Topography-Aware Optical Proximity Correction for Better DOF Margin and CD Control”, Apr. 13-15, 2005, SPIE.
Gupta, Puneet, et al., “Manufacturing-aware Design Methodology for Assist Feature Correctness,” 2005.
Ha et al., “Reduction in the Mask Error Factor by Optimizing the Diffraction Order of a Scattering Bar in Lithography,” Journal of the Korean Physical Society, vol. 46, No. 5, May 2005, pp. 1213-1217.
Hakko, et al., “Extension of the 2D-TCC Technique to Optimize Mask Pattern Layouts,” 2008 Proc. of SPIE vol. 7028, 11 pages.
Halpin et al., “Detailed Placement with Net Length Constraints,” Publication Year 2003, Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, pp. 22-27.
Hayashida, et al., “Manufacturable Local Interconnect technology Fully Compatible with Titanium Salicide Process”, Jun. 11-12, 1991, VMIC Conference.
Heng, et al., “A VLSI Artwork Legalization Technique Base on a New Criterion of Minimum Layout Perturbation”, 1997, ACM Press, pp. 116-121.
Heng, et al., “Toward Through-Process Layout Quality Metrics”, Mar. 3-4, 2005, Society of Photo-Optical Instrumentation Engineers.
Hu, et al., “Synthesis and Placement Flow for Gain-Based Programmable Regular Fabrics”, Apr. 6-9, 2003, ACM Press, pp. 197-203.
Hur et al., “Mongrel: Hybrid Techniques for Standard Cell Placement,” Publication Year 2000, IEEE/ACM International Conference on Computer Aided Design, ICCAD-2000, pp. 165-170.
Hutton, et al., “A Methodology for FPGA to Structured-ASIC Synthesis and Verification”, 2006, EDAA, pp. 64-69.
Intel Core Microarchitecture White Paper “Introducing the 45 nm Next-Generation Intel Core Microarchitecture,” 2007, Intel Corporation.
Jayakumar, et al., “A Metal and VIA Maskset Programmable VLSI Design Methodology using PLAs”, 2004, IEEE, pp. 590-594.
Jhaveri, T. et al., Maximization of Layout Printability/Manufacturability by Extreme Layout Regularity, Proc. of the SPIE, Apr. 2006.
Kang, S.M., Metal-Metal Matrix (M3) for High-Speed MOS VLSI Layout, IEEE Trans. on CAD, vol. CAD-6, No. 5, Sep. 1987.
Kawashima, et al., “Mask Optimization for Arbitrary Patterns with 2D-TCC Resolution Enhancement Technique,” 2008 Proc. of SPIE vol. 6924, 12 pages.
Kheterpal, et al., “Design Methodology for IC Manufacturability Based on Regular Logic-Bricks”, DAC, Jun. 13-17, 2005, IEEE/AMC, vol. 6520.
Kheterpal, et al., “Routing Architecture Exploration for Regular Fabrics”, DAC, Jun. 7-11, 2004, ACM Press, pp. 204-207.
Kim, et al., “Double Exposure Using 193nm Negative Tone Photoresist”, 2007, SPIE Proceeding Series, vol. 6520; 65202M.
Kim, et al., “Issues and Challenges of Double Patterning Lithography in DRAM”, 2007, SPIE Proceeding Series, vol. 6520; 65200H.
Koorapaty, et al., “Exploring Logic Block Granularity for Regular Fabrics”, 2004, IEEE, pp. 1-6.
Koorapaty, et al., “Heterogeneous Logic Block Architectures for Via-Patterned Programmable Fabric”, 13th International Conference on Field Programmable Logic and Applications (FPL) 2003, Lecture Notes in Computer Science (LNCS), Sep. 2003, Springer-Verlag, vol. 2778, pp. 426-436.
Koorapaty, et al., “Modular, Fabric-Specific Synthesis for Programmable Architectures”, 12th International Conference on Field Programmable Logic and Applications (FPL_2002, Lecture Notes in Computer Science (LNCS)), Sep. 2002, Springer-Verlag, vol. 2438 pp. 132-141.
Kuh et al., “Recent Advances in VLSI Layout,” Publication Year 1990, Proceedings of the IEEE, vol. 78, Issue 2, pp. 237-263.
Lavin et al. “Backend DAC Flows for “Restrictive Design Rules””, 2004, IEEE.
Li, et al., “A Linear-Centric Modeling Approach to Harmonic Balance Analysis”, 2002, IEEE, pp. 1-6.
Li, et al., “Nonlinear Distortion Analysis Via Linear-Centric Models”, 2003, IEEE, pp. 897-903.
Liebmann et al., “Integrating DFM Components Into a Cohesive Design-To-Silicon Solution”, IBM Systems and Technology Group, b IBM Research, pp. 112.
Liebmann et al., “Optimizing Style Options for Sub-Resolution Assist Features,” Proc. of Spie vol. 4346, 2001, pp. 141-152.
Liebmann, et al., “High-Performance Circuit Design for the RET-Enabled 65nm Technology Node”, Feb. 26-27, 2004, SPIE Proceeding Series, vol. 5379 pp. 20-29.
Liebmann, L. W., Layout Impact of Resolution Enhancement Techniques: Impediment or Opportunity?, International Symposium on Physical Design, 2003.
Liu, et al., “Double Patterning with Multilayer Hard Mask Shrinkage for Sub-0.25 k1 Lithography”, 200, SPIE Proceeding Series, vol. 6520; 65202J.
Mansfield et al., “Lithographic Comparison of Assist Feature Design Strategies,” Proc. of SPIE vol. 4000, 2000, pp. 63-76.
Miller, “Manufacturing-Aware Design Helps Boost IC Yield”, Sep. 9, 2004, http://www.eetimes.com/showArticle.jhtml?articlelD=47102054.
Mishra, P., et al., “FinFET Circuit Design,” Nanoelectronic Circuit Design, pp. 23-54, 2011.
Mo, et al., “Checkerboard: a Regular Structure and its Synthesis, International Workshop on Logic and Synthesis”, 2003, Department of Electrical Engineering and Computer Sciences, UC Berkeley, California, pp. 1-7.
Mo, et al., “Pla-Based Regular Structures and Their Synthesis”, 2003, Department of Electrical Engineering and Computer Sciences, IEEE, pp. 723-729.
Mo, et al., “Regular Fabrics in Deep Sub-Micron Integrated-Circuit Design”, 2004, Kluwer Academic Publishers, Entire Book.
Moore, Samuel K., “Intel 45-nanometer Penryn Processors Arrive,” Nov. 13, 2007, IEEE Spectrum, http://spectrum.ieee.org/semiconductors/design/intel-45nanometer-penryn-processors-arrive.
Mutoh et al. “1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage CMOS”, 1995, IEEE.
Op de Beek, et al., “Manufacturability issues with Double Patterning for 50nm half pitch damascene applications, using Relacs® shrink and corresponding OPC”, 2007, SPIE Proceeding Series, vol. 6520; 652001.
Or-Bach, “Programmable Circuit Fabrics”, Sep. 18, 2001, e-ASIC, pp. 1-36.
Otten, et al., “Planning for Performance”, DAC 1998, ACM Inc., pp. 122-127.
Pack et al. “Physical & Timing Verification of Subwavelength-Scale Designs-Part I: Lithography Impact on MOSFETs”, 2003, SPIE.
Pandini, et al., “Congestion-Aware Logic Synthesis”, 2002, IEEE, pp. 1-8.
Pandini, et al., “Understanding and Addressing the Impact of Wiring Congestion During Technology Mapping”, ISPD Apr. 7-10, 2002, ACM Press, pp. 131-136.
Patel, et al., “An Architectural Exploration of Via Patterned Gate Arrays, ISPD 2003”, Apr. 6, 2003, pp. 184-189.
Pham, D., et al., “Finfet Device Junction Foi liation Challenges,” 2006 International Workshop on Junction Technology, pp. 73-77, Aug. 2006.
Pileggi, et al., “Exploring Regular Fabrics to Optimize the Performance-Cost Trade-Offs, Proceedings of the 40th ACM/IEEE Design Automation Conference (DAC) 2003”, Jun. 2003, ACM Press, pp. 782-787.
Poonawala, et al., “ILT for Double Exposure Lithography with Conventional and Novel Materials”, 2007, SPIE Proceeding Series, vol. 6520; 65202Q.
Qian et al. “Advanced Physical Models for Mask Data Verification and Impacts on Physical Layout Synthesis” 2003. IEEE.
Ran, et al., “An Integrated Design Flow for a Via-Configurable Gate Array”, 2004, IEEE, pp. 582-589.
Ran, et al., “Designing a Via-Configurable Regular Fabric”, Custom Integrated Circuits Conference (CICC). Proceedings of the IEEE, Oct. 2004, Oct. 1, 2004, pp. 423-426.
Ran, et al., “On Designing Via-Configurable Cell Blocks for Regular Fabrics” Proceedings of the Design Automation Conference (DAC) 2004, Jun. 2004, ACM Press, s 198-203.
Ran, et al., “The Magic of a Via-Configurable Regular Fabric”, Proceedings of the IEEE International Conference on Computer Design (ICCD) Oct. 2004.
Ran, et al., “Via-Configurable Routing Architectures and Fast Design Mappability Estimation for Regular Fabrics”, 2005, IEEE, pp. 25-32.
Reis, et al., “Physical Design Methodologies for Performance Predictability and Manufacturability”, Apr. 14-16, 2004, ACM Press, pp. 390-397.
Robertson, et al., “The Modeling of Double Patterning Lithographic Processes”, 2007, SPIE Proceeding Series, vol. 6520; 65200J.
Rosenbluth, et al., “Optimum Mask and Source Patterns to Print a Given Shape,” 2001 Proc. of Spie vol. 4346, pp. 486-502.
Rovner, “Design for Manufacturability in Via Programmable Gate Arrays”, May 2003, Graduate School of Carnegie Mellon University.
Sengupta, “An Integrated Cad Framework Linking VLSI Layout Editors and Process Simulators”, 1998, Thesis for Rice University, pp. 1-101.
Sengupta, et al., “An Integrated CAD Framework Linking VLSI Layout Editors and Process Simulators”, 1996, SPIE Proceeding Series, vol. 2726; pp. 244-252.
Sherlekar, “Design Considerations for Regular Fabrics”, Apr. 18-21, 2004, ACM Press, pp. 97-102.
Shi et al., “Understanding the Forbidden Pitch and Assist Feature Placement,” Proc. of SPIE vol. 4562, 2002, pp. 968-979.
Smayling et al., “APF Pitch Halving for 22 nm Logic Cells Using Gridded Design Rules,” Proceedings of SPIE, USA, vol. 6925, Jan. 1, 2008, pp. 69251E1-69251E-7.
Socha, et al., “Simultaneous Source Mask Optimization (SMO),” 2005 Proc. of SPIE vol. 5853, pp. 180-193.
Sreedhar et al. “ Statistical Yield Modeling for Sub-Wavelength Lithography”, 2008, IEEE.
Stapper, “Modeling of Defects in Integrated Circuit Photolithographic Patterns”, Jul. 1, 1984, IBM, vol. 28 # 4, pp. 461-475.
Taylor, et al., “Enabling Energy Efficiency in Via-Patterned Gate Array Devices”, Jun. 7-11, 2004, ACM Press, pp. 874-877.
Tian et al. “Model-Based Dummy Feature Placement for Oxide Chemical Mechanical Polishing Manufacturability” 2000, ACM.
Tong, et al., “Regular Logic Fabrics for a Via Patterned Gate Array (VPGA), Custom Integrated Circuits Conference”, Sep. 2003, Proceedings of the IEEE, pp. 53-56.
Vanleenhove, et al., “A Litho-Only Approach to Double Patterning”, 2007, SPIE Proceeding Series, vol. 6520; 65202F.
Wang, et al., “Perfoiiiiance Optimization for Gridded-Layout Standard Cells”, 2004, vol. 5567 SPIE.
Wang, J. et al., Standard Cell Layout with Regular Contact Placement, IEEE Trans. on Semicon. Mfg., vol. 17, No. 3, Aug. 2004.
Webb, Clair, “45nm Design for Manufacturing,” Intel Technology Journal, vol. 12, Issue 2, Jun. 17, 2008, ISSN 1535-864X, pp. 121-130.
Webb, Clair, “Layout Rule Trends and Affect upon CPU Design”, 2006, vol. 6156 SPIE.
Wenren, et al., “The Improvement of Photolithographic Fidelity of Two-dimensional Structures Though Double Exposure Method”, 2007, SPIE Proceeding Series, vol. 6520; 652021.
Wilcox, et al., “Design for Manufacturability: A Key to Semiconductor Manufacturing Excellence”, 1998, IEEE, pp. 308-313.
Wong, et al., “Resolution Enhancement Techniques and Design for Manufacturability: Containing and Accounting for Variabilities in Integrated Circuit Creation,” J. Micro/Nanolith. MEMS MOEMS, Jul.-Sep 2007, vol. 6(3), 2 pages.
Wu, et al., “A Study of Process Window Capabilities for Two-dimensional Structures under Double Exposure Condition”, 2007, SPIE Proceeding Series, vol. 6520; 652020.
Xiong, et al., “The Constrained Via Minimization Problem for PCB and VLSI Design”, 1988, ACM Press/IEEE, pp. 573-578.
Yamamaoto, et al., “New Double Exposure Technique without Alternating Phase Shift Mask”, 2007, SPIE Proceeding Series, vol. 6520; 652052P.
Yamazoe, et al., “Resolution Enhancement by Aerial Image Approximation with 2D-TCC,” 2007 Proc. of Spie vol. 6730, 12 pages.
Yang, et al., “Interconnection Driven VLSI Module Placement Based on Quadratic Programming and Considering Congestion Using LFF Principles”, 2004, IEEE, pp. 1243-1247.
Yao, et al., “Multilevel Routing With Redundant Via Insertion”, Oct. 2006, IEEE, pp. 1148-1152.
Yu, et al., “True Process Variation Aware Optical Proximity Correction with Variational Lithography Modeling and Model Calibration,” J. Micro/Nanolith. MEMS MOEMS, Jul.-Sep 2007, vol. 6(3), 16 pages.
Zheng, et al.“Modeling and Analysis of Regular Symmetrically Structured Power/Ground Distribution Networks”, DAC, Jun. 10-14, 2002, ACM Press, pp. 395-398.
Zhu, et al., “A Stochastic Integral Equation Method for Modeling the Rough Surface Effect on Interconnect Capacitance”, 2004, IEEE.
Zhu, et al., “A Study of Double Exposure Process Design with Balanced Performance Parameters for Line/Space Applications”, 2007, SPIE Proceeding Series, vol. 6520; 65202H.
Zuchowski, et al., “A Hybrid ASIC and FPGA Architecture”, 2003, IEEE, pp. 187-194.
Alam, Syed M. et al., “A Comprehensive Layout Methodology and Layout-Specific Circuit Analyses for Three-Dimensional Integrated Circuits,” Mar. 21, 2002.
Alam, Syed M. et al., “Layout-Specific Circuit Evaluation in 3-D Integrated Circuits,” May 2003.
Aubusson, Russel, “Wafer-Scale Integration of Semiconductor Memory,” Apr. 1979.
Bachtold, “Logic Circuits with Carbon,” Nov. 9, 2001.
Baker, R. Jacob, “CMOS: Circuit Design, Layout, and Simulation (2nd Edition),” Nov. 1, 2004.
Baldi et al., “A Scalable Single Poly EEPROM Cell for Embedded Memory Applications,” pp. 1-4, Fig. 1, Sep. 1997.
Cao, Ke, “Design for Manufacturing (DFM) in Submicron VLSI Design,” Aug. 2007.
Capodieci, Luigi, “From Optical Proximity Correction to Lithography-Driven Physical Design (1996-2006): 10 years of Resolution Enhancement Technology and the roadmap enablers for the next decade,” Proc. SPIE 6154, Optical Microlithography XIX, 615401, Mar. 20, 2006.
Chang, Leland et al., “Stable SRAM Cell Design for the 32 nm Node and Beyond,” Jun. 16, 2005.
Cheung, Peter, “Layout Design,” Apr. 4, 2004.
Chinnery, David, “Closing the Gap Between ASIC & Custom: Tools and Techniques for High-Performance ASIC Design,” Jun. 30, 2002.
Chou, Dyiann et al., “Line End Optimization through Optical Proximity Correction (OPC): A Case Study,” Feb. 19, 2006.
Clein, Dan, “CMOS IC Layout: Concepts, Methodologies, and Tools,” Dec. 22, 1999.
Cowell, “Exploiting Non-Uniform Access Time,” Jul. 2003.
Das, Shamik, “Design Automation and Analysis of Three-Dimensional Integrated Circuits,” May 1, 2004.
Dehaene, W. et al., “Technology-Aware Design of SRAM Memory Circuits,” Mar. 2007.
Deng, Liang et al., “Coupling-aware Dummy Metal Insertion for Lithography,” p. 1, col. 2, 2007.
Devoivre et al., “Validated 90nm CMOS Technology Platform with Low-k Copper Interconnects for Advanced System-on-Chip (SoC),” 2002.
Enbody, R. J., “Near-Optimal n-Layer Channel Routing,” 1986.
Ferretti, Marcos et al., “High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells,” Apr. 23, 2004.
Garg, Manish et al., “Litho-driven Layouts for Reducing Performance Variability,” p. 2, Figs. 2b-2c, May 23, 2005.
Greenway, Robert et al., “32nm 1-D Regular Pitch SRAM Bitcell Design for Interference-Assisted Lithography,” 2008.
Gupta et al., “Modeling Edge Placement Error Distribution in Standard Cell Library,” Feb. 23-24, 2006.
Grad, Johannes et al., “A standard cell library for student projects,” Proceedings of the 2003 IEEE International Conference on Microelectronic Systems Education, Jun. 2, 2003.
Hartono, Roy et al., “Active Device Generation for Automatic Analog Layout Retargeting Tool,” May 13, 2004.
Hartono, Roy et al., “IPRAIL — Intellectual Property Reuse-based Analog IC Layout Automation,” Mar. 17, 2003.
Hastings, Alan, “The Art of Analog Layout (2nd Edition),” Jul. 4, 2005.
Hurata et al., “A Genuine Design Manufacturability Check for Designers,” 2006.
Institute of Microelectronic Systems, “Digital Subsystem Design,” Oct. 13, 2006.
Ishida, M. et al., “A Novel 6T-SRAM Cell Technology Designed with Rectangular Patterns Scalable beyond 0.18 pm Generation and Desirable for Ultra High Speed Operation,” 1998.
Jakusovszky, “Linear IC Parasitic Element Simulation Methodology,” Oct. 1, 1993.
Jangkrajarng, Nuttorn et al., “Template-Based Parasitic-Aware Optimization and Retargeting of Analog and RF Integrated Circuit Layouts,” Nov. 5, 2006.
Kahng, Andrew B., “Design Optimizations DAC-2006 DFM Tutorial, part V),” 2006.
Kang, Sung-Mo et al., “CMOS Digital Integrated Circuits Analysis & Design,” Oct. 29, 2002.
Kottoor, Mathew Francis, “Development of a Standard Cell Library based on Deep Sub-Micron SCMOS Design Rules using Open Source Software (MS Thesis),” Aug. 1, 2005.
Kubicki, “Intel 65nm and Beyond (or Below): IDF Day 2 Coverage (available at http://www.anandtech.com/show/1468/4),” Sep. 9, 2004.
Kuhn, Kelin J., “Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS,” p. 27, Dec. 12, 2007.
Kurokawa, Atsushi et al., “Dummy Filling Methods for Reducing Interconnect Capacitance and Number of Fills, Proc. Of ISQED,” pp. 586-591, 2005.
Lavin, Mark, “Open Access Requirements from RDR Design Flows,” Nov. 11, 2004.
Liebmann, Lars et al., “Layout Methodology Impact of Resolution Enhancement Techniques,” pp. 5-6, 2003.
Liebmann, Lars et al., “TCAD development for lithography resolution enhancement,” Sep. 2001.
Lin, Chung-Wei et al., “Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability,” Jan. 26, 2007.
Mccullen, Kevin W., “Layout Techniques for Phase Correct and Gridded Wiring,” pp. 13, 17, Fig. 5, 2006.
Mosis, “Design Rules Mosis Scalable CMOS (SCMOS) (Revision 8.00),” Oct. 4, 2004.
Mosis, “Mosis Scalable CMOS (SCMOS) Design Rules (Revision 7.2).”.
Muta et al., “Manufacturability-Aware Design of Standard Cells,” pp. 2686-2690, Figs. 3, 12, Dec. 2007.
Na, Kee-Yeol et al., “A Novel Single Polysilicon EEPROM Cell With a Polyfinger Capacitor,” Nov. 30, 2007.
Pan et al., “Redundant Via Enahnced Maze Routing for Yield Improvement,” 2005.
Park, Tae Hong, “Characterization and Modeling of Pattern Dependencies in Copper Interconnects for Integrated Circuits,” Ph.D. Thesis, MIT, 2002.
Patel, Chetan, “An Architectural Exploration of Via Patterned Gate Arrays (CMU Master's Project),” May 2003.
Pease, R. Fabian et al., “Lithography and Other Patterning Techniques for Future Electronics,” 2008.
Serrano, Diego Emilio, Pontificia Universidad Javeriana Facultad De Ingenieria, Departamento De Electronica, “Diseño De Multiplicador 4 X 8 en VLSI, Introduccion al VLSI,” 2006.
Pramanik, “Impact of layout on variability of devices for sub 90nm technologies,” 2004.
Pramanik, Dipankar et al., “Lithography-driven layout of logic cells for 65-nm node (SPIE Proceedings vol. 5042),” Jul. 10, 2003.
Roy et al., “Extending Aggressive Low-K1 Design Rule Requirements for 90 and 65 Nm Nodes Via Simultaneous Optimization of Numerical Aperture, Illumination and Optical Proximity Correction,” J.Micro/Nanolith, MEMS MOEMS, 4(2), 023003, Apr. 26, 2005.
Saint, Christopher et al., “IC Layout Basics: A Practical Guide,” Chapter 3, Nov. 5, 2001.
Saint, Christopher et al., “IC Mask Design: Essential Layout Techniques,” 2002.
Scheffer, “Physical CAD Changes to Incorporate Design for Lithography and Manufacturability,” Feb. 4, 2004.
Smayling, Michael C., “Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection,” 2006.
Spence, Chris, “Full-Chip Lithography Simulation and Design Analysis: How OPC is changing IC Design, Emerging Lithographic Technologies IX,” May 6, 2005.
Subramaniam, Anupama R., “Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design,” pp. 474-478, Mar. 24, 2008.
Tang, C. W. et al., “A compact large signal model of LDMOS,” 2002.
Taylor, Brian et al., “Exact Combinatorial Optimization Methods for Physical Design of Regular Logic Bricks,” Jun. 8, 2007.
Tian, Ruiqi et al., “Dummy Feature Placement for Chemical-Mechanical Uniformity in a Shallow Trench Isolation Process,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, No. 1, pp. 63-71, Jan. 2002.
Tian, Ruiqi et al., “Proximity Dummy Feature Placement and Selective Via Sizing for Process Uniformity in a Trench-First-Via-Last Dual-Inlaid Metal Process,” Proc. of IITC, pp. 48-50, 2001.
Torres, J. A. et al., “RET Compliant Cell Generation for sub-130nm Processes,” 2002.
Uyemura, John P., “Introduction to VLSI Circuits and Systems,” Chapters 2, 3, 5, and Part 3, 2002.
Uyemura, John, “Chip Design for Submicron VLSI: CMOS Layout and Simulation,” Chapters 2-5, 7-9, Feb. 8, 2005.
Verhaegen et al., “Litho Enhancements for 45nm-nod MuGFETs,” Aug. 1, 2005.
Wong, Ban P., “Bridging the Gap between Dreams and Nano-Scale Reality (DAC-2006 DFM Tutorial),” 2006.
Wang, Dunwei et al., “Complementary Symmetry Silicon Nanowire Logic: Power-Efficient Inverters with Gain,” 2006.
Wang, Jun et al., “Effects of grid-placed contacts on circuit performance,” pp. 135-139, Figs. 2, 4-8, Feb. 28, 2003.
Wang, Jun et al., “Standard cell design with regularly placed contacts and gates (SPIE vol. 5379),” 2004.
Wang, Jun et al., “Standard cell design with resolution-enhancement-technique-driven regularly placed contacts and gates,” J. Micro/Nanolith, MEMS MOEMS, 4(1), 013001, Mar. 16, 2005.
Watson, Bruce, “Challenges and Automata Applications in Chip-Design Software,” pp. 38-40, 2007.
Weste, Neil et al., “CMOS VLSI Design: A Circuits and Systems Perspective, 3rd Edition,” May 21, 2004.
Wingerden, Johannes van, “Experimental verification of improved printability for litho-driven designs,” Mar. 14, 2005.
Wong, Alfred K., “Microlithography: Trends, Challenges, Solutions and Their Impact on Design,” 2003.
Xu, Gang, “Redundant-Via Enhanced Maze Routing for Yield Improvement,” 2005.
Yang, Jie, “Manufacturability Aware Design,” pp. 93, 102, Fig. 5.2, 2007.
Yongshun, Wang et al., “Static Induction Devices with Planar Type Buried Gate,” 2004.
Zobrist, George (editor), “Progress in Computer Aided VLSI Design: Implementations (Ch. 5),” 1990.
Petley, Graham, “VLSI and ADIC Technology Standard Cell Library Design,” from website www.vlsitechnology.org, Jan. 11, 2005.
Liebmann, Lars, et al., “Layout Optimization at the Pinnacle of Optical Lithography,” Design and Process Integration for Microelectronic Manufacturing II, Proceedings of SPIE vol. 5042, Jul. 8, 2003.
Kawasaki, H., et al., “Challenges and Solutions of FinFET Integration in an SRAM Cell and a Logic Circuit for 22 nm node and beyond,” Electron Device Meeting (IEDM), 2009 IEEE International, IEEE, Piscataway, NJ, USA, Dec. 7, 2009, pp. 1-4.
Intel Corporation v. Tela Innovations, Inc., “Complaint for Declaratory Judgment of Non-Infringement and Unenforceability, Demand for Jury Trial,” Case No. 3:18/2848, dated May 15, 2018.
Uyemura, John P., “Introduction to VLSI Circuits and Systems,” 2002, John Wiley & Sons, Inc., pp. 67-69.
International Technology Roadmap for Semiconductors (ITRS) 2005 Edition, Lithography, Aug. 21, 2005.
M. Fritze et al., “Dense Only Phase Shift Template Lithography,” SPIE Design and Process Integration for Microelectronic Manufacturing II, Proceedings of SPIE vol. 5042, Jul. 10, 2003.
M. Fritze et al., “Gratings of Regular Arrays and Trim Exposures for Ultralarge Scale Integrated Circuit Phase-Shift Lithography,” J. Vac. Sci. Technol. B 19(6), 2366, Nov./Dec. 2001.
R. Maziasz and J. Hayes, “Layout Minimization of CMOS Cells,” Kluwer Academic Publishers, 1992, Second Printing 2000.
M. Fritze et al., “Hybrid Optical Maskless Lithography: Scaling Beyond the 45 nm Node,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena 23, 2743 (2005).
H. Ohta et al., High Performance 30 nm Gate Bulk CMOS for 45 nm Node with Σ-shaped SiGe-SD, IEEE, 2005.
S. Sankaran et al., “A 45 nm CMOS node Cu/Low-k/Ultra Low-k PECVD SiCOH (k=2.4) BEOL Technology,” 2006.
T. Sugii, “High-performance bulk CMOS technology for 65/45 nm nodes,” Solid-State Electronics 50 (2006) 2-9, Oct. 10, 2005.
J. Watkins et al., “Fabrication of Sub 45-nm Structures for the Next Generation of Devices: A Lot of Effort for a Little Device,” MRS Bulletin, vol. 30, Dec. 2005.
S. Wolf, “Microchip Manufacturing,” Lattice Press, 2004.
J. Rabaey et al., Digital Integrated Circuits: A Design Perspective, Second Edition, Pearson Education, Inc., 2003, 1996.
Sedra et al., Excerpt from “Microelectronic Circuits, Fifth Edition,” Oxford University Press, Inc., 2004.
D. Hodges, “Analysis and Design of Digital Integrated Circuits, Third Edition,” McGraw-Hill, New York, 2004.
R. Geiger et al., “VLSI Design Techniques for Analog and Digital Circuits,” McGraw-Hill, New York, 1990.
R. Greenway et al., “Interference Assisted Lithography for Patterning of 1D Gridded Design,” Alternative Lithographic Technologies, Proceedings of SPIE vol. 7271, 72712U, Mar. 18, 2009.
X. Chen et al., “A Cost Effective 32 nm High-K/Metal Gate CMOS Technology for Low Power Applications with Single-Metal/Gate-First Process,” 2008 Symposium on VLSI Technology Digest of Technical Papers, IEEE, 2008.
Intel News Release, “Intel First to Demonstrate Working 45nm Chips,” Jan. 25, 2006.
Bohr, M., “Intel First to Demonstrate Working 45nm Chips,” Presentation, Jan. 2006.
K. Mistry et al., “A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging,” IEEE (2007) at 247-250.
C. Auth, “45nm High-K+Metal Gate Strain-Enhanced Transistors,” Intel Tech. J., vol. 12 No. 2 (2008) at 77-85.
Intel News Release, “Chip Shot: Peek Inside Intel's New 45nm Factory,” Nov. 8, 2007.
Intel Core™2 Extreme and Intel Xeon Processor Specs and Pricing, Nov. 12, 2007.
D. Scansen, “Under the Hood: 45 nm: What Intel Didn't Tell You,” EE Times, Jan. 21, 2008.
D. James, “Intel's Other IEDM Paper—Part 2,” posted on Chipworks blog and published in Solid State Technology magazine, Mar. 4, 2008.
Intel Corporation v. Tela Innovations, Inc., “ Second Amended Complaint for Declaratory Judgment of Non-Infringement and Unenforceability, Demand for Jury Trial,” Case No. 18-cv-02848-WHO, dated Mar. 15, 2019.
Related Publications (1)
Number Date Country
20180083003 A1 Mar 2018 US
Divisions (1)
Number Date Country
Parent 11956305 Dec 2007 US
Child 14033952 US
Continuations (3)
Number Date Country
Parent 15064323 Mar 2016 US
Child 15811538 US
Parent 14566249 Dec 2014 US
Child 15064323 US
Parent 14033952 Sep 2013 US
Child 14566249 US