Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continual reductions in minimum feature size, which allow more components to be integrated into a given area. However, as the minimum features sizes are reduced, additional problems arise that should be addressed.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
According to various embodiments, dielectric walls are formed between adjacent groups of vertically-stacked nanostructures. The dielectric walls provide isolation, so the adjacent groups of nanostructures may be formed closer together. Device density may thus be improved. Additionally, gate structures are formed around the nanostructures and along the dielectric walls. The gate structures are x-shaped, thereby allowing reduced end-cap length, which can reduce parasitic capacitances. Further, isolation structures are formed between other groups of vertically-stacked nanostructures. The isolation structures are formed within the gate structures, and can reduce parasitic capacitances between the gate structures and neighboring source/drain regions, thereby improving device performance.
The nanostructure-FETs include nanostructures 66 (e.g., nanosheets, nanowires, or the like) over fins 62 on a substrate 50 (e.g., a semiconductor substrate), with the nanostructures 66 being semiconductor features that act as channel regions for the nanostructure-FETs. Isolation regions 70, such as shallow trench isolation (STI) regions, are disposed between adjacent fins 62, which may protrude above and from between neighboring isolation regions 70. The nanostructures 66 are disposed over and between adjacent isolation regions 70. Although the isolation regions 70 are described/illustrated as being separate from the substrate 50, as used herein, the term “substrate” may refer to the semiconductor substrate alone or a combination of the semiconductor substrate and the isolation regions. Additionally, although a bottom portion of the fins 62 are illustrated as being single, continuous materials with the substrate 50, the bottom portion of the fins 62 and/or the substrate 50 may comprise a single material or a plurality of materials. In this context, the fins 62 refer to the portion extending between the neighboring isolation regions 70.
Gate dielectrics 132 are over top surfaces of the fins 62 and along top surfaces, sidewalls, and bottom surfaces of the nanostructures 66. Gate electrodes 134 are over the gate dielectrics 132. Source/drain regions 118 are disposed on the fins 62 at opposing sides of the gate dielectrics 132 and the gate electrodes 134. Source/drain region(s) 118 may refer to a source or a drain, individually or collectively dependent upon the context. An inter-layer dielectric (ILD) 124 is formed over the source/drain regions 118. Contacts (subsequently described) to the source/drain regions 118 will be formed through the ILD 124. The source/drain regions 118 may be shared between various nanostructures 66. For example, adjacent source/drain regions 118 may be electrically connected, such as through coalescing the source/drain regions 118 by epitaxial growth, or through coupling the source/drain regions 118 with a same contact.
Some embodiments discussed herein are discussed in the context of nanostructure-FETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Also, some embodiments contemplate aspects used in planar devices, such as planar FETs, or in fin field-effect transistors (FinFETs), in lieu of or in combination with the nanostructure-FETs. For example, FinFETs may include semiconductor fins on a substrate, with the semiconductor fins being semiconductor features which act as channel regions for the FinFETs. Similarly, planar FETs may include a substrate, with planar portions of the substrate being semiconductor features which act as channel regions for the planar FETs.
In
The substrate 50 has an n-type region 50N and a p-type region 50P. The n-type region 50N can be for forming n-type devices, such as NMOS transistors, e.g., n-type nanostructure-FETs, and the p-type region 50P can be for forming p-type devices, such as PMOS transistors, e.g., p-type nanostructure-FETs. The n-type region 50N may (or may not) be physically separated (not separately illustrated) from the p-type region 50P, and any number of device features (e.g., other active devices, doped regions, isolation structures, etc.) may be disposed between the n-type region 50N and the p-type region 50P. Although one n-type region 50N and one p-type region 50P are illustrated, any number of n-type regions 50N and p-type regions 50P may be provided.
A multi-layer stack 52 is formed over the substrate 50. The multi-layer stack 52 includes alternating first semiconductor layers 54 and second semiconductor layers 56. The first semiconductor layers 54 are formed of a first semiconductor material, and the second semiconductor layers 56 are formed of a second semiconductor material. The semiconductor materials may each be selected from the candidate semiconductor materials of the substrate 50.
In the illustrated embodiment, and as subsequently described in greater detail, the first semiconductor layers 54 will be removed and the second semiconductor layers 56 will patterned to form channel regions for the nanostructure-FETs in both the n-type region 50N and the p-type region 50P. In such embodiments, the channel regions in both the n-type region 50N and the p-type region 50P may have a same material composition (e.g., silicon or another semiconductor material) and be formed simultaneously. The first semiconductor layers 54 are dummy layers that will be removed in subsequent processing to expose the top surfaces and the bottom surfaces of the second semiconductor layers 56. The first semiconductor material of the first semiconductor layers 54 is a material that has a high etching selectivity from the etching of the second semiconductor layers 56, such as silicon germanium. The second semiconductor material of the second semiconductor layers 56 is a material suitable for both n-type and p-type devices, such as silicon.
In another embodiment (not separately illustrated), the first semiconductor layers 54 will be patterned to form channel regions for nanostructure-FETs in one region (e.g., the p-type region 50P), and the second semiconductor layers 56 will be patterned to form channel regions for nanostructure-FETs in another region (e.g., the n-type region 50N). The first semiconductor material of the first semiconductor layers 54 may be a material suitable for p-type devices, such as silicon germanium (e.g., SixGe1-x, where x can be in the range of 0 to 1), pure germanium, a III-V compound semiconductor, a II-VI compound semiconductor, or the like. The second semiconductor material of the second semiconductor layers 56 may be a material suitable for n-type devices, such as silicon, silicon carbide, a III-V compound semiconductor, a II-VI compound semiconductor, or the like. The first semiconductor material and the second semiconductor material may have a high etching selectivity from the etching of one another, so that the first semiconductor layers 54 may be removed without significantly removing the second semiconductor layers 56 in the n-type region 50N, and the second semiconductor layers 56 may be removed without significantly removing the first semiconductor layers 54 in the p-type region 50P.
The multi-layer stack 52 is illustrated as including three of the first semiconductor layers 54 and three of the second semiconductor layers 56. It should be appreciated that the multi-layer stack 52 may include any number of the first semiconductor layers 54 and the second semiconductor layers 56. Each of the layers of the multi-layer stack 52 may be grown by a process such as vapor phase epitaxy (VPE) or molecular beam epitaxy (MBE), deposited by a process such as chemical vapor deposition (CVD) or atomic layer deposition (ALD), or the like. In some embodiments, some layers of the multi-layer stack 52 are formed to be thinner than other layers of the multi-layer stack 52.
In
The fins 62 and the nanostructures 64/66 may be patterned by any suitable method. For example, the fins 62 and the nanostructures 64/66 may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the fins 62 and the nanostructures 64/66.
The fins 62 are illustrated as having substantially equal widths in both the n-type region 50N and the p-type region 50P. In some embodiments, the widths of the fins 62 in the n-type region 50N may be greater or less than the width of the fins 62 in the p-type region 50P. Further, while each of the fins 62 and the nanostructures 64/66 are illustrated as having a constant width throughout, in other embodiments, the fins 62 and/or the nanostructures 64/66 may have tapered sidewalls such that a width of each of the fins 62 and/or the nanostructures 64/66 continuously increases in a direction towards the substrate 50. In such embodiments, each of the nanostructures 64/66 may have a different width and/or be trapezoidal in shape.
In
The insulation material 68 may be deposited over the fins 62 and nanostructures 64/66 such that excess insulation material 68 covers the nanostructures 64/66. A removal process may be performed to remove excess insulation material 68 over the nanostructures 64/66. In some embodiments, a planarization process such as a chemical mechanical polish (CMP), an etch-back process, combinations thereof, or the like may be utilized. The planarization process exposes the nanostructures 64/66 such that top surfaces of the nanostructures 64/66 and the insulation material 68 are level after the planarization process is complete.
In
The previously described process is just one example of how the fins 62 and the nanostructures 64/66 may be formed. In some embodiments, the fins 62 and/or the nanostructures 64/66 may be formed using a mask and an epitaxial growth process. For example, a dielectric layer can be formed over a top surface of the substrate 50, and trenches can be etched through the dielectric layer to expose the underlying substrate 50. Epitaxial structures can be epitaxially grown in the trenches, and the dielectric layer can be recessed such that the epitaxial structures protrude from the dielectric layer to form the fins 62 and/or the nanostructures 64/66. The epitaxial structures may comprise the previously described alternating semiconductor materials, such as the first semiconductor materials and the second semiconductor materials. In some embodiments where epitaxial structures are epitaxially grown, the epitaxially grown materials may be in situ doped during growth, which may obviate prior and/or subsequent implantations, although in situ and implantation doping may be used together.
Further, appropriate wells (not separately illustrated) may be formed in the fins 62, the nanostructures 64/66, and/or the STI regions 70. In embodiments with different well types, different implant steps for the n-type region 50N and the p-type region 50P may be achieved using a photoresist or other mask (not separately illustrated). For example, a photoresist may be formed over the fins 62, the nanostructures 64/66, and the STI regions 70 in the n-type region 50N and the p-type region 50P. The photoresist is patterned to expose the p-type region 50P. The photoresist can be formed by using a spin-on technique and can be patterned using acceptable photolithography techniques. Once the photoresist is patterned, an n-type impurity implant is performed in the p-type region 50P, and the photoresist may act as a mask to substantially prevent n-type impurities from being implanted into the n-type region 50N. The n-type impurities may be phosphorus, arsenic, antimony, or the like implanted in the region to a concentration in a range from about 1013 atoms/cm3 to about 1014 atoms/cm3. After the implant, the photoresist is removed using an acceptable ashing process or the like.
Following or prior to the implanting of the p-type region 50P, a photoresist or other mask (not separately illustrated) is formed over the fins 62, the nanostructures 64/66, and the STI regions 70 in the p-type region 50P and the n-type region 50N. The photoresist is patterned to expose the n-type region 50N. The photoresist can be formed by using a spin-on technique and can be patterned using acceptable photolithography techniques. Once the photoresist is patterned, a p-type impurity implant may be performed in the n-type region 50N, and the photoresist may act as a mask to substantially prevent p-type impurities from being implanted into the p-type region 50P. The p-type impurities may be boron, boron fluoride, indium, or the like implanted in the region to a concentration in a range from 1013 atoms/cm3 to 1014 atoms/cm3. After the implant, the photoresist may be removed using an acceptable ashing process or the like.
After the implants of the n-type region 50N and the p-type region 50P, an anneal may be performed to repair implant damage and to activate the p-type and/or n-type impurities that were implanted. In some embodiments, the grown materials of epitaxial fins may be in situ doped during growth, which may obviate the implantations, although in situ and implantation doping may be used together.
In
In
In
In
Further, implants for lightly doped source/drain (LDD) regions (not separately illustrated) may be performed. In embodiments with different device types, similar to the implants for the previously described wells, a mask, such as a photoresist, may be formed over the n-type region 50N, while exposing the p-type region 50P, and appropriate type (e.g., p-type) impurities may be implanted into the fins 62 and the nanostructures 64/66 exposed in the p-type region 50P. The mask may then be removed. Subsequently, a mask, such as a photoresist, may be formed over the p-type region 50P while exposing the n-type region 50N, and appropriate type impurities (e.g., n-type) may be implanted into the fins 62 and the nanostructures 64/66 exposed in the n-type region 50N. The mask may then be removed. The n-type impurities may be the any of the n-type impurities previously discussed, and the p-type impurities may be the any of the p-type impurities previously discussed. The lightly doped source/drain regions may have a concentration of impurities in a range from about 1015 atoms/cm3 to about 1019 atoms/cm3. An anneal may be used to repair implant damage and to activate the implanted impurities.
It is noted that the previous disclosure generally describes a process of forming spacers and LDD regions. Other processes and sequences may be used. For example, fewer or additional spacers may be utilized, different sequence of steps may be utilized, additional spacers may be formed and removed, and/or the like. Furthermore, the n-type devices and the p-type devices may be formed using different structures and steps.
Still referring to
In
As an example to form the inner spacers 98, the source/drain recesses 96 can be laterally expanded. Specifically, portions of the sidewalls of the first nanostructures 64 exposed by the source/drain recesses 96 may be recessed to form sidewall recesses. Although sidewalls of the first nanostructures 64 are illustrated as being straight, the sidewalls may be concave or convex. The sidewalls may be recessed by any acceptable etch process, such as one that is selective to the material of the first nanostructures 64 (e.g., selectively etches the material of the first nanostructures 64 at a faster rate than the material of the second nanostructures 66). The etching may be isotropic. For example, when the second nanostructures 66 are formed of silicon and the first nanostructures 64 are formed of silicon germanium, the etch process may be a wet etch using tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH4OH), or the like. In another embodiment, the etch process may be a dry etch using a fluorine-based gas such as hydrogen fluoride (HF) gas. In some embodiments, the same etch process may be continually performed to both form the source/drain recesses 96 and recess the sidewalls of the first nanostructures 64. The inner spacers 98 can then be formed by conformally forming an insulating material in the source/drain recesses 96, and subsequently etching the insulating material. The insulating material may be silicon nitride or silicon oxynitride, although any suitable material, such as low-dielectric constant (low-k) materials having a k-value less than about 3.5, may be utilized. The insulating material may be formed by a deposition process, such as ALD, CVD, or the like. The etching of the insulating material may be anisotropic. For example, the etch process may be a dry etch such as a RIE, a NBE, or the like.
Although outer sidewalls of inner spacers 98 are illustrated as being flush (e.g., coterminous) with sidewalls of the second nanostructures 66, the outer sidewalls of the inner spacers 98 may extend beyond or be recessed from sidewalls of the second nanostructures 66. In other words, the inner spacers 98 may partially fill, completely fill, or overfill the sidewall recesses. Moreover, although the sidewalls of the inner spacers 98 are illustrated as being straight, the sidewalls of the inner spacers 98 may be concave or convex.
In
The semiconductor layers 102 may be epitaxially grown, for example, by flowing a semiconductor-containing precursor and an etchant-containing precursor into the source/drain recesses 96. The semiconductor-containing precursor may be a silicon-containing precursor such as a silane, such as monosilane (SiH4), dichlorosilane (H2SiCl2), disilane (Si2H6), or the like; a germanium-containing precursor such as germane (GeH4) or the like; combinations thereof; or the like. The etchant-containing precursor may be a chlorine-containing precursor such as hydrogen chloride (HCl) gas, chlorine (Cl2) gas, or the like. The etchant-containing precursor is flowed at a relatively fast flow rate, which may cause the semiconductor layers 102 to be grown in more of a bottom-up manner than a lateral manner. In some embodiments, the semiconductor-containing precursor is flowed at a flow rate in the range of about 0 sccm to about 1000 sccm and the etchant-containing precursor is flowed at a flow rate in the range of about 0 sccm to about 1000 sccm. As such, the semiconductor layers 102 may be grown from the fins 62 but not from the nanostructures 66. In some embodiments, the epitaxial growth is performed at a temperature in the range of about 500° C. to about 900° C., and at a pressure in the range of about 1 Torr to about 150 Torr. The semiconductor layers 102 may be formed with flat, convex, or concave top surfaces by controlling the flow rate of the etchant-containing precursor during deposition. The semiconductor layers 102 may partially fill, completely fill, or overfill the portions of the source/drain recesses 96 in the fins 62. At this step of processing, the semiconductor layers 102 may be in contact with the sidewalls of some of the inner spacers 98, but the semiconductor layers 102 are not in contact with the sidewalls of the nanostructures 66. Timed epitaxial growth processes may be used to stop the growth of the semiconductor layers 102 after the semiconductor layers 102 reach a desired height.
In
In
The epitaxial source/drain regions 118 in the n-type region 50N may be formed by an epitaxy process (subsequently described). The epitaxial source/drain regions 118 may include any acceptable material appropriate for n-type nanostructure-FETs. For example, if the second nanostructures 66 are formed of silicon, the epitaxial source/drain regions 118 may include materials exerting a tensile strain on the second nanostructures 66, such as silicon, silicon carbide, phosphorous doped silicon carbide, silicon phosphide, or the like. The epitaxial source/drain regions 118 in the n-type region 50N may be referred to as “n-type source/drain regions.” The epitaxial source/drain regions 118 may have surfaces raised from respective upper surfaces of the nanostructures 64/66, may have facets, and may have a different shape than shown in
The epitaxial source/drain regions 118 in the p-type region 50P may be formed by an epitaxy process (subsequently described). The epitaxial source/drain regions 118 may include any acceptable material appropriate for p-type nanostructure-FETs. For example, if the second nanostructures 66 are formed of silicon, the epitaxial source/drain regions 118 may comprise materials exerting a compressive strain on the first nanostructures 64, such as silicon-germanium, boron doped silicon-germanium, germanium, germanium tin, or the like. The epitaxial source/drain regions 118 in the p-type region 50P may be referred to as “p-type source/drain regions.” The epitaxial source/drain regions 118 may also have surfaces raised from respective surfaces of the nanostructures 64/66, may have facets, and may have a different shape than shown in
The epitaxial source/drain regions 118, the nanostructures 64/66, and/or the fins 62 may be implanted with dopants to form source/drain regions, similar to the process previously discussed for forming lightly-doped source/drain regions, followed by an anneal. The source/drain regions may have an impurity concentration of between 1019 atoms/cm3 and 1021 atoms/cm3. The n-type and/or p-type impurities for source/drain regions may be any of the impurities previously discussed. In some embodiments, the epitaxial source/drain regions 118 may be in situ doped during growth.
As a result of the epitaxy processes used to form the epitaxial source/drain regions 118, upper surfaces of the epitaxial source/drain regions 118 have facets which expand laterally outward beyond sidewalls of the nanostructures 64/66. In some embodiments, these facets cause adjacent epitaxial source/drain regions 118 of a same nanostructure-FET to merge. In other embodiments, adjacent epitaxial source/drain regions 118 remain separated after the epitaxy process is completed, as illustrated by
The bottom spacers 110 cover the semiconductor layers 102 such that the epitaxial source/drain regions 118 are not grown from the semiconductor layers 102. Accordingly, the epitaxial source/drain regions 118 are electrically isolated from the semiconductor layers 102. The semiconductor layers 102 and the bottom spacers 110 are beneath the epitaxial source/drain regions 118. The bottom spacers 110 are between the semiconductor layers 102 and the epitaxial source/drain regions 118.
In some embodiments, the epitaxial source/drain regions 118 in the n-type region 50N and in the p-type region 50P may be formed simultaneously. In other embodiments, the epitaxial source/drain regions 118 in the n-type region 50N and in the p-type region 50P may be formed sequentially. For example, in some embodiments, a mask (not shown) may be formed that covers the p-type region 50P while the epitaxial source/drain regions 118 are formed in the n-type region 50N. Similarly another mask (not shown) may be formed that covers the n-type region 50N while the epitaxial source/drain regions 118 are formed in the p-type region 50P. Each mask may be formed of a hard mask material, such as aluminum oxide, silicon carbide, titanium nitride, or the like, which may be formed by a deposition process such as chemical vapor deposition (CVD), atomic layer deposition (ALD), or the like. Each mask can be patterned using acceptable photolithography and etching techniques to expose the source/drain recesses 96 in the n-type region 50N or the p-type region 50P as appropriate. In other embodiments, another type of mask, such as a photoresist, may be utilized. After growth of the epitaxial source/drain regions 118 in the n-type region 50N or the p-type region 50P, the mask may be removed with any acceptable etch process that is selective to the mask (e.g., selectively etches the material of the mask at a faster rate than the material of the epitaxial source/drain regions 118). The etch process may be isotropic. For example, when the mask is formed of aluminum oxide, the etch process may be a wet etch using ammonium hydroxide (NH4OH), dilute hydrofluoric (dHF) acid, or the like.
In some embodiments, the epitaxial source/drain regions 118 are epitaxially grown in the source/drain recesses 96 in the n-type region 50N while a mask substantially prevents growth in the p-type region 50P. The epitaxy processes used to form the epitaxial source/drain regions 118 are performed so that the epitaxial source/drain regions 118 are selectively grown from semiconductor features (e.g., the nanostructures 66) and do not grow from dielectric features (e.g., the bottom spacers 110). The epitaxial source/drain regions 118 may be grown by flowing a semiconductor-containing precursor, an etchant-containing precursor, and a dopant-containing precursor in the source/drain recesses 96. The semiconductor-containing precursor and the etchant-containing precursor may be selected from, respectively, the same semiconductor-containing precursors and etchant-containing precursors for growing the semiconductor layers 102, or may include different precursors. The dopant-containing precursor contains an appropriate dopant for n-type source/drain regions, such as an arsenic-containing precursor such as arsine (AsH3), a phosphorus-containing precursor such as diphosphine (P2H6) or phosphane (PH3), or the like. The etchant-containing precursor may be flowed at a slower flow rate when growing the epitaxial source/drain regions 118 than when growing the semiconductor layers 102, which may cause the epitaxial source/drain regions 118 to be grown in more of a lateral manner than a bottom-up manner. In some embodiments, the semiconductor-containing precursor is flowed at a flow rate in the range of 0 sccm to 1000 sccm, the etchant-containing precursor is flowed at a flow rate in the range of 0 sccm to 1000 sccm, and the dopant-containing precursor is flowed at a flow rate in the range of 0 sccm to 1000 sccm. The epitaxy process for the epitaxial source/drain regions 118 may have a faster lateral growth rate and a slower bottom-up growth rate than the epitaxy process for the semiconductor layers 102. As such, the epitaxial source/drain regions 118 may grow laterally from the nanostructures 66. In some embodiments, the epitaxial growth is performed at a temperature in the range of 400° C. to 900° C., and at a pressure in the range of 1 Torr to 500 Torr.
In some embodiments, the epitaxial source/drain regions 118 are epitaxially grown in the source/drain recesses 96 in the p-type region 50P while a mask substantially prevents growth in the n-type region 50N. The epitaxy processes used to form the epitaxial source/drain regions 118 are performed so that the epitaxial source/drain regions 118 are selectively grown from semiconductor features (e.g., the nanostructures 66) and do not grow from dielectric features (e.g., the bottom spacers 110). The epitaxial source/drain regions 118 may be grown by flowing a semiconductor-containing precursor, an etchant-containing precursor, and a dopant-containing precursor in the source/drain recesses 96. The semiconductor-containing precursor and the etchant-containing precursor may be selected from, respectively, the same semiconductor-containing precursors and etchant-containing precursors for growing the semiconductor layers 102, or may include different precursors. The dopant-containing precursor contains an appropriate dopant for p-type source/drain regions, such as a boron-containing precursor, such as diborane (B2H6), borane (BH3), or the like. The etchant-containing precursor may be flowed at a slower flow rate when growing the epitaxial source/drain regions 118 than when growing the semiconductor layers 102, which may cause the epitaxial source/drain regions 118 to be grown in more of a lateral manner than a bottom-up manner. In some embodiments, the semiconductor-containing precursor is flowed at a flow rate in the range of 0 sccm to 1000 sccm, the etchant-containing precursor is flowed at a flow rate in the range of 0 sccm to 1000 sccm, and the dopant-containing precursor is flowed at a flow rate in the range of 0 sccm to 1000 sccm. The epitaxy process for the epitaxial source/drain regions 118 may have a faster lateral growth rate and a slower bottom-up growth rate than the epitaxy process for the semiconductor layers 102. As such, the epitaxial source/drain regions 118 may grow laterally from the nanostructures 66. In some embodiments, the epitaxial growth is performed at a temperature in the range of 400° C. to 900° C., and at a pressure in the range of 1 Torr to 150 Torr.
The epitaxial source/drain regions 118 may comprise one or more semiconductor material layers. For example, the epitaxial source/drain regions 118 may comprise a liner layer, a main layer, and a finishing layer (or more generally, a first semiconductor material layer, a second semiconductor material layer, and a third semiconductor material layer). Each of the liner layer, the main layer, and the finishing layer may be formed of different semiconductor materials and may be doped to different dopant concentrations. In some embodiments, the liner layer may have a dopant concentration less than the main layer and greater than the finishing layer. In embodiments in which the epitaxial source/drain regions 118 include three semiconductor material layers, the liner layers may be grown in the source/drain recesses 96, the main layers may be grown on the liner layers, and the finishing layers may be grown on the main layers. Any number of semiconductor material layers may be used for the epitaxial source/drain regions 118. In some embodiments, the epitaxial source/drain regions 118 in the n-type region 50N include liner layers 118A on the nanostructures 66 and fill layers 118C on the liner layers 118A, as demonstrated in
In
In some embodiments, a contact etch stop layer (CESL) 122 is formed between the first ILD 124 and the epitaxial source/drain regions 118, the bottom spacers 112, the fin spacers 94, the gate spacers 92, and the masks 86 (if present) or the dummy gates 84. The CESL 122 may be formed of a dielectric material having a high etching selectivity from the etching of the first ILD 124, such as, silicon nitride, silicon oxide, silicon oxynitride, or the like, which may be formed by any suitable deposition process, such as CVD, ALD, or the like.
In
In
In
The dielectric material 174 is then deposited, filling the recesses 171. For example, the dielectric material 174 may be deposited on surfaces of the liner layer 172. The dielectric material 174 may include one or more acceptable dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbonitride, or the like, which may be formed by a deposition process such as CVD, ALD, or the like. In some embodiments, the dielectric material 174 is a different material than the liner layer 172. Other materials or deposition techniques are possible. A distance W3 between the dielectric material 174 and an adjacent nanostructure 66 may be defined by the combined thickness of the dummy dielectrics 82 and the liner layer 172. In some embodiments, the distance W3 is in the range of about 4 nm to about 10 nm, though other distances are possible. In some cases, the distance W3 may be approximately equal to an “end-cap” distance of subsequently formed gate electrodes, described below. In some embodiments, a planarization process (e.g., a CMP process and/or a grinding process) is performed to remove excess liner layer 172 and dielectric material 174 from top surfaces of the dummy gates 84. In some embodiments, the formation of the dielectric material 174 (and, correspondingly, the dielectric walls) may be considered a self-aligned process.
In
In some embodiments, sidewall portions of the gate spacers 92 are trimmed in one or more etching steps, as shown in
In
In
In
In
In
In some embodiments, the work function of the gate dielectric layer 132 may be tuned by conformally depositing a work function tuning layer (not separately illustrated) on the gate dielectric layer 132, performing an annealing process, and then removing the work function tuning layer. The work function tuning layer may comprise a metal, a metal oxide, the like, or a combination thereof, which may be deposited using any suitable process. The annealing process drives the work function tuning layer into the gate dielectric layer 132 to change the work function. The annealing process may comprise a temperature in the range of about 300° C. to about 1000° C., though other temperatures are possible. After performing the annealing process, the work function tuning layer may be removed using a suitable etching process. The use of a work function tuning layer as described above is optional.
In
In some embodiments, a sidewall of the gate electrode layer 133 is defined by the location and profile of the sidewall of the adjacent dielectric material 174. This sidewall of the gate electrode layer 133 also defines a sidewall (e.g. an “end-cap”) of the gate electrodes of the nanostructure-FETs. Accordingly, a thickness of the gate electrode layer 133 between the nanostructures 66 and the dielectric material 174 can be controlled by controlling the width, location, and profile of the dielectric material 174. In this manner, the size of the gate electrodes can be controlled by controlling the width, location, and profile of the dielectric material 174. In some cases, the formation of dielectric walls as described herein can allow for more uniform sidewalls, more vertical sidewall profiles, and more uniform sizes of the gate electrodes of nanostructure-FETs. Forming the dielectric material 174 as described herein can also allow for a smaller “end-cap” distance between the nanostructures 66 and the gate electrodes, which can improve device density and reduce parasitic capacitances.
In
In
In
In
As shown in
In
Further in
As an example to form the gate contacts 152 and the source/drain contacts 154, openings for the gate contacts 152 are formed through the second ILD 144 and the ESL 142, and openings for the source/drain contacts 154 are formed through the second ILD 144, the ESL 142, the first ILD 124, and the CESL 122. The openings may be formed using acceptable photolithography and etching techniques. A liner (not separately illustrated), such as a diffusion barrier layer, an adhesion layer, or the like, and a conductive material are formed in the openings. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material may be copper, a copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process, such as a CMP, may be performed to remove excess material from a surface of the second ILD 144. The remaining liner and conductive material form the gate contacts 152 and the source/drain contacts 154 in the openings. The gate contacts 152 and the source/drain contacts 154 may be formed in distinct processes, or may be formed in the same process. Although shown as being formed in the same cross-sections, it should be appreciated that each of the gate contacts 152 and the source/drain contacts 154 may be formed in different cross-sections, which may avoid shorting of the contacts.
Optionally, metal-semiconductor alloy regions 156 are formed at the interfaces between the epitaxial source/drain regions 118 and the source/drain contacts 154. The metal-semiconductor alloy regions 156 can be silicide regions formed of a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, etc.), germanide regions formed of a metal germanide (e.g. titanium germanide, cobalt germanide, nickel germanide, etc.), silicon germanide regions formed of both a metal silicide and a metal germanide, or the like. The metal-semiconductor alloy regions 156 can be formed before the material(s) of the source/drain contacts 154 by depositing a metal in the openings for the source/drain contacts 154 and then performing a thermal annealing process. The metal can be any metal capable of reacting with the semiconductor materials (e.g., silicon, silicon carbide, silicon germanium, germanium, etc.) of the epitaxial source/drain regions 118 to form a low-resistance metal-semiconductor alloy, such as nickel, cobalt, titanium, tantalum, platinum, tungsten, other noble metals, other refractory metals, rare earth metals, or their alloys. The metal may be formed by a deposition process such as ALD, CVD, PVD, or the like. After the thermal annealing process, a cleaning process, such as a wet clean, may be performed to remove any residual metal from the openings for the source/drain contacts 154, such as from surfaces of the metal-semiconductor alloy regions 156. The material(s) of the source/drain contacts 154 can then be formed on the metal-semiconductor alloy regions 156.
In some embodiments, the gate isolation regions 180 may be formed by forming recesses (not separately illustrated) and then filling the recesses with one or more dielectric materials. The recesses may be formed using suitable photolithography and etching techniques. For example, a patterned mask may be formed over the structure, and then one or more etching steps may be performed using the patterned mask as an etch mask. Bottom surfaces of the recesses may be at the top surfaces of the isolation material 176, below top surfaces of the isolation material 176, or below bottom surfaces of the isolation material 176. The gate isolation regions 180 may comprise acceptable dielectric materials such as aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbonitride, silicon oxycarbonitride, or the like, which may be formed by a deposition process such as CVD, ALD, or the like. Other materials or deposition techniques are possible. After filling the recesses with the dielectric material(s), a planarization process may be performed to remove excess dielectric material(s), forming the gate isolation regions 180. In some embodiments, after performing the planarization process, top surfaces of the gate isolation regions 180, dielectric material 174, ILD 124, and gate electrodes 136 may be approximately level or coplanar.
The additional metal 135 may be a material similar to that of the gate electrode layer 133 and/or the gate electrode material 134. The additional metal 135 may be deposited using a selective process such as ALD, plating, or another suitable technique. The additional metal 135 protrudes from the gate electrode layer 133 and extends over surfaces of the nanostructures 66. Increasing the surface area of the nanostructures 66 covered by the gate electrodes 136 in this manner can allow for enhanced gate control by the gate electrodes 136 and improved device performance. In some embodiments, the additional metal 135 is deposited to a thickness in the range of about 0 nm to about 3 nm, though other thicknesses are possible. As shown in
In some embodiments, the etch-back process described previously for
The gate electrode layer 133 shown in
In some cases, a deeper concave profile between nanostructures 66 can correspond to less gate electrode material 133 over sidewalls of the nanostructures. This is shown in
In
Embodiments may achieve advantages. Forming the dielectric walls 174 between adjacent groups of nanostructures 66 allows the adjacent groups of nanostructures 66 to be formed closer together. Device density may thus be improved. In some cases, the use of dielectric walls 174 as described herein allows for more uniformly vertical sidewalls of the gate electrodes 136, which can improve device uniformity, such as reduced threshold voltage variation. Additionally, the use of dielectric walls 174 as described herein can allow for smaller “end-cap” length of the gate electrodes 136, which can improve device density and reduce parasitic capacitances. Additionally, the formation of isolation structures 176 as described herein can reduce parasitic capacitances between the gate electrodes 136 and the epitaxial source/drain regions 118, which can improve device performance. The use of isolation structures 176 can also allow for the formation of gate isolation regions 180 with reduced effect on the characteristics of the nanostructure-FETs, such as a reduced effect on the threshold voltage due to process variations.
In an embodiment, a device includes first nanostructures over a substrate; second nanostructures over the substrate, wherein the first nanostructures are laterally separated from the second nanostructures by an isolation structure between the first nanostructures and the second nanostructures; a first gate structure around each first nanostructure and around each second nanostructure, wherein the first gate structure extends over the isolation structure; third nanostructures over the substrate; and a second gate structure around each third nanostructure, wherein the second gate structure is separated from the first gate structure by a dielectric wall. In an embodiment, top surfaces of the first gate structure, the second gate structure, and the dielectric wall are level. In an embodiment, a top surface of the isolation structure is closer to the substrate than a top surface of the first nanostructures. In an embodiment, the device includes a gate isolation structure extending from a top surface of the first gate structure to a top surface of the isolation structure. In an embodiment, the isolation structure protrudes laterally between adjacent first nanostructures. In an embodiment, the third nanostructures are separated from the dielectric wall by the second gate structure. In an embodiment, a lateral distance between a third nanostructure and the dielectric wall is in the range of 4 nm to 10 nm. In an embodiment, the device includes a gate dielectric layer around the first nanostructures, along a sidewall of the dielectric wall, and along a bottom surface of the isolation structure.
In an embodiment, a device includes a dielectric wall over an isolation region; an isolation structure over the isolation region, wherein a height of the dielectric wall is greater than a height of the isolation structure; a stack of first nanostructures between the dielectric wall and the isolation structure; a gate dielectric layer includes first portions respectively surrounding each first nanostructure and a second portion extending on a sidewall of the dielectric wall; and a gate electrode layer extending between adjacent first nanostructures and extending on the second portion of the gate dielectric layer, wherein the isolation structure physically contacts the gate electrode layer and the first portions of the gate dielectric layer. In an embodiment, a top surface of the isolation structure is in the range of 0 nm to 6 nm below a top surface of the stack of first nanostructures. In an embodiment, the second portion of the gate dielectric layer extends between the dielectric wall and the gate electrode layer. In an embodiment, at least one sidewall surface of the isolation structure is free of the gate dielectric layer. In an embodiment, the gate electrode layer physically contacts a top surface of the isolation structure. In an embodiment, the device includes a stack of second nanostructures, wherein the isolation structure is between the stack of first nanostructures and the stack of second nanostructures. In an embodiment, the gate electrode layer extends between adjacent second nanostructures. In an embodiment, the device includes a gate isolation structure extending through the gate electrode layer and through the isolation structure and extending into the isolation region.
In an embodiment, a method includes forming first nanostructures over a substrate, second nanostructures adjacent the first nanostructures, and third nanostructures adjacent the second nanostructures; depositing a first dielectric material between the first nanostructures and the second nanostructures to form a first dielectric structure; forming a first gate structure around the first nanostructures and on a first sidewall of the first dielectric structure; forming a second gate structure around the second nanostructures and on a second sidewall of the first dielectric structure; forming a third gate structure around the third nanostructures; depositing a second dielectric material between the second nanostructures and the third nanostructures to form a second dielectric structure; and depositing a conductive material over the second gate structure, the third gate structure, and the second dielectric structure, wherein the conductive material electrically connects the second gate structure and the third gate structure. In an embodiment, the first gate structure is isolated from the second gate structure by the first dielectric structure. In an embodiment, top surfaces of the first dielectric structure and the conductive material are level. In an embodiment, the method includes performing an etch-back process on the first gate structure, the second gate structure, and the third gate structure.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application claims the benefit of U.S. Provisional Application No. 63/505,459, filed on Jun. 1, 2023 which application is hereby incorporated herein by reference.
Number | Date | Country | |
---|---|---|---|
63505459 | Jun 2023 | US |