Enhanced thin film deposition

Abstract
Methods of producing metal-containing thin films with low impurity contents on a substrate by atomic layer deposition (ALD) are provided. The methods preferably comprise contacting a substrate with alternating and sequential pulses of a metal source chemical, a second source chemical and a deposition enhancing agent. The deposition enhancing agent is preferably selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, and boron compounds. In some embodiments, the deposition-enhancing agent reacts with halide contaminants in the growing thin film, improving film properties.
Description
BACKGROUND OF THE INVENTION

Field of the Invention


The present invention relates generally to processes for producing thin films with low impurity contents on a substrate by atomic layer deposition. In some embodiments, the films produced by the atomic layer deposition (ALD) processes disclosed herein can be used in metal gate and metal electrode applications in metal oxide semiconductor field effect transistors (MOSFETs) or as barrier layers in interconnect structures.


Description of the Related Art


Atomic layer deposition (ALD) is a self-limiting process, whereby alternated pulses of reaction precursors saturate a substrate surface and leave no more than one monolayer of material per pulse. The deposition conditions and precursors are selected to ensure self-saturating reactions, such that an adsorbed layer in one pulse leaves a surface termination that is non-reactive with the gas phase reactants of the same pulse. A subsequent pulse of different reactants reacts with the previous termination to enable continued deposition. Thus, each cycle of alternated pulses leaves no more than about one molecular layer of the desired material. The principles of ALD type processes have been presented by T. Suntola, e.g. in the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994, the disclosure of which is incorporated herein by reference.


In a typical ALD process for depositing thin films, one deposition cycle comprises exposing the substrate to a first precursor, removing unreacted first reactant and reaction byproducts from the reaction chamber, exposing the substrate to a second precursor, followed by a second removal step. Typically, halide precursors, such as TiCl4 and HfCl4, are used as precursors in ALD deposition because those precursors are inexpensive and relatively stable, but at the same time reactive towards different types of surface groups. H2O and NH3 are widely used for oxide and nitride deposition, respectively, as second precursors.


ALD processes typically produce thin films that have lower impurity content at the same deposition temperature than chemical vapor deposition (CVD) processes. Despite the lower impurity levels in ALD films, the impurity content in ALD films can still be a problem. There are several possible reasons for the presence of impurities in thin films deposited by ALD. In some cases, the semiconductor process flow necessarily limits the maximum deposition temperature such that that some residues are left in the film. ALD films deposited from chloride or other halide-containing precursors (e.g., WF6) at relatively low temperatures can comprise relatively high levels of halide residues. Halide impurities are present mainly at the interfaces, which can also lead to problems. In some cases, like low temperature deposition of transition metal nitrides and transition metal carbides from halide containing precursors the, impurity contents can be above the acceptable limit for some integrated circuit (IC) applications. In another example, in some applications amorphous films are needed, which limits the growth temperature.


Another disadvantage of the residues remaining in the film as it is deposited is that they may block the growth and result in a lower growth rate. For example, a high growth temperature may be chosen because the films are impure at low temperatures. However, the number of reactive active sites, such as —OH or NHx groups, is higher at low temperatures As a result, the growth rate is substantially lowered by impurities.


U.S. Patent Application No. 2004/0208994 to Harkonen et al. describes a method for ALD deposition of carbon-containing transition metal films. As an example, Harkonen et al. deposited carbon containing titanium films (example 1B) at a deposition temperature of about 500° C. using TiCl4 and trimethylaluminum (TMA) as precursors. The disadvantage of this process is that it needs a substantially high deposition temperature in order to achieve low impurity contents, chlorine in their case. Furthermore, it is widely known in art that TMA will decompose when used at such high temperatures. By decomposing TMA, the uniqueness of ALD, i.e., saturated and surface controlled reactions, which leads to superb conformality and uniformity of ultra-thin films over the large-area substrates, may be lost. If the same carbon containing titanium film process is performed at temperatures below the decomposition temperature of TMA, for example at 350° C., the chlorine content of the film will be undesirably high.


Accordingly, there is a need in the art for a low temperature ALD method for producing metal-containing films from halide (e.g., chlorine) containing metal precursors at low temperatures and with low halogen impurity levels in the films.


SUMMARY OF THE INVENTION

According to some embodiments of the invention, a deposition-enhancing agent is utilized in ALD processes for depositing a metal or silicon-containing film from a halide-containing precursor. In a preferred embodiment, the deposition-enhancing agent is selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes. In a more preferred embodiment, the deposition-enhancing agent is a hydrocarbon, preferably a hydrocarbon selected from the group consisting of alkanes, alkenes and alkynes. The deposition enhancing agent may be provided in each ALD cycle, or at intervals during the deposition process.


In one embodiment of the invention, atomic layer deposition (ALD) processes for forming a metal-carbide thin film are disclosed. The processes preferably comprise contacting a substrate in a reaction space with alternating and sequential pulses of a metal source chemical that comprises at least one halide ligand, a second source chemical comprising a metal and carbon and a third source chemical, wherein the third source chemical is a deposition-enhancing agent. In one embodiment, the deposition enhancing agent is a hydrocarbon, preferably acetylene. The second source chemical may comprise an organic ligand, and in one embodiment is preferably TMA or TEA (triethylaluminum).


In another embodiment, ALD processes for forming a metal carbide film are disclosed, in which alternating and self-saturating pulses of reactants are provided in a plurality of deposition cycles. Each cycle preferably comprises contacting a substrate in a reaction space with alternating and sequential pulses of a first metal source chemical, preferably a halide compound, a second source chemical comprising carbon, and a third source chemical, wherein the third source chemical is a hydrocarbon. The third source chemical is preferably selected from the group consisting of alkanes, alkenes and alkynes and in one embodiment is acetylene.


In still another embodiment of the invention, atomic layer deposition (ALD) processes for forming oxygen-containing thin films on a substrate are disclosed. The processes preferably comprise contacting the substrate with alternating and sequential pulses of a metal reactant, a second reactant comprising an oxygen source and a deposition-enhancing agent. The metal reactant is preferably a halide and typically comprises a metal selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W and Al. The deposition enhancing agent is preferably a hydrocarbon, such as acetylene. The oxygen source may be, for example, H2O, O2, ozone, oxygen radicals or oxygen plasma.


In yet another embodiment of the invention, atomic layer deposition (ALD) processes for forming elemental thin films on a substrate are disclosed. The processes preferably comprise contacting the substrate with alternating and sequential pulses of a metal a deposition-enhancing agent, a metal source chemical and a reducing agent. The reducing agent is preferably selected from the group consisting of boranes and silanes. The deposition enhancing agent may be, for example, a boron compound such as triethyl boron (TEB).


In yet another embodiment of the invention, atomic layer deposition (ALD) processes for forming a silicon-containing thin film, such as a metal silicide, on a substrate are disclosed. The processes preferably comprise contacting the substrate with alternating and sequential pulses of a metal source chemical, a silicon source chemical and a deposition-enhancing agent. The silicon source chemical may be, for example, a silane. In one embodiment the deposition enhancing agent is a boron compound, such as TEB.


In yet another embodiment of the invention, a semiconductor device structure is disclosed. The structure comprises a substrate and a thin film layer overlying the substrate, wherein the thin film layer is formed by atomic layer deposition (ALD) by contacting the substrate with alternating and sequential pulses of a metal source chemical, an oxygen, nitrogen, carbon, or silicon source chemical, and a deposition-enhancing agent.





BRIEF DESCRIPTION OF THE DRAWINGS

The invention will be better understood from the Detailed Description of the Preferred Embodiments and from the appended drawings, which are meant to illustrate and not to limit the invention, and wherein:



FIG. 1 is a flow chart generally illustrating a method of forming a binary compound by atomic layer deposition (ALD), in which supply of a deposition-enhancing agent follows removal of excess second reactant and by-products, in accordance with preferred embodiments of the invention;



FIG. 2 is an x-ray photoelectron spectroscopy (XPS) sputtering time profile of a highly conductive tungsten silicide (WSix) film formed with pulsing sequence WF6/N2/Si2H6/N2/TEB/N2;



FIG. 3 is a schematic cross-sectional side view of a electrode structure, comprising a layer of a conductive metal carbide, according to preferred embodiments of the invention; and



FIG. 4 is a schematic cross-sectional side view of a dual damascene structure, comprising a metal carbide thin barrier layer formed over the trench and via, according to preferred embodiments of the invention.





DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

The present invention advantageously enables thin film formation at lower temperatures with reduced impurity levels by employing a deposition enhancing agent. In some preferred embodiments, the deposition enhancing agents are hydrocarbons, more preferably unsaturated hydrocarbons such as alkanes, alkenes and alkynes.


Definitions


In context of the present invention, “an ALD process” generally refers to a process for producing thin films over a substrate in which a thin film is formed molecular layer by molecular layer due to self-saturating chemical reactions. The general principles of ALD are disclosed, e.g., in U.S. Pat. Nos. 4,058,430 and 5,711,811, the disclosures of which are incorporated herein by reference. In an ALD process, gaseous reactants, i.e., precursors, are conducted into a reaction chamber of an ALD type reactor where they contact a substrate located in the chamber to provide a surface reaction. The pressure and the temperature of the reaction chamber are adjusted to a range where physisorption (i.e. condensation of gases) and thermal decomposition of the precursors are avoided. Consequently, only up to one monolayer (i.e. an atomic layer or a molecular layer) of material is deposited at a time during each pulsing cycle. The actual growth rate of the thin film, which is typically presented as Å/pulsing cycle, depends, for example, on the number of available reactive surface sites or active sites on the surface and bulkiness of the chemisorbing molecules. Gas phase reactions between precursors and any undesired reactions of by-products are inhibited because precursor pulses are separated from each other by time and the reaction chamber is purged with an inactive gas (e.g. nitrogen or argon) and/or evacuated using, e.g., a pump between precursor pulses to remove surplus gaseous reactants and reaction by-products from the chamber.


“Reaction space” is used to designate a reactor or reaction chamber, or an arbitrarily defined volume therein, in which conditions can be adjusted to effect thin film growth by ALD. Typically the reaction space includes surfaces subject to all reaction gas pulses from which gases or particles can flow to the substrate, by entrained flow or diffusion, during normal operation. The reaction space can be, for example, in a single-wafer ALD reactor or a batch ALD reactor, where deposition on multiple substrates takes place at the same time.


“Adsorption” is used to designate a chemical attachment of atoms or molecules on a surface.


“Surface” is used to designate a boundary between the reaction space and a feature of a substrate.


“Thin film” means a film that is grown from elements or compounds that are transported as separate ions, atoms or molecules via vacuum, gaseous phase or liquid phase from the source to the substrate. The thickness of the film depends upon the application and may vary in a wide range, preferably from one atomic layer to 1,000 nm or more. In some embodiments, the thin film is less than about 20 nm in thickness, even more preferably less than about 10 nm and most preferably less than about 5 nm.


“Metallic thin film” designates a thin film that comprises metal. A metallic thin film may be an elemental metal thin film comprised essentially of elemental metal. Depending on the reducing agent, the elemental metal thin film may contain some metal carbide, metal nitride and/or metal oxide in an amount that does not have a negative effect on the characteristic metal properties of the film. In addition, a metallic thin film may be a compound metal thin film comprised essentially of compound metal, such as metal oxide, metal nitride, metal carbide, metal silicon compound, or metal nitride carbide (e.g., WNxCy).


Subscripts “x” and “y” are used to designate species that are not necessarily stoichiometric, having a wide range of phases with varying metal/oxygen, metal/carbon, metal/nitrogen, or metal/carbon/nitrogen ratios.


Preferred ALD Methods


The methods presented herein allow deposition of conformal thin films on substrate surfaces. In preferred embodiments, thin films are deposited from halogen-containing chemicals. Geometrically challenging applications are also possible due to the self-limited nature of the surface reactions.


According to the preferred embodiments, an atomic layer deposition (ALD) type process is used to form thin films, preferably metallic thin films, on substrates, such as integrated circuit workpieces. The surfaces on which the thin films are deposited can take a variety of forms. Examples include, but are not limited to, silicon, silicon oxide (SiO2), coated silicon, dielectric materials, low-k materials, metals such as copper and aluminum, metal alloys, metal oxides and various nitrides, such as transition metal nitrides and silicon nitride or a combination of said materials.


In a preferred embodiment of the invention, a substrate or workpiece placed in a reaction chamber is subjected to alternately repeated surface reactions. In particular, thin films are formed by repetition of a self-limiting ALD cycle. Preferably, each ALD cycle comprises at least three distinct phases. In the case of compound metallic thin film deposition, at least three different source chemicals are alternatively employed, corresponding to the three phases. One reactant will form no more than about one monolayer on the substrate surface and includes a metal species desired in the layer being deposited. This reactant, also referred to herein as “the metal reactant,” is preferably a halide, and thus the deposited monolayer is terminated with halogen ligands.


A second reactant preferably contains another species desired in the layer being deposited, such as nitrogen, carbon, silicon and/or oxygen. However, in some embodiments, such as the deposition of elemental metals, the second reactant does not contribute to the growing film.


The second reactant is typically not a halide, although in some embodiments it may be. In a preferred embodiment the second reactant comprises a metal and carbon. In some embodiments, the second reactant is TMA or TEA. In other embodiments, the second reactant is water. In still other embodiments, the second reactant is a metal-containing source chemical comprising at least one ligand, such as a metalorganic compound. Further, in some embodiments the second reactant can also leave some amount of metal in the film being deposited. For example, in case of TMA or TEA, some amount of aluminum may be left in the film, depending on the particular reaction conditions.


The third reactant is preferably a deposition-enhancing agent. Preferably the deposition-enhancing agent is capable of reducing the level of contaminants in the growing film. Thus, in some embodiments the third reactant is able to remove halides from the growing film and/or from the reaction space. The third reactant may be a carbon compound, preferably one that is a strong reducer. Moreover, in some embodiments the third reactant also provides a species desired in the thin film, such as carbon, nitrogen, silicon or oxygen.


The deposition-enhancing agent is preferably selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes. In a more preferred embodiment, the deposition-enhancing agent is a hydrocarbon selected from the group consisting of alkanes, alkenes and alkynes. In other embodiments the deposition-enhancing agent is triethyl boron (TEB) or acetylene (C2H2).


The deposition enhancing agent may be provided in each ALD cycle or at intervals during the deposition process. For example, in some embodiments the deposition enhancing agent is provided every one to four ALD cycles. At the time the deposition enhancing agent is provided, the film grown in the most recent ALD cycles is preferably thin enough that the deposition enhancing agent can penetrate the film. In addition, if the deposition enhancing agent comprises radicals, it is preferably provided initially at a point in the deposition process such that it is not able to penetrate the deposited film and damage the underlying substrate material.


In one phase of the ALD cycle (“the metal phase” or the “first phase”), the reactant or source chemical comprising a metal species is supplied to the reaction chamber and chemisorbs to the substrate surface. The reactant supplied in this phase is selected such that, under the preferred conditions, the amount of reactant that can be bound to the surface is determined by the number of available binding sites and by the physical size of the chemisorbed species (including ligands). The chemisorbed layer left by a pulse of the metal reactant is self-terminated with a surface that is non-reactive with the remaining chemistry of that pulse. This phenomenon is referred to herein as “self-saturation.” One of skill in the art will recognize that the self-limiting nature of this phase makes the entire ALD cycle self-limiting. Excess reactant and reactant byproducts (if any) are removed from the reaction space, for example by purging with an inert gas and/or evacuation.


Maximum step coverage on the workpiece surface is obtained when no more than about a single molecular layer of metal source chemical molecules is chemisorbed in each self-limiting pulse. Due to the size of the chemisorbed species and the number of reactive sites, somewhat less than a monolayer (ML) may be deposited in each pulse of metal reactant.


In the next phase of the cycle, a pulse of a second source chemical is provided that reacts with the molecules left on the substrate surface by the preceding pulse. In some embodiments the source chemical preferably comprises a species that is to be incorporated in the thin film, such as nitrogen, oxygen, silicon or carbon. Thus, the desired species is incorporated into the thin film by the interaction of the source chemical with the monolayer left by the metal reactant. This phase is referred to herein as “the second phase” or the “species-contributing phase.” In particular embodiments, the second source chemical is a silicon, nitrogen, oxygen or carbon containing compound and its reaction with the chemisorbed metal species produces a metal silicide, nitride, oxide or carbide layer on the substrate. In other embodiments the second source chemical is a metal source chemical, such as TMA, and metal is incorporated into the growing film. In some preferred embodiments the species-contributing source chemical comprises metal and carbon.


In still other embodiments the second source chemical is not incorporated in the film to any appreciable extent. For example, in some embodiments the second reactant is a reducing agent that at least partially reduces the adsorbed first reactant to an elemental metal.


Excess second source chemical and reaction byproducts, if any, are removed from the reaction space by purging and/or evacuation.


The third phase of the ALD cycle comprises providing a deposition-enhancing agent. In the preferred embodiments the deposition enhancing agent is capable of removing halides or other contaminants or undesired reaction byproducts from the growing thin film and/or from the reaction chamber. In addition, the deposition-enhancing agent may comprise a species that may be incorporated into the thin film, such as carbon, boron or silicon. This is referred to as the “third phase” or the “deposition-enhancing phase.”


Although referred to as the “first phase,” the “second phase” and the “third phase,” these labels are for convenience and do not indicate the actual order of the phases in each ALD cycle. Thus, the initial ALD cycle may be started with any of the three phases described above. However, one of skill in the art will recognize that if the initial ALD cycle does not begin with the metal reactant phase, at least two ALD cycles will typically need to be completed to deposit about a monolayer of the desired thin film.


In addition, the order of the phases may be changed. That is, in some embodiments the deposition enhancing agent may be the next reactant provided after the second reactant, while in other embodiments the deposition enhancing agent may be the next reactant provided after the first metal source reactant. For example, in some embodiments the third phase (provision of the deposition-enhancing agent) may immediately follow the first phase (provision of the reactant comprising a metal species), which in turn is followed by the species-contributing phase. A phase is generally considered to immediately follow another phase if only a purge or other reactant removal step intervenes.


In one embodiment, an ALD cycle comprises:

    • 1. providing a metal halide to the reaction space;
    • 2. purging and/or evacuation of excess transition metal halide and reaction byproducts;
    • 3. providing a second reactant to the reaction space;
    • 4. purging/and or evacuation of excess second reactant and reaction byproduts; and
    • 5. providing a deposition-enhancing agent to the reaction space.


Step 5 can be included in each ALD cycle, or steps 1-4 can be repeated several times before step 5 is introduced. In some embodiments steps 1-4 are repeated up to 10 times before step 5 is included. In other embodiments steps 1-4 are repeated up to 100 or even 1000 or more times before step 5 is included.


With reference to FIG. 1, in an embodiment of the invention, after initial surface termination, if necessary, a first reactant or source chemical pulse is supplied 102 to the substrate or workpiece. In accordance with a preferred embodiment, the first reactant pulse comprises a carrier gas flow and a volatile halide species that is reactive with the workpiece surfaces of interest and further comprises a species that is to form part of the deposited layer. Accordingly, a halogen-containing species adsorbs upon the workpiece surfaces. In the illustrated embodiment, the first reactant is a metal halide, and the thin film being formed comprises a metallic material, preferably metal nitride, metal carbide, a metal silicon compound or metal oxide. The first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the monolayer formed by this process. Self-saturation results due to halide tails terminating the monolayer, protecting the layer from further reaction.


The first reactant is then removed 104 from the reaction space. Preferably, step 104 merely entails stopping the flow of the first reactant or chemistry while continuing to flow a carrier gas for a sufficient time to diffuse or purge excess reactants and reactant byproducts from the reaction space, preferably with greater than about two reaction chamber volumes of the purge gas, more preferably with greater than about three chamber volumes. Preferably the removal 104 comprises continuing to flow purge gas for between about 0.1 seconds and 20 seconds after stopping the flow of the first reactant pulse. Inter-pulse purging is described in co-pending U.S. patent application having Ser. No. 09/392,371, filed Sep. 8, 1999 and entitled IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM, the disclosure of which is incorporated herein by reference. In other arrangements, the chamber may be pumped down between alternating chemistries. See, for example, PCT publication number WO 96/17107, published Jun. 6, 1996, entitled METHOD AND APPARATUS FOR GROWING THIN FILMS, the disclosure of which is incorporated herein by reference. Together, the adsorption 102 and reactant removal 104 represent a first phase 105 in an ALD cycle. The first phase in the illustrated ALD cycle is thus the metal phase.


With continued reference to FIG. 1, a second reactant or source chemical pulse is then supplied 106 to the workpiece. The second chemistry desirably reacts with or adsorbs upon the monolayer left by the first reactant. In the illustrated embodiment, this second reactant pulse 106 comprises supplying a carrier gas with the second source gas to the workpiece. In particular, where the first reactant comprises a metal halide, the second reactant leaves no more than about a monolayer of a metal-containing species. The second reactant preferably removes at least some halide ligands from the adsorbed first reactant. The second reactant pulse 106 also leaves a surface termination that operates to limit the deposition in a saturative reaction phase.


After a time period sufficient to completely saturate and react the monolayer with the second reactant pulse 106, any excess second reactant is removed 108 from the workpiece. As with the removal 104 of the first reactant, this step 108 preferably comprises stopping the flow of the second chemistry and continuing to flow carrier gas for a time period sufficient for excess reactants and volatile reaction by-products from the second reactant pulse to diffuse out of and be purged from the reaction space. Together, the second reactant pulse 106 and removal 108 represent a second phase 109 in the illustrated process, and can also be considered a non-metal species-contributing phase. The second phase 109 can also be considered a non-halide species-contributing phase.


When the excess reactants of the second reactant pulse have been removed 108 from the chamber, a third reactant or source chemical pulse is preferably supplied to the workpiece 110. Preferably the third reactant is a deposition-enhancing agent that is capable of removing halides from the substrate surface and/or the reaction space, such as hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes. The deposition-enhancing agent is preferably provided with an inert carrier gas. Temperature and pressure conditions are preferably arranged to avoid diffusion of the deposition-enhancing agent through the monolayer to underlying materials.


After a time period sufficient to completely saturate and react the monolayer with the third reactant, excess unreacted deposition-enhancing agent and any reaction by-products (preferably also volatile) are removed 112 from the reaction space, preferably by a purge gas pulse. The removal can be as described for step 104. Together, the deposition-enhancing agent pulse 110 and removal 112 represent a third phase 113 of the illustrated ALD process, which can also be referred to as the deposition-enhancing phase.


In an alternative embodiment of the invention (not shown), supply of deposition-enhancing agent immediately follows the step of removing excess first reactant and by-products. After a time period sufficient to completely saturate and react the monolayer with the deposition-enhancing agent, excess unreacted deposition-enhancing agent and reaction by-products are removed from the reaction space, preferably by a purge gas pluse. The removal step is followed by supply of the second reactant pulse.


In another alternative embodiment of the invention (not shown), the steps of supplying the deposition-enhancing agent and removing any excess deposition-enhancing agent and by-products precede the step of supplying the first reactant. In other alternative embodiments, the deposition-enhancing agent is not provided in every cycle.


The foregoing embodiments will be discussed in the context of specific thin film chemistries.


Deposition of Carbon-Containing Films


Carbon containing metal films or metal carbides have varying applications, such as gate electrodes, electrodes in capacitors and barrier layers in damascene and dual damascene structures.


In one embodiment, a general pulsing sequence for carbon-containing metal or metal carbide thin film deposition is:

(M1Xy+purge+M2R3+purge+deposition-enhancing agent+purge)×m1
or
(M1Xy+purge+deposition-enhancing agent+purge+M2R3+purge)×m1,

    • wherein m1 is the number of total cycles. M1 is a metal atom, preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W. However, in other embodiments M1 is selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In and Al.


M2 is a metal atom, preferably selected from the group consisting of B, Al, In, Sn, Bi, Sn, Zn, Pb, Sb and Ga. R is a ligand for M2 and can be any ligand, preferably a metalorganic ligand, more preferably an organometallic ligand, most preferably an alkane ligand, such as ethyl ligand.


Xy is one or more ligands for M1. Each X is preferably a halogen ligand selected from the group consisting of I, Br, Cl and F. However, in some embodiments at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand. In other embodiments Xy may comprise mixtures thereof. However, at least one of the Xy ligands is preferably a halogen. As an example, bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V) trichloride, can be used as a metal precursor in some embodiments.


The deposition enhancing agent is preferably selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes. In a more preferred embodiment, the deposition-enhancing agent is a hydrocarbon selected from the group consisting of alkanes, alkenes and alkynes.


In preferred embodiments, M2 is a metals, preferably aluminum, and R is a carbon-containing ligand. M2R3 preferably has at least one metal-to-carbon bond. In some embodiments, M2R3 may be considered a carbon source chemical.


One benefit of the present invention is that the growth rate is extremely high for an ALD process. For example, the growth rate for TaC formation can be over 2 Å/cycle. Further, annealing can be performed after the metal carbide deposition for enhancing the properties of the film. Suitable atmospheres, such as N2 or forming gas (N2/H2), may be used during annealing.


Exemplary pulsing sequences for TaC film formation include:

(TaCl5+purge+trimethylaluminum (TMA) or triethylaluminum (TEA)+purge+C2H2+purge)]×m2
or
(TaCl5+purge+C2H2+purge+TMA or TEA+purge)]×m2,

    • wherein m2 is the number of total cycles and C2H2 is the deposition-enhancing agent.


To improve film properties, acetylene (C2H2) was introduced in the TaC formation process as a deposition-enhancing agent as described above. Films deposited using acetylene contained about 40 times less chlorine than films deposited without the use of acetylene. This minor amount of chlorine is acceptable for device structures.


In another embodiment, tungsten carbide films are deposited. An exemplary pulsing sequence may be:

(TEB+purge+Si2H6+purge+WF6+purge)]×m2,

    • wherein m2 is the number of total cycles, WF6 corresponds to M1Xy, TEB is M2R3 and Si2H6 is the deposition enhancing agent.


A tungsten-carbide (WCx) film could be produced in the prior art from alternating and sequential pulses of WF6 and TEB. Sequential and alternating pulses of WF6 and TEB at about 300° C. produce low resistivity WCx films with hydrogen and fluorine impurities. By utilizing a deposition enhancing agent in the ALD cycle described above, alternating and sequential pulses of TEB, a deposition-enhancing agent (e.g., Si2H6) and WF6 at a temperature between about 200° C. and 350° C. produced WCx films with no impurities (FIG. 3) and substantially lower resistivity.


In other embodiments, a deposition-enhancing agent is not utilized every cycle but only in some of the cycles. In this situation, a general pulsing sequence for carbon-containing metal thin film deposition can be:

[n3×(M1Xy+purge+M2R3+purge)+m3×(enhanced deposition agent+purge)]×k3,

    • wherein n3 is the number of carbide cycles in one total cycle, m3 is the number of cycles in which a deposition enhancing agent is used in one total cycle, and k3 is the number of total cycles. M1 is a metal atom preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Si and Al. In other embodiments M1 can be selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In. M2 is a metal atom, preferably selected from the group consisting of B, Al, In, Sn, Bi, Zn, Pb, Sb and Ga. R is a ligand for M2 and can be any ligand.


Xy is one or more ligands for M1. Each X is preferably a halogen ligand selected from the group consisting of I, Br, Cl and F. However, in some embodiments at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand. In other embodiments Xy may comprise mixtures thereof. However, at least one of the Xy ligands is preferably a halogen. As an example, bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V) trichloride, can be used as a metal precursor in some embodiments.


A carbide film is deposited by an ALD process comprising the following steps:

    • 1. providing a transition metal halide (e.g. TaCl5, TaF5, TiCl4 or ZrCl4) to the reaction space;
    • 2. removing excess transition metal halide from the reaction space by purging and/or evacuation;
    • 3. providing an organometallic or metalorganic compound to the reaction space;
    • 4. removing excess organometallic or metalorganic compound by purging and/or evacuation; and
    • 5. providing hydrogen radicals to the reaction space.


In some embodiments step 5 is included in each cycle, while in other embodiments steps 1-4 are repeated multiple cycles before introducing step 5. That is, the hydrogen radicals may be provided at intervals in the deposition cycle. Preferably the hydrogen radicals are initially provided at a point in the deposition process where the thin film is thick enough that the radicals can not penetrate the film and damage the underlying substrate.


Deposition of Silicon-Containing Films


Silicon-containing metal films or metal silicides are commonly used as conductive electrodes. Tungsten silicide (WSix) is an example of a metal silicide. A WSix film has been formed by alternating and sequential pulses of WF6 and Si2H6. However, this procedure undesirably leads to powder generation, producing films with properties unsuited for common applications. It has been found that use of a deposition-enhancing agent, such as TEB, in an ALD reaction with WF6 and Si2H6 can produce WSix films with improved film properties (i.e., reduced impurity levels).


In some embodiments, silicon-containing metal or metal silicide thin film are deposited by the following pulsing sequence:

(MXy+purge+silicon source chemical+purge+deposition-enhancing agent+purge)×m1, or
(MXy+purge+deposition-enhancing agent+purge+silicon source chemical+purge)×m1

    • wherein m1 is the number of total cycles. M is a metal atom, preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W and Al. In other embodiments, M is selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In.


Xy is one or more ligands for M. Each X is preferably a halogen ligand selected from the group consisting of I, Br, Cl and F. However, in some embodiments at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand. In other embodiments Xy may comprise mixtures thereof. However, at least one of the Xy ligands is preferably a halogen. As an example, bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V) trichloride, can be used as a metal precursor in some embodiments.


In preferred embodiments, the silicon source chemical is a silane (SixHy). Other silicon source chemicals that can be used will be known to the skilled artisan.


The deposition-enhancing agent is selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes. In a more preferred embodiment, the deposition-enhancing agent is a boron compound, more preferably triethyl boron (TEB).


In one embodiment, formation of a WSix film proceeds using the pulsing sequence:

(WF6+purge+Si2H6+purge+TEB+purge)]×m2,

    • wherein m2 is the number of total cycles and TEB is the deposition-enhancing agent. By controlling the concentrations of the silicon source chemical and deposition enhancing agent, highly conductive WSix films with substantially reduced impurity content compared to prior art processes are produced.


      Deposition of Oxygen-Containing Films


Metal oxides have several important applications, such as insulators and transparent conductors. In future devices progressively thinner films are needed. In addition, they need to be grown conformally in narrow trenches. In some applications, such as optics, nanolaminates with sharp interfaces between materials are needed.


In one embodiment, a general pulsing sequence for oxygen-containing metal thin film deposition may be:

(MXy+purge+oxidizing reactant+purge+deposition-enhancing agent+purge)×m1
or
(MXy+purge+deposition-enhancing agent+purge+oxidizing reactant+purge)×m1

    • wherein m1 is the number of total cycles. M is a metal atom, preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W and Al. In other embodiments, M can be selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, In.


Xy is one or more ligands for M. Each X is preferably a halogen ligand selected from the group consisting of I, Br, Cl and F. However, in some embodiments at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand. In other embodiments Xy may comprise mixtures thereof. However, at least one of the Xy ligands is preferably a halogen. As an example, bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V) trichloride, can be used as a metal precursor in some embodiments.


The oxidizing reactant or oxygen source chemical is preferably selected from the group consisting of H2O, O2, ozone, oxygen radicals and oxygen plasma. The deposition-enhancing agent is preferably selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes. More preferably, the deposition enhancing agent is selected from the group including, but not limited to hydrocarbons, such as alkanes, alkenes and alkynes. In some embodiments, the deposition-enhancing agent is acetylene (C2H2).


As an example, when WCl6 is used in a deposition reaction with H2O, the starting surface is chlorinated, causing poor film growth and properties. However, if a deposition enhancing agent, such as, for example, acetylene (C2H2), is used in the reaction in the cycle described above, film growth and properties are substantially improved. The film growth may be written:

2-OH(s)+WCl6(g)→—OWClx(s)+HCl(g)
—OWClx(s)+H2O(g)→—OWOHClx(s)+HCl(g)
—OWClx(s)+C2H2(g)→—WO2(s)+C2H2Cl2(g)


As an alternative, the acetylene pulse may be applied before the water pulse:

2-OH(s)+WCl6(g)→—OWClx(s)+HCl(g)
—OWClx(s)+C2H2(g)→—OW(s)+HCl(g)
—OW(s)+H2O(g)→—W(OH)2(s)


As another example, MoOx can be grown using MoCl5, H2O and a deposition-enhancing agent, such as, e.g., C2H2:

2-OH(s)+MoCl5(g)→—OMoClx(s)+HCl(g)
—OMoClx(s)+H2O(g)→—OMoOHClx(s)+HCl(g)
—OMoClx(s)+C2H2(g)→—MoO2(s)+C2H2Cl2(g)


As an alternative, the acetylene pulse may be applied before the water pulse:

2-OH(s)+MoCl6(g)→—OMoClx(s)+HCl(g)
—OMoClx(s)+C2H2(g)→—OMo(s)+HCl(g)
—OMo(s)+H2O(g)→—Mo(OH)2(s)


As discussed above, use of a deposition-enhancing agent for oxygen-containing film growth has beneficial consequences. For example, the growth rate of In2O3, which is an important conductive oxide, is typically low. However, use of a deposition-enhancing agent, such as, e.g., acetylene, allows removal of impurities at low temperatures. SnO2 is also an important conductive oxide. In particular, the combination of In2O3 and SnO2 (i.e., ITO) is a very important conductive oxide.


As another example illustrating the beneficial consequences of deposition-enhancing agent usage, a TiO2 film, which has a high permittivity (˜80), usually has a high leakage current when deposited by previous processes, which limits its use as a dielectric. Oxygen deficiency has been speculated as the reason for the high leakage current. Carbon from acetylene used as a deposition-enhancing agent according to the present methods advantageously fills these vacancies and overcomes these problems.


As yet another example, HfO2 is one of the key candidates as a gate oxide in MOSFET transistors. The best electrical results have been obtained using HfCl4 and H2O. However, even trace amounts of chlorine can cause device failure. Acetylene-assisted growth cleans the interface and its use as a deposition enhancing agent in the methods disclosed above allows the deposition of pure HfO2 films at low temperatures.


Deposition of Elemental Metal Films


Conformal ALD deposited elemental metal films are desirable in many semiconductor applications, such as diffusion barriers for Cu interconnects, metal electrodes for gate stacks and metal-insulator-metal (MIM) structures. For example, combination of a Cu diffusion barrier and a pure metal (e.g., W) with low resistivity can be used for direct Cu plating.


ALD of W may be achieved using sequential and alternating pulses of WF6 and a reducing agent, such as Si2H6 or B2H6. The inventors have observed that the formation of tungsten films using dilute Si2H6 may lead to the production of powders, which makes use of this pulsing scheme in industrial practice undesirable due to the risk of particle generation. However, powder production in the deposition of metal films can be substantially reduced using a deposition-enhancing agent.


In one embodiment, a pulsing sequence for metal thin film deposition by ALD is:

(deposition-enhancing agent+purge+MXy+purge+reducing agent+purge)×m1,
or
(MXy+purge deposition-enhancing agent+purge+reducing agent+purge)×m1,

    • wherein m1 is the number of total cycles. M is a metal atom, preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W and Al. In other embodiments, M can be selected from the group consisting of Fe, Mn, Ni, Co, Cu, Zn, Cd, Ge, Si, Sn, Sb, Ga, Ru, Ir, Pd, Pt, Au, Rh, Re, B, and In.


Xy is one or more ligands for M. Each X is preferably a halogen ligand selected from the group consisting of I, Br, Cl and F. However, in some embodiments at least one X can be a metalorganic ligand, such as a cyclopentadienyl (for example, cyclopentadienyl, methylcyclopentadienyl, pentamethylcyclopentadienyl, ethylcyclopentadienyl, isopropylcyclopentadienyl, tertbutylcyclopentadienyl, and indenyl), alkoxide (for example, methoxide, ethoxide, isopropoxide, and tertbutoxide), alkyl (for example, methyl, ethyl, propyl, and butyl), carbonyl, cyclo-octadiene, benzene or hydrogen ligand. In other embodiments Xy may comprise mixtures thereof. However, at least one of the Xy ligands is preferably a halogen. As an example, bis(cyclopentadienyl)hafnium dichloride or bis(cyclopentadienyl)tantalum(V) trichloride, can be used as a metal precursor in some embodiments.


The reducing agent is preferably selected from the group including silanes (e.g., Si2H6) and boranes (e.g., B2H6); and the deposition-enhancing agent is selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes. In a preferred embodiment the deposition-enhancing agent is a boron compound, preferably TEB.


As one example, a low resistivity W film was formed at a substrate temperature between about 200° C. and 350° C. using the following pulsing sequence:

(TEB+purge+WF6+purge+Si2H6+purge)]×m2

    • wherein m2 is the number of total cycles and TEB is the deposition-enhancing agent. X-ray diffraction (XRD) spectra and x-ray photoelectron spectroscopy (XPS) sputtering time profiles of low resistivity tungsten films formed according to the pulsing sequence above showed that there was no powder formation.


      Semiconductor Device Applications


Methods of fabricating semiconductor device structures will now be discussed. Although described in terms of several specific contexts, one of skill in the art will recognize that the processes described herein are applicable to many other contexts as well.


Carbon-Containing Films as Electrodes


In some embodiments a electrode is formed by ALD of conductive metal carbide. With reference to FIG. 3, a silicon substrate 200 is illustrated comprising a layer of high-k dielectric material 210. The substrate may be treated prior to deposition of the high-k material. For example, in some embodiments, a thin interfacial layer (not shown) may be deposited prior to deposition of the high-k material. In one embodiment a thin chemical oxide or oxynitride is formed on the surface. In other embodiments a thermal oxide is grown on the substrate.


“High-k” generally refers to a dielectric material having a dielectric constant (k) value greater than that of silicon oxide. Preferably, the high-k material has a dielectric constant greater than 5, more preferably greater than about 10. Exemplary high-k materials include, without limitation, HfO2, ZrO2, Al2O3, TiO2, Ta2O5, Sc2O3, lanthanide oxides and mixtures thereof, silicates and materials such as YSZ (yttria-stabilized zirconia), barium strontium titanate (BST), strontium titanate (ST), strontium bismuth tantalate (SBT) and bismuth tantalate (BT). Preferably, the high-k material is also deposited by an ALD process.


A layer or thin film of conductive metal carbide 220 is deposited over the dielectric (high-k material) layer 210 by ALD, as described above, to form the illustrated structure. It will be appreciated that in the illustrated embodiment the layers are not necessarily drawn to scale. The metal carbide and underlying high-k material are patterned to form an electrode.


The metal carbide thin film 220 is preferably deposited over the dielectric layer 210 by contacting the substrate with alternating pulses of a metal source chemical, carbon source chemical and a deposition-enhancing agent (not necessarily in this order), as described above. The metal source chemical is preferably a halide compound (e.g., TaCl5) and the carbon source chemical is preferably an organometallic compound, such as, e.g., trimethyl aluminum (TMA).


The deposition-enhancing agent may be a hydrocarbon selected from the group including, but not limited to, alkanes, alkenes and alkynes. In one embodiment, the deposition-enhancing agent is acetylene. (C2H2). In other embodiments the deposition-enhancing agent comprises hydrogen radicals. Unreacted source chemicals and reaction byproducts are removed from the reaction chamber after each source chemical pulse, for example by evacuation and/or purging with an inert gas (e.g., N2). In some embodiments, evacuation is achieved using a vacuum pump or a plurality of vacuum pumps. The pulsing cycle is repeated until a metal carbide layer of the desired thickness has been formed. Preferably, the metal carbide layer has a thickness between about 5 Å and about 1000 Å.


The conductive metal carbides deposited to form the electrode in these embodiments are preferably selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W and Al carbides. Further non-conductive carbide SiC can also be deposited.


In some embodiments the metal carbide forms the electrode. In other embodiments (not shown) another conductive material, such as a metal or poly-Si, is deposited over the metal carbide. The additional conductive material may be deposited by ALD or by another deposition process, such as by CVD or PVD. The deposition may be selective, or may be followed by patterning steps. According to still another embodiment, annealing can be performed after the metal carbide deposition. Suitable atmospheres, such as N2 or forming gas (N2/H2) are apparent to skilled artisan.


Further processing steps, such as spacer deposition and source/drain implantation, will be apparent to the skilled artisan.


Carbon-Containing Films as Barrier Layers


Metal carbide thin film can be deposited by ALD to form a barrier layer for interconnect metallization. The substrate may comprise damascene or dual damascene structures, including high aspect ratio trenches and vias. With reference to FIG. 4, in one embodiment, a dual damascene structure 300 comprises a trench 310, via 320, and dielectric layers 340 and 350. In the illustrated embodiment, the layers are not necessarily drawn to scale. The structure 300 is placed in an ALD reaction chamber and a metal carbide thin film barrier layer 360 is deposited over the trench 310 and via 320 by contacting the structure 300 with alternating pulses of a metal source chemical, carbon source chemical and deposition-enhancing agent (not necessarily in this order), as described above.


In the preferred embodiment, the metal source chemical is a halide compound, the carbon source is an organometallic compound and the deposition-enhancing agent is a hydrocarbon or hydrogen radicals. Unreacted source chemicals and reaction byproducts are removed from the reaction chamber after each pulse of source chemical, as described above. The pulsing cycle is repeated until a barrier layer of the desired thickness has been formed. Preferably, the barrier layer has a thickness between about 5 Å and about 100 Å.


In all of the aforesaid embodiments, any element used in an embodiment can interchangeably be used in another embodiment unless such a replacement is not feasible.


It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention. All modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims
  • 1. An atomic layer deposition (ALD) process for forming a metal-containing thin film on a substrate comprising a plurality of deposition cycles in which the substrate is alternately and sequentially contacted with a first reactant that comprises TiCl4 and a second reactant comprising triethylaluminum (TEA), wherein in at least one of the deposition cycles the substrate is contacted with a third reactant selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, boron compounds and boranes.
  • 2. The process of claim 1, wherein the substrate is contacted with the third reactant in each of the plurality of deposition cycles.
  • 3. The process of claim 1, wherein the third reactant is an alkane, alkene or alkyne.
  • 4. The process of claim 3, wherein the third reactant is acetylene.
  • 5. The process of claim 1, wherein the third reactant is a silane or borane.
  • 6. The process of claim 1, wherein at least one deposition cycle comprises: contacting the substrate with TiCl4;removing excess TiCl4 from the reaction space;contacting the substrate with TEA;removing excess TEA from the reaction space.
  • 7. The process of claim 1, wherein the plurality of deposition cycles is carried out at a reaction temperature from about 350° C. to about 450° C.
  • 8. A method for depositing a thin film on a substrate in a reaction chamber, the method comprising: carrying out one or more atomic layer deposition (ALD) cycles, each ALD cycle comprising contacting the substrate with alternate, sequential pulses of TiCl4 and triethylaluminum (TEA), wherein subsequent to at least one ALD cycle, the substrate is contacted with a third reactant that is capable of removing halide ligands from the substrate surface or the reaction space.
  • 9. The method of claim 8, wherein the ALD cycle is repeated multiple times prior to contacting the substrate with the third reactant.
  • 10. The method of claim 8, wherein the third reactant comprises one or more of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, and boron compounds.
  • 11. The method of claim 8, wherein the third reactant comprises a silane or borane.
  • 12. The method of claim 8, wherein the third reactant is acetylene.
  • 13. The method of claim 8, wherein the third reactant comprises hydrogen radicals.
  • 14. The method of claim 8, wherein the substrate is contacted with hydrogen radicals at one or more intervals while carrying out the one or more ALD cycles.
REFERENCE TO RELATED APPLICATIONS

The present application claims priority as a continuation of U.S. application Ser. No. 13/766,469, filed Feb. 13, 2013, now U.S. Pat. No. 9,127,351, which in turn claims priority to U.S. application Ser. No. 11/588,837, filed Oct. 27, 2006, now U.S. Pat. No. 8,993,055, which in turn claims priority to U.S. Provisional application No. 60/730,986, filed Oct. 27, 2005. Each of the priority applications are incorporated by reference herein.

US Referenced Citations (162)
Number Name Date Kind
3708728 Sterling et al. Jan 1973 A
3925337 Heiberger Dec 1975 A
4058430 Suntola et al. Nov 1977 A
4282267 Küyel Aug 1981 A
4389973 Suntola et al. Jun 1983 A
4565747 Nakae et al. Jan 1986 A
4747367 Posa May 1988 A
4761269 Conger et al. Aug 1988 A
4767494 Kobayashi Aug 1988 A
4851095 Scobey et al. Jul 1989 A
4935661 Heinecke et al. Jun 1990 A
5071670 Kelly Dec 1991 A
5166092 Mochizuki et al. Nov 1992 A
5221556 Hawkins et al. Jun 1993 A
5270247 Sakuma et al. Dec 1993 A
5281274 Yoder Jan 1994 A
5306666 Izumi Apr 1994 A
5316793 Wallace et al. May 1994 A
5342652 Foster et al. Aug 1994 A
5482262 Hayakawa et al. Jan 1996 A
5595784 Kaim et al. Jan 1997 A
5603771 Seiberras et al. Feb 1997 A
5618395 Gartner Apr 1997 A
5691235 Meikle et al. Nov 1997 A
5693139 Nishizawa et al. Dec 1997 A
5711811 Suntola et al. Jan 1998 A
5723384 Park et al. Mar 1998 A
5744254 Kampe et al. Apr 1998 A
5769950 Takasu et al. Jun 1998 A
5789024 Levy et al. Aug 1998 A
5855680 Soininen Jan 1999 A
5916365 Sherman Jun 1999 A
5946598 Yeh Aug 1999 A
5947710 Cooper et al. Sep 1999 A
5964943 Stein et al. Oct 1999 A
5965004 Cowley et al. Oct 1999 A
5972430 DiMeo et al. Oct 1999 A
5973400 Murakami et al. Oct 1999 A
6006763 Mori et al. Dec 1999 A
6015590 Suntola et al. Jan 2000 A
6087257 Park et al. Jul 2000 A
6099904 Mak et al. Aug 2000 A
6104074 Chen Aug 2000 A
6113977 Soininen et al. Sep 2000 A
6124158 Dautartas et al. Sep 2000 A
6139624 Rupp Oct 2000 A
6139700 Kang et al. Oct 2000 A
6144060 Park et al. Nov 2000 A
6156382 Rajagopalan et al. Dec 2000 A
6162501 Kim Dec 2000 A
6174809 Kang et al. Jan 2001 B1
6188134 Stumborg et al. Feb 2001 B1
6194310 Hsu et al. Feb 2001 B1
6200389 Miller et al. Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6206967 Mak et al. Mar 2001 B1
6234646 Ito May 2001 B1
6270572 Kim et al. Aug 2001 B1
6284646 Leem Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6342277 Sherman Jan 2002 B1
6355561 Sandhu et al. Mar 2002 B1
6380627 Weihs et al. Apr 2002 B1
6391785 Satta et al. May 2002 B1
6416577 Suntola et al. Jul 2002 B1
6464779 Powell et al. Oct 2002 B1
6475276 Elers et al. Nov 2002 B1
6482262 Elers et al. Nov 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6482740 Soininen et al. Nov 2002 B2
6511539 Raaijmakers Jan 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6576053 Kim et al. Jun 2003 B1
6599572 Saanila et al. Jul 2003 B2
6613383 George et al. Sep 2003 B1
6616982 Merrill et al. Sep 2003 B2
6632595 Kikuchi et al. Oct 2003 B2
6652924 Sherman Nov 2003 B2
6706115 Leskela et al. Mar 2004 B2
6727169 Raaijmakers et al. Apr 2004 B1
6780704 Raaijmakers et al. Aug 2004 B1
6794287 Saanila et al. Sep 2004 B2
6797340 Fang et al. Sep 2004 B2
6800383 Lakhotkin Oct 2004 B1
6800552 Elers et al. Oct 2004 B2
6809026 Yoon et al. Oct 2004 B2
6821889 Elers et al. Nov 2004 B2
6827978 Yoon et al. Dec 2004 B2
6833161 Wang et al. Dec 2004 B2
6863727 Elers et al. Mar 2005 B1
6902763 Elers et al. Jun 2005 B1
6986914 Elers et al. Jan 2006 B2
7015153 Triyoso et al. Mar 2006 B1
7045406 Huotari et al. May 2006 B2
7138336 Lee et al. Nov 2006 B2
7211144 Lu et al. May 2007 B2
7211508 Chung et al. May 2007 B2
7268078 Iyer Sep 2007 B2
7405158 Lai et al. Jul 2008 B2
7416981 Lee et al. Aug 2008 B2
7595263 Chung et al. Sep 2009 B2
8841182 Chen et al. Sep 2014 B1
8846550 Shero et al. Sep 2014 B1
9111749 Shero et al. Aug 2015 B2
9127351 Rahtu Sep 2015 B2
9236247 Chen et al. Jan 2016 B2
20010024387 Raaijmakers Sep 2001 A1
20010034097 Lim et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20020004293 Soininen et al. Jan 2002 A1
20020104481 Chiang et al. Aug 2002 A1
20020182320 Leskela et al. Dec 2002 A1
20030026989 George et al. Feb 2003 A1
20030031793 Chang et al. Feb 2003 A1
20030049931 Byun et al. Mar 2003 A1
20030072975 Shero et al. Apr 2003 A1
20030082296 Elers et al. May 2003 A1
20030102691 Schlecht et al. Jun 2003 A1
20030104126 Fang et al. Jun 2003 A1
20030123216 Yoon et al. Jul 2003 A1
20030127043 Lu et al. Jul 2003 A1
20030153181 Yoon et al. Aug 2003 A1
20030157760 Xi et al. Aug 2003 A1
20030161952 Wang et al. Aug 2003 A1
20030165615 Aaltonen Sep 2003 A1
20030181035 Yoon et al. Sep 2003 A1
20030194825 Law et al. Oct 2003 A1
20030203616 Chung et al. Oct 2003 A1
20030207593 Derderian et al. Nov 2003 A1
20040130029 Raaijmakers et al. Jul 2004 A1
20040185183 Srinivasan et al. Sep 2004 A1
20040198025 Derderian Oct 2004 A1
20040206008 Sung Oct 2004 A1
20040208994 Harkonen et al. Oct 2004 A1
20040224504 Gadgil Nov 2004 A1
20040231799 Lee et al. Nov 2004 A1
20040238876 Youn et al. Dec 2004 A1
20050004386 Deschler et al. Jan 2005 A1
20050037557 Doczy et al. Feb 2005 A1
20050106877 Elers et al. May 2005 A1
20050215008 Orlowski et al. Sep 2005 A1
20050271813 Kher et al. Dec 2005 A1
20060019494 Cao et al. Jan 2006 A1
20060079090 Elers et al. Apr 2006 A1
20060165892 Weidman Jul 2006 A1
20060211224 Matsuda et al. Sep 2006 A1
20060223300 Simka et al. Oct 2006 A1
20060240187 Weidman Oct 2006 A1
20070037391 Thompson Feb 2007 A1
20070054046 Ishizaka et al. Mar 2007 A1
20070148350 Rahtu et al. Jun 2007 A1
20070190780 Chung et al. Aug 2007 A1
20070218670 Ishizaka Sep 2007 A1
20080102204 Elers May 2008 A1
20080113110 Elers et al. May 2008 A1
20080182411 Elers Jul 2008 A1
20080274617 Milligan Nov 2008 A1
20090081868 Shah et al. Mar 2009 A1
20090315093 Li et al. Dec 2009 A1
20090321936 Kojima et al. Dec 2009 A1
20130078454 Thompson et al. Mar 2013 A1
20140051261 Ota et al. Feb 2014 A1
Foreign Referenced Citations (56)
Number Date Country
0394054 Apr 1989 EP
0387403 Oct 1989 EP
0442490 Aug 1991 EP
0528779 Feb 1993 EP
0573033 Jun 1993 EP
0526779 Oct 1995 EP
0774533 Oct 1996 EP
0899779 Mar 1999 EP
1 158 070 Nov 2001 EP
1167567 Jan 2002 EP
58033841 Feb 1983 JP
6037041 Feb 1994 JP
6069157 Mar 1994 JP
7230957 Aug 1995 JP
8264530 Oct 1996 JP
09087857 Mar 1997 JP
2001-88044 Dec 2001 KR
2002-31160 Jun 2002 KR
2002-87192 Dec 2002 KR
2003-5727 Jan 2003 KR
2003-0016346 Feb 2003 KR
2003-14115 Mar 2003 KR
2003-14117 Mar 2003 KR
2003-33234 May 2003 KR
2003-40758 Jun 2003 KR
2003-0057938 Jul 2003 KR
2003-0093575 Dec 2003 KR
2004-0060402 Jul 2004 KR
2004-0100767 Dec 2004 KR
2005-0000168 Jan 2005 KR
200818395 Apr 2008 TW
201250044 Dec 2012 TW
WO 9617107 Jun 1996 WO
WO 9618756 Jun 1996 WO
WO 9851838 Nov 1998 WO
WO 9937655 Jul 1999 WO
WO 0001006 Jan 2000 WO
WO 0004704 Jan 2000 WO
WO 0040772 Jul 2000 WO
WO 0047404 Aug 2000 WO
WO 0047796 Aug 2000 WO
WO 0054320 Sep 2000 WO
WO 0055895 Sep 2000 WO
WO 0063957 Oct 2000 WO
WO 0127347 Apr 2001 WO
WO 0129280 Apr 2001 WO
WO 0129891 Apr 2001 WO
WO 0129893 Apr 2001 WO
WO 0153565 Jul 2001 WO
WO 0166832 Sep 2001 WO
WO 0178213 Oct 2001 WO
WO 0188972 Nov 2001 WO
WO 2004077515 Sep 2004 WO
WO 2006080782 Aug 2006 WO
WO 2007041089 Apr 2007 WO
WO 2008137399 Nov 2008 WO
Non-Patent Literature Citations (80)
Entry
Edelmann, Frank T., “Lanthanide amidinates and guanidinates in catalysis and materials science: a continuing success story”. Chem. Soc. Rev., 2012, 41, 7657-7672.
Xiang, Jinjuan, et al., “Investigation of Thermal Atomic Layer Deposited TaAIC with Low Effective Work-Function on HfO2 Dielectric Using TaCI5 and TEA as Precursors”. ECS Journal of Solid State Science and Technology, 6 (1) p. 38-p. 41 (2017).
Li, Jinwang, et al., “Mechanism and Kinetics of Aluminum Nitride Powder Degradation in Moist Air”. J. Am. Ceram. Soc., 89 [3] 937-943 (2006).
Yoshida, S., “A new doping method using metalorganics in chemical vapor deposition of 6H-SiC”. J. Appl. Phys. 55(1), Jan. 1, 1984, pp. 169-171.
1988RD-0296076 (Nov. 20, 1998), Field effect transistor structure with improved transconductant—contg. spacer-less conducting gate oxide, and tungsten deposited on source and drain, East Version 2,0.1.4 Patent-Assignee: Anonymous[ANON9 , Sep. 19, 2005.
Aarik et al., “Influence of substrate temperature on atomic layer growth and properties of HfO2 thin films”, Thin Solid Films, vol. 340, 1999, pp. 110-116.
Alen et al., “Atomic Layer Deposition of Ta(AI)N(C) Thin Films Using Trimethylaluminum as a Reducing Agent”, Journal of the Electrochemical Society, vol. 148, No. 10, pp. G566-G571, 2001.
Andricacos et al., Damascene copper electroplating for chip, IBM Jour. Research and Dev., 1998, vol. 42, Issue 5, pp. 567-574.
Bain et al., Deposition of tungsten by plasma enhanced chemical vapour deposition, J. Phys. IV France, 1999, vol. 9, pp. 827-833.
Chang et al, “Chemical Vapor Deposition of Tantalum Carbide and Carbonitride Thin Films from Me3CE=Ta(CH2CMe3)3 (E = CH, N),” J. Mater, Chem. 13:365-369 (2003).
Elers et al., NbC15 as a precursor in atomic layer epitaxy, Applied Surface Science, Jul. 9, 1994, vol. 82/83, pp. 468-474.
Favis et al., Atomic layer epitaxy of silicon, silicon/germanium and silicon carbide via extraction/exchange processes, Avail. NTIS. Report, 1991, pp. 33.
Fuyuki et al., Atomic layer epitaxy controlled by surface superstructures in silicon carbide, Thin Solid Films, 1993, vol. 225, Issue 1-2, pp. 225-229.
Fuyuki et al., Atomic layer epitaxy of cubic silicon carbide by gas source MBE using surface superstructure, J. Cryst. Growth, 1989, vol. 95, Issue 1-4, pp. 461-463.
Girolami et al., Tailored Organometallics as Low-Temperature CVD Precursors to Thin Films, Materials Research Society Symposium Proceedings, 1988, vol. 121, pp. 429-438.
Gordon et al., “A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches”, Chemical Vapor Deposition, 2003, vol. 9, No. 2, pp. 73-78.
Hara et al., Atomic layer control of .beta.—silicon carbide (001) surface, Springer Proc. Phys., 1992, pp. 90-95.
Hiltunen et al., Nitrides of titanium, niobium, tantalum and molybdenum grown as thin films by the atomic layer epitaxy method, Thin Solid Films, 1988, vol. 166, pp. 149-154.
Hultman et al., “Review of the Thermal and Mechanical Stability of TiN-based Thin Films” Zeitscrift Fur Metallkunde 90 (10): 803-813 (1999).
Ihanus et al., “ALE growth of ZnS1-xSex thin films by substituting surface sulfur with elemental selenium,” Appl. Surface Sci., 112:154-158 (1997).
International Search Report and Written Opinion dated Apr. 7, 2008, Application No. PCT/US2007/082131.
Jehn et al., Gmelin Handbook of Inorganic and Organometallic Chemistry, 8th Edition, 1993, vol. A 5b, Issue 54, pp. 131-154.
Jeon et al., A Study on the Characteristics of TiN Thin Film Deposited by Atomic Layer Chemical Vapor Deposition Method, J. Vac .Sci. Technol. A, 2000, vol. 18, Issue 4, pp. 1595-1598.
Juppo et al., Deposition of copper films by an alternate supply of CuCI and Zn, J. Vac. Sci. Technol A, Jul./Aug. 1997, vol. 15, Issue 4, pp. 2330-2333.
Kattelus et al., “Electrical Properties of Tantalum Based Composite Oxide Films,” Mat. Res. Soc. Symp. Proc. vol. 284, pp. 511-516 (1993).
Kattelus et al., “Layered tantalum-aluminum oxide films deposited by atomic layer epitaxy,” Thin Solid Films, Vo. 225, pp. 296-298 (1993).
Kim et al., “Novel capacitor technology for high density stand-alone and embedded DRAMs,” ieee International Electron Devices Meeting, IEDM (2000).
Kim et al., Atomic-layer-depositied WNxCy thin films as diffusion barrier for copper metallization, Applied Physics Letters, Jun. 23, 2003, vol. 82, Issue 25, pp. 4486-4488.
Kirk-Othmer, Encyclopedia of Chemical Technology, John Wiley & Sons, Inc., 1992, vol. 4, pp. 841-878.
Klaus et al., Atomic layer deposition of tungsten and tungsten nitride using sequential surface reactions, AVS 46th International Symposium, 1999, Seattle, WA, US.
Klaus et al., Atomic Layer Deposition of Tungsten Nitride Films Using Sequential Surface Reactions, Journal of the Electrochemical Society, 2000, vol. 147, Issue 3, pp. 1175-1181.
Klaus et al., Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction, Thin Solid Films, 2000, vol. 360, pp. 145-153.
Klaus et al., Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions, Applied Surface Science, 2000, vol. 162-163, pp. 479-491.
Klug et al. “Atomic Layer Deposition of Amorphous Niobium Carbide-Based Thin Film Superconductors”. Journal of Physical Chemistry C, 2011, 115, 25063-25071.
Kukli et al,, Properties of (Nb1-x Tax )2 O5 Solid Solutions and (Nb1-x Tax )2 O5-ZrO2 Nanolaminates Growth by Atomic Layer Epitaxy, NanoStructured Materials, 1997, vol. 8, pp. 785-793.
Lai et al., Precursors for Organometallic Chemical Vapor Deposition of Tungsten Carbide Films, Chem. Mater., 1995, vol. 7, pp. 2284-2292.
Lakomaa et al., “Surface reactions in AI2 03 growth from trimethylaluminum and water by atomic layer epitaxy,” Applied Surface Science, vol. 107, pp. 107-115 (1996).
Leskeläet al., ALD precursor chemistry: Evolution and future challenges, Jour. Phys. IV France 9, 1999, pp. 837-852.
Ludviksson et al., Low-Temperature Thermal CVD of Ti—AI Metal Films Using a Strong Reducing Agent, Chem. Vap. Deposition, 1998, vol. 4, Issue 4, pp. 129-132.
Martensson et al., Atomic Layer Epitaxy of Copper and Tantalum, Chemical Vapor Deposition, 1997, vol. 3, Issue 1, pp. 45-50.
Martensson et al., Cu(THD)2 As Copper Source in Atomic Layer Epitaxy, Electrochemical Society Proceedings, vol. 97-25, (1997) pp. 1529-1536.
Martensson, Use of atomic layer epitaxy for fabrication of Si/TiN/Cu structures, J. Vac. Sci. Technol. B, Sep./Oct. 1999, vol. 17, Issue 5, pp. 2122-2128.
Matsunami et al., Hetero-interface control and atomic layer epitaxy of SiC, Applied Surface Science, 1997, vol. 112, pp. 171-175.
Min et al., Atomic Layer Deposition of TiN Films by Alternate Supply of Tetrakis (ethylmethylamino)—Titanium and Ammonia, Jpn. J. Appl. Phys., 1998, vol. 37, pp. 4999-5004.
Min et al., Atomic Layer Deposition of TiN Thin Films by Sequential Introduction of Ti Precursor and HN3 , Mat. Res. Soc. Symp. Proc., 1998, vol. 514, pp. 337-342.
Nakajima et al., Chemical Vapor Deposition of Tungsten Carbide, Molybdenum Carbide Nitride, and Molybdenum Nitride Films, J. Electrochem. Soc., Jun. 1997, vol. 144, Issue 6, pp. 2096-2100.
Notice of Allowance for U.S. Appl. No. 10/242,368 dated Jul. 19, 2005.
Notice of Allowance for U.S. Appl. No. 10/969,297 dated Sep. 18, 2007.
Notice of Allowance for U.S. Appl. No. 11/591,845 dated Sep. 4, 2009.
Office Action for U.S. Appl. No. 10/049,125 dated Apr. 8, 2004.
Office Action for U.S. Appl. No. 10/049,125 dated Jun. 18, 2003.
Office Action for U.S. Appl. No. 10/049,125 dated Oct. 27, 2003.
Office Action for U.S. Appl. No. 10/242,368 dated Apr. 27, 2004.
Office Action for U.S. Appl. No. 10/242,368 dated Oct. 20, 2004.
Office Action for U.S. Appl. No. 10/969,297 dated Apr. 12, 2007.
Office Action for U.S. Appl. No. 10/969,297 dated Oct. 11, 2006.
Office Action for U.S. Appl. No. 11/286,203 dated Sep. 28, 2007.
Office Action for U.S. Appl. No. 11/288,872 dated Jan. 30, 2008.
Office Action for U.S. Appl. No. 11/288,872 dated Jul. 2, 2007.
Office Action for U.S. Appl. No. 11/288,872 dated Aug. 20, 2009.
Office Action for U.S. Appl. No. 11/288,872 dated Sep. 9, 2008.
Office Action for U.S. Appl. No. 11/288,872 dated Dec. 4, 2008.
Office Action for U.S. Appl. No. 11/591,845 dated May 28, 2009.
Office Action for U.S. Appl. No. 11/591,845 dated Sep. 4, 2008.
Polyakov et al., Growth of GaBN Ternary Soloutions by Organometallic Vapor Phase Epitaxy, Journal of Electronic Materials, 1997, vol. 26, Issue 3, pp. 237-242.
Ritala et al., “Controlled Growth of TaN, Ta3N5, and TaOxNy Thin Films by Atomic Layer Deposition”, Chem. Mater., 1999, vol. 11, pp. 1712-1718.
Ritala et al., Atomic Layer Epitaxy Growth of TiN Thin Films from Til4 and NH3 , J. Electrochem, Soc., Aug. 1998, vol. 145, Issue 8, pp. 2914-2920.
Ritala et al., Atomic layer epitaxy growth of TiN thin films, J. Electrochem. Soc., 1995, vol. 142, Issue 8, pp. 2731-2737.
Ritala et al., Effects of intermediate zinc pulses on properties of TiN and NbN films deposited by atomic layer epitaxy, Appl. Surf. Sci., 1997, vol. 120, pp. 199-212.
Ritala et al., Perfectly conformal TiN and AI2O3 films deposited by atomic layer deposition, Chem. Vapor Deposition, 1999, vol. 5, pp. 7-9.
Ritala et al., Surface roughness reduction in atomic layer epitaxy grown of titanium dioxide thin films, Thin Solid Films, vol. 249, pp. 155-162 (1994).
Sadayuki et al., Sub-atomic layer growth of SiC at low temperatures, Japanese Journal of Applied Physics, 1995, vol. 34, Issue 11, pp. 6166-6170.
Sherman et al., Plasma enhanced atomic layer deposition of Ta for diffusion barrier applications, AVS 46th International Symposium, Oct. 26, 1999, Seattle, WA, US.
Song et al., “Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasm and tert-Butylimido-tris(diethylamido)-tantalum (TBTDET), and its Effect on Material Properties”, Chemical Vapor Deposition, 2008, vol. 14, pp. 334-338.
Suntola, “Atomic Layer Epitaxy,” Handbook of Crystal Growth 3, Ch. 14, pp. 601-663 (1994).
Tulhoff et al., Ullmann's Encyclopedia of Industrial Chemistry, 5th , Completely Revised Edition, 1986, vol. A5, pp. 61-77.
Utriainen et al., “Controlled Electrical Conductivity in SnO2 Thin Films by Oxygen or Hydrocarbon Assisted Atomic Layer Epitaxy,” J. Electrochem. Soc. 146(1):189-193 (1999).
Wong et al., Barriers for copper interconnections, Solid State Technology, 1999, pp. 1-7.
Yang et al., Atomic Layer Deposition of Tungsten Film from WF6/B2H6: Nucleation Layer for Advanced Semiconductor Devices, Advanced Metallization Conference 2001 (AMC 2001), Conference Proceedings ULSI XVII@2002 Materials Research Society, 2001, pp. 655-660.
Zhang et al., “High permittivity thin film nanolaminates,” Journal of Applied Physics, vol. 87, No. 4, pp. 1921-1924 (2000).
Related Publications (1)
Number Date Country
20160118262 A1 Apr 2016 US
Provisional Applications (1)
Number Date Country
60730986 Oct 2005 US
Continuations (2)
Number Date Country
Parent 13766469 Feb 2013 US
Child 14812139 US
Parent 11588837 Oct 2006 US
Child 13766469 US