Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles

Information

  • Patent Grant
  • 8694145
  • Patent Number
    8,694,145
  • Date Filed
    Tuesday, November 8, 2011
    12 years ago
  • Date Issued
    Tuesday, April 8, 2014
    10 years ago
Abstract
A method of controlling surface non-uniformity of a wafer in a polishing operation includes (a) providing a model for a wafer polishing that defines a plurality of regions on a wafer and identifies a wafer material removal rate in a polishing step of a polishing process for each of the regions, wherein the polishing process comprises a plurality of polishing steps, (b) polishing a wafer using a first polishing recipe based upon an incoming wafer thickness profile, (c) determining a wafer thickness profile for the post-polished wafer of step (b), and (d) calculating an updated polishing recipe based upon the wafer thickness profile of step (c) and the model of step (a) to maintain a target wafer thickness profile. The model can information about the tool state to improve the model quality. The method can be used to provide feedback to a plurality of platen stations.
Description
FIELD OF THE INVENTION

The present invention generally relates to the planarization of substrates, in particular, semiconductor wafers, and more particularly to a method and apparatus for providing feedback control of the planarization process.


BACKGROUND OF THE INVENTION

Chemical-mechanical polishing (CMP) is used in semiconductor fabrication processes for obtaining full planarization of a semiconductor wafer. The method involves removing material, e.g., a sacrificial layer of surface material, from the wafer (typically, silicon dioxide (SiO2)) using mechanical contact and chemical erosion. Polishing flattens out height differences, since areas of high topography (hills) are removed faster than areas of low topography (valleys).


CMP typically utilizes an abrasive slurry dispersed in an alkaline or acidic solution to planarize the surface of the wafer through a combination of mechanical and chemical action. Generally, a CMP tool includes a polishing device (having an attached wafer to be polished) positioned above a rotatable circular platen on which a polishing pad is mounted. In use, the platen may be rotated and an abrasive slurry is introduced onto the polishing pad. Once the slurry has been applied to the polishing pad, a downward force may be applied to a rotating head to press the attached wafer against the pad. As the wafer is pressed against the polishing pad, the wafer is mechanically and chemically polished.


The effectiveness of a CMP process may be measured by its polishing rate, and by the resulting finish (absence of small-scale roughness) and flatness (absence of large-scale topography) of the substrate surface. The polishing rate, finish and flatness are determined by a variety of factors, including the pad and slurry combination, the relative speed between the substrate and pad and the force pressing the substrate against the polishing pad.


As semiconductor processes are scaled down, the importance of CMP to the fabrication process increases. In particular, it is increasingly important to control and minimize within wafer (WIW) thickness non-uniformity. A variety of factors may contribute to producing variation across the surface of a wafer during polishing. For example, variations in the surface topography may be attributed to drift of the processing conditions in the CMP device. Typically, the CMP device is optimized for a particular process, but because of chemical and mechanical changes to the process, e.g., changes in the polishing pad during polishing, degradation of process consumables, and other factors, the CMP process may drift from its optimized state. In addition to processing drift, the wafer surface coming into the CMP process may be non-uniform, which exacerbates the process-induced variations across the post-polished surface.


Recent attempts to correct processing drift include feedback control, in which information generated during current processing is used to adjust future processing runs. One control variable used in such feedback control of the polishing step includes the arm oscillation length of the polishing tool. Feedback loops have also been developed for optimization of polishing pad conditioning. However, these schemes are still not adequate in today's manufacturing environment to satisfactorily compensate for the aforementioned effects.


The present invention is directed to overcoming, or at least reducing the effects of, one or more of the problems set forth above.


SUMMARY OF THE INVENTION

The present invention relates to a method, apparatus and medium for planarizing a surface of a substrate, for example, a semiconductor wafer, in order to improve run-to-run control over the wafer thickness profile. The present invention uses a model (which can be implemented as a single model or multiple models) of the planarization process to predict material removal across the wafer surface and to improve within wafer thickness uniformity. Deviations from the predicted outcome are used to set new polishing parameters, which feedback into the process to enhance process results.


In one aspect of the present invention, a method of producing a uniform wafer thickness profile in a polishing operation includes (a) providing a model for a wafer polishing that defines a plurality of regions on a wafer and identifies a wafer material removal rate in a polishing step for each of the regions, and (b) polishing a wafer using a polishing recipe that generates a target thickness profile for each region.


In another aspect of the present invention, a method of controlling surface non-uniformity of a wafer in a polishing operation includes (a) providing a model for a wafer polishing that defines a plurality of regions on a wafer and identifies a wafer material removal rate in a polishing step of a polishing process for each of the regions, wherein the polishing process includes a plurality of polishing steps, (b) polishing a wafer using a first polishing recipe based upon an incoming wafer thickness profile, (c) determining a wafer thickness profile for the post-polished wafer of step (b), and (d) calculating an updated polishing recipe based upon the wafer thickness profile of step (c) and the model of step (a) to maintain a target wafer thickness profile.


In at least some embodiments of the present invention, the first polishing recipe is based on the model of step (a) to obtain the target wafer thickness profile, or the first polishing recipe is determined empirically.


In at least some embodiments of the present invention, the plurality of regions in the model of step (a) includes regions extending radially outward from a center point on the wafer. The model may include four or more regions.


In at least some embodiments of the present invention, the polishing of step (b) includes polishing the wafer at a plurality of polishing stations. The polishing step may be carried out at three polishing stations.


In at least some embodiments of the present invention, the polishing recipe is the same at least two polishing stations.


In at least some embodiments of the present invention, the polishing recipe is different at least two polishing stations.


In at least some embodiments of the present invention, calculating the updated polishing recipe of step (c) includes calculating updated polishing recipes for each of the plurality of polishing stations.


In at least some embodiments of the present invention, the updated polishing recipes for each of the plurality of polishing stations accounts for the tool state of the individual polishing stations. The wafer thickness profile for each of the subsequent polishing stations may be provided by the prediction from previous stations.


In at least some embodiments of the present invention, the step of providing a model includes (e) measuring pre-polished wafer thickness in each of a plurality of regions defined on one or more wafers, (f) polishing the one or more wafers, wherein polishing includes polishing the one or more wafers in a plurality of polishing steps, (g) measuring the wafer material removal rate for the one or more wafers at each of the plurality of regions after each of the polishing steps of step (g), (h) providing a model defining the effect of tool state on polishing effectiveness, and (i) recording the pre-polished and post-polished wafer thicknesses for each or the regions on a recordable medium. The model may further include fitting the data to a linear or non-linear curve that establishes a relationship between the material removal rate of a region of the wafer and a polishing parameter of interest.


In at least some embodiments of the present invention, polishing parameter includes polishing time. The polishing parameters may further include a parameter selected from the group consisting of polishing time, polishing pad down forces and velocity, slurry flow and composition, conditioning time, conditioning disk down forces and velocity, oscillating speeds of both the conditioning disk and the wafer carrier.


In at least some embodiments of the present invention, wafer removal for a region j (AR′j) in the model of step (a) is determined according to the equation:

AR′j=(c11j·x1+c12jt1(c21j·x2+c22jt2+(c31j·x3+c32jt3+(c41j·x1+c42jt4+(c51j·x5+c52jt5,


where x1, x2, x3, x4, and x5 are the additional parameter values for polishing steps 1, 2, 3, 4, and 5, respectively; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively, and ca1j provides the contribution to wafer removal of the variable x in polishing step a in region j; and ca2j provides the contribution to wafer removal of polishing time in polishing step a. The wafer material removal rate profile may account for tool state by scaling the profile using the scaling factor:

(1+kp·tp+kd·td+kpd·tp·td),


where the terms tp and td refer to pad and disk life, respectively, with units of hour; and the terms kp, kd and kpd are empirically determined coefficients relating pad and disk life to removal rate.


In at least some embodiments of the present invention, an updated polishing recipe is attained by solving the equation:







min
x



f


(


y
sp

,

g


(
x
)



)






where x is a vector of times and other processing parameters corresponding to the polishing recipe; g(x) is the model for the polishing process, ysp is a vector of the desired average region wafer thicknesses; and f(ysp, g(x)) is a penalty function to penalize the deviation between the model predictions g(x) and the desired thicknesses ysp.


In another aspect of the present invention, a method of determining a model for wafer thickness profile includes (a) measuring pre-polished wafer thickness in each of a plurality of regions defined on one or more wafers, (b) polishing the one or more wafers, wherein polishing includes polishing the one or more wafers in a plurality of polishing steps, (c) measuring the wafer material removal rate for the one or more wafers at each of the plurality of regions after each of the polishing steps of step (b), (d) providing a model defining the effect of tool state on polishing effectiveness, and (e) recording the pre-polished and post-polished wafer thicknesses for each or the regions on a recordable medium. The model may include fitting the data to a linear or non-linear curve that establishes a relationship between the material removal rate of a region of the wafer and a polishing parameter of interest.


In at least some embodiments of the present invention, the polishing parameter includes polishing time. The polishing parameters may include a parameter selected from the group consisting of polishing time, polishing pad down forces and velocity, slurry flow and composition, conditioning time, conditioning disk down forces and velocity, oscillating speeds of both the conditioning disk and the wafer carrier.


In at least some embodiments of the present invention, the wafer material removal for a region j (AR′j) in the model of step (a) is determined according to the equation:

AR′j=(c11j·x1+c12jt1(c21j·x2+c22jt2+(c31j·x3+c32jt3+(c41j·x1+c42jt4+(c51j·x5+c52jt5,


where x1, x2, x3, x4, and x5 are the additional parameter values for polishing steps 1, 2, 3, 4, and 5, respectively; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively, and ca1j provides the contribution to wafer removal of the variable x in polishing step a in region j; and ca2j provides the contribution to wafer removal of polishing time in polishing step a. The wafer material removal rate profile may account for tool state by scaling the profile using the scaling factor:

(1+kp·tp+kd·td+kpd·tp·td),


where the terms tp and td refer to pad and disk life, respectively, with units of hour; and the terms kp, kd and kpd are empirically determined coefficients relating pad and disk life to removal rate.


In at least some embodiments of the present invention, a the model is determined using less than 10 wafers.


In one aspect of the present invention, an apparatus for conditioning polishing pads used to planarize substrates is provided having a carrier assembly having a plurality of arms for holding a wafer positionable over a plurality of planarizing surfaces of a plurality of polishing pads, controlling means capable of controlling an operating parameter of the polishing process, and a controller operatively coupled to the controlling means, the controller operating the controlling means to adjust the operating parameter of the polishing process as a function of a model for a wafer thickness profile, the model including defining a polishing model that defines a plurality of regions on a wafer and identifies a wafer material removal rate in a polishing step of a polishing process for each of the regions, wherein the polishing process includes a plurality of polishing steps.


In at least some embodiments of the present invention, the model defines wafer removal for a region j (AR′j) in the wafer material removal rate model according to the equation:

AR′j=(c11j·x1+c12jt1(c21j·x2+c22jt2+(c31j·x3+c32jt3+(c41j·x1+c42jt4+(c51j·x5+c52jt5,


where x1, x2, x3, x4, and x5 are the additional parameter values for polishing steps 1, 2, 3, 4, and 5, respectively; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively, and ca1j provides the contribution to wafer removal of the variable x in polishing step a in region j; and ca2j provides the contribution to wafer removal of polishing time in polishing step a.


In another aspect of the present invention, a computer readable medium includes instructions being executed by a computer, the instructions including a computer-implemented software application for a chemical mechanical polishing process, and the instructions for implementing the process include (a) receiving data from a chemical mechanical polishing tool relating to the wafer removal rate of at least one wafer processed in the chemical mechanical polishing process, and (b) calculating, from the data of step (a), updated polishing recipe, wherein the updated polishing recipe is calculated by determining the difference between an output of a wafer material removal rate model and the data of step (a).


In at least some embodiments of the present invention, the model for a wafer material removal rate defines a plurality of regions on a wafer and identifies a wafer material removal rate in a polishing step of a polishing process for each of the regions, wherein the polishing process includes a plurality of polishing steps.


In at least some embodiments of the present invention, the wafer removal for a region j (AR′j) in the wafer material removal rate model is determined according to the equation:

AR′j=(c11j·x1+c12jt1(c21j·x2+c22jt2+(c31j·x3+c32jt3+(c41j·x1+c42jt4+(c51j·x5+c52jt5,


where x1, x2, x3, x4, and x5 are the additional parameter values for polishing steps 1, 2, 3, 4, and 5, respectively; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively, and ca1j provides the contribution to wafer removal of the variable x in polishing step a in region j; and ca2j provides the contribution to wafer removal of polishing time in polishing step a.


The term “target wafer thickness profile” represents the desired processing outcome of the CMP process. Some tolerance is built into the profile, so that a feedback control system defines a target profile and acceptable standard deviations therefrom, wherein such deviations would not require updating of the polishing recipe. Use of the term target wafer thickness profile includes the target and the standard deviation therefrom.


The term wafer is used in a general sense to include any substantially planar object that is subject to polishing. Wafers include, in additional to monolith structures, substrates having one or more layers or thin films deposited thereon. Throughout the specification, wafer and thin film may be used interchangeably, unless otherwise indicated.


“Tool state” refers to the condition of the consumable or variable components of the CMP apparatus. Most often this term is used to refer to the state of the conditioning disk and polishing pad, which change continually over the lifetime of the pads, and idle time. Typical conditioning disk life is about 60 hours and typical polishing pad life is about 30 hours.





BRIEF DESCRIPTION OF THE DRAWINGS

Various objects, features, and advantages of the present invention can be more fully appreciated with reference to the following detailed description of the invention when considered in connection with the following drawing, in which like reference numerals identify like elements. The following drawings are for the purpose of illustration only and are not intended to be limiting of the invention, the scope of which is set forth in the claims that follow.



FIG. 1 is a perspective view of a chemical mechanical polishing apparatus.



FIG. 2 is a plot of oxide material removal (Å) across the surface of a substrate for successive polishing steps in a polishing recipe.



FIG. 3 is a flow diagram generally illustrating model development;



FIG. 4 is a schematic illustration of a wafer showing regions defined for thickness profile model.



FIG. 5 is a flow diagram of the feedback loop used in a CMP polishing operation, as contemplated by at least some embodiments of the present invention.



FIG. 6 is a schematic illustration of model development for a CMP process using two platens with different polishing recipes, as contemplated by at least some embodiments of the present invention.



FIG. 7 is a block diagram of a computer system that includes tool representation and access control for use in at least some embodiments of the invention.



FIG. 8 is an illustration of a floppy disk that may store various portions of the software according to at least some embodiments of the invention.





DETAILED DESCRIPTION OF THE INVENTION


FIG. 1 shows a perspective view of a typical CMP apparatus 100 for polishing one or more substrates 110. The CMP apparatus 100 includes a series of polishing stations 101 and a transfer station 102 for loading and unloading substrates. Each polishing station includes a rotatable platen 103 on which is placed a polishing pad 104. A source of polishing fluid 111 may be provided to supply polishing fluid 112 to the polishing pad 104. Each polishing station may include an associated pad conditioning apparatus 105 to maintain the abrasive condition of the polishing pad. A rotatable multi-head carousel 106 is supported by center post 107 about which the carousel rotates. The carousel 106 includes multiple carrier heads 108 that are capable of independently rotating about its own axis. The carrier head 108 receives a substrate from and delivers a substrate to the transfer station 102. The carrier head provides a controllable load, i.e., pressure on the substrate to push is against the polishing pad when the polishing station and the carrier head are engaged. Some carrier heads include a retaining ring 109 to hold the substrate and help to provide the polishing load. To effectuate polishing, the platen 103 may be rotated (typically at a constant speed). Moreover, individually variable down forces may be applied by each of the carrier heads 108, for example by adjusting retaining ring pressures. The carrier heads 108 holding substrates 110 can rotate on axis 113 and oscillate back and forth in slot 114.


One type of CMP process polishes the wafer in a series of polishing steps. By way of example, FIG. 2 shows a CMP profile for eight successive polishing steps 201 through 208 for a single wafer 200 mm in diameter. Each polishing step removes a subset of the total material to be polished from the substrate surface. Moreover, the thickness profile generated by each polishing step may be different, as is seen by comparison of profiles 201 and 208. The final, thin film thickness profile is the sum of the individual polishing step thickness profiles and desirably produces a uniform wafer thickness across the surface.


A CMP process may include the transport of a sample from polishing station (platen) to polishing station (platen). One type of CMP process distributes wafer removal among the various platens, and each platen will have a full set of polishing steps to achieve the desired material removal for that platen. Any combination of removal is possible. Thus, by way of example, where it is desired to remove 6000 Å of material in total, 3000 Å may removed from the polishing station at platen 1, 1000 Å may be removed at platen 2, and 2000 Å may be removed at platen 3. The polishing recipe for each platen may be the same or different.


The CMP processes described above may be modeled to provide a format for improving the planarization process. The model can be represented as raw data that reflects the system, or it can be represented by equations, for example multiple input-multiple output linear, quadratic and non-linear equations, which describe the relationship among the variables of the system. By using a model, the within wafer thickness uniformity can be improved or maintained run-to-run by adjusting the polishing parameters during wafer polishing to correct for unmodeled effects or to correct for drift in the polishing process conditions. By way of example, polishing time, polishing pad down forces and velocity, slurry flow and composition, conditioning time, conditioning disk down forces and velocity, oscillating speeds of both the conditioning disk and the wafer carrier may be adjusted during the polishing operation in a feedback and feedforward loop that predicts and then optimizes the polishing recipe.


According to at least some embodiments of the present invention, an initial model is developed based upon knowledge of the wafer polishing process, as is shown in a flow diagram (FIG. 3). An initial understanding of the system is acquired in step 300, which is used to design and run a design of experiments (DOE) of step 310. The DOE desirably is designed to establish the relationship between or among variables that have a strong and predictable impact on the processing output one wishes to control, e.g., wafer thickness. The DOE provides data relating to process parameters and process outcome, which is then loaded to the advanced process control system in step 320. The advanced processing control system may be a controller or computer that uses the data to create and update the model. Processing requirements such as output targets and process specification are determined by the user in step 325, which are combined with the DOE data to generate a working model in step 330.


An illustrative example of model development is now described. According to at least some embodiments of the present invention, a model structure is defined that models wafer material removal rate (polishing) profiles as independent steps in the CMP process. As described herein above (FIG. 2), the individual steps may be combined to produce a uniform, final wafer thickness. The steps to be used in the model can also be defined as subsets of removal rate profiles; that is, a step may consist of a family of removal rate profiles that have similar characteristics. For each family of removal rate profiles, polishing parameters are identified, which may be varied, and their effect on the outcome is determined. Exemplary polishing variables, which may be included in this model include, but are not limited to, polishing time, polishing pad down forces and velocity, slurry flow and composition, conditioning time, conditioning disk down forces and velocity, and sweep speeds of both the conditioning disk and the wafer carrier.


In at least some embodiments of the present invention, the model relies on removal rate profiles based on regions of the wafer. As is shown in FIG. 4, a wafer may be divided into radial regions 401 through 405 of varying width and area. The number of regions is not set for the model and may be selected based upon the polishing profile. Thus, for example, FIG. 2 designates seven (7) regions across the wafer, while FIG. 4 illustrates five (5). The size and location of the regions also may vary and may be selected based upon the effect of certain polishing parameters on the wafer in that region.


The number, size and location of regions may be selected based upon the complexity of the wafer material removal rate profile. In at least some embodiments, it is desirable that the profile in any given region be substantially uniform, particularly in those cases where a number of wafer thickness measurement within a region are averaged to define the region-averaged thickness profile. Thus, at the edges where edge effects can be dramatic, narrow regions encompassing only the outer regions may be selected. Near the center of the wafer where polishing effects may be more subtle, a larger region may be defined. The regions are defined such that all azimuthal variation is averaged out since the CMP tool can not correct for such variation. Film thickness measurements taken within a region of the wafer are averaged to give the average thickness for that region.


To obtain DOE data, a polishing step is run and, based upon incoming measurements, e.g., pre-polishing and post-polishing wafer thickness measurements, and processing parameter values, a removal rate profile or, equivalently, a wafer thickness profile, can be determined for each region. Conventionally, data may be acquired empirically, by carrying out a series of experiments over a range of parameter values and over the lifetime of the polishing pad and conditioning disk. Such an approach makes no assumptions about the processing characteristics of the polishing operation, and the data is fit to the appropriate curve to define the model. This approach requires a large number of wafers, at least 30 for a 4 step process, and is time consuming (a typical disk life is about 60 hours).


In at least some embodiments of the present invention, a modified approach to obtaining DOE data is used. The approach assumes that the data may be fit to a linear curve and that superposition is valid. Superposition assumes that the same results are attained by performing a first step for a set time, followed by performing a second step for a set time, e.g., separately, but sequentially, as are attained by running the two steps together. In addition, the approach uses an established model to relate pad and disk life to polishing performance. These assumptions significantly reduce the amount of data (and hence number of samples) required to model the system appropriately. In at least some embodiments of the present invention, it is sufficient to run less than 10, and even 6-8 wafer for proper model development. By way of example only, the DOE may include 5-7 polishing steps and the polishing recipe may be carried out on a few wafers, as few as one, or for example 5-8 wafers. More wafers are required for polishing recipes with more polishing steps.


By way of example, a series of experiments may be conducted for a polishing system of interest as described above for determining the relationship between wafer material removal rate profile and polishing time and other parameters of interest. Standard polishing procedures may be used, with all polishing pad and wafer conditions held constant with the exception of the parameter(s) under investigation. Exemplary polishing parameters that may be held constant include polishing pad size, polishing pad composition, wafer composition, pad conditioning time, rotational velocity of the polishing pad, and rotational velocity of the wafer. In at least some embodiments of the present invention, the parameters under investigation include at least the polishing time for each of the polishing steps in the polishing recipe and the polishing down force (P), as defined by retaining ring pressure. As is shown in greater detail in the analysis that follows, additional parameters may be incorporated into the model.


Once data from DOE runs are obtained, a model may be developed. A model having five-polishing steps may be defined as follows:

AR′j=c1j·t1+c2j·t2+c3j·t3+c4j·t4+c5jt5  (1)

    • where AR′j is the amount of material removed for region j of the wafer; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively; and c1j c2j, c3j, c4j; and c5j are removal rates for region j in polishing steps 1, 2, 3, 4, and 5, respectively.


Additional parameters may be included in the model, and the model may be defined as follows:

AR′j=(c11j·x1+c12jt1(c21j·x2+c22jt2+(c31j·x3+c32jt3+(c41j·x1+c42jt4+(c51j·x5+c52jt5,  (2)


where x1, x2, x3, x4, and x5 are the additional parameter values for polishing steps 1, 2, 3, 4, and 5, respectively; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively, and ca1j provides the contribution to wafer removal rate of the variable x in polishing step a in region j; and ca2j provides the contribution to wafer removal rate of polishing time in polishing step a. Thus, the model permits inclusion of an unlimited number of processing parameters.


In at least some embodiments of the present invention, the model may be further augmented to include the effect of the tool state. The tool state represents the effect of wear, use and aging on the tool, and includes the condition of the conditioning disk and polishing pad, represented by disk life and pad life, and also includes idle time. This functionality may be expressed as a scaling factor. An exemplary scaling factor that takes pad life and disk life into account is shown in eq. 3.

ARj=(1+kp·tp+kd·td+kpd·tp·td)·(AR′j|tp=0,td=0)  (3)


The terms tp and td refer to pad and disk life, respectively, with units of hour; and the terms kp, kd and kpd are empirically determined coefficients relating pad and disk life to removal rate, or equivalently, to the amount of material removed. AR′j at tp=0 and td=0 is the amount of material removed under initial polishing conditions.


Using a model such as the one just described, a prediction for region-averaged, final wafer thickness can be calculated given incoming thickness, the pad and disk life, the polishing step times and the value for any other selected parameter for those steps which vary that parameter.


Process model development and optimization are carried out with reference to a specific polishing system. That is, the conditions that effect within wafer uniformity are specific to the type of wafer being polished, the slurry used in polishing and the composition of the polishing pad. Once a wafer/slurry/polishing pad system is identified, the system is characterized using the models developed according to the invention. In at least some embodiments of the present invention, it is envisioned that a separate model (or at least a supplement to a composite model) is created for each slurry/polishing pad wafer combination (i.e., for each different type/brand of slurry and each type/brand of pad that may be used in production with a given type of wafer.


Also, at least some embodiments of the present invention contemplate a wafer polishing model that can accommodate polishing at multiple platens, either in parallel or serially. The CMP process often consists of multiple platens, which are operated simultaneously. Typically, each platen removes a portion of the total amount of material to be removed. The wafers are advanced from platen to platen, and each platen has a separate recipe that determines the polishing step times and other processing parameters, such as retaining ring pressures for each of the steps that are performed on that platen.


A process model that accounts for the effects of multiple platens that perform similar or different polishing steps on wafer thickness profile is illustrated in FIG. 6. In a first phase 600 of the model, the polishing recipe 610 (here, 6 steps) for platen 1 620 is determined (the “first polishing process”). Process input data 630, such as incoming wafer thickness for the defined regions of the pre-polished wafer, disk life and pad life, are input into the model. The wafer is polished and final wafer thicknesses 640 for each of the wafer regions is measured. Post-polished regions thicknesses 640 from the first polishing process are used as input data in a second phase 645 of the model development. A second polishing recipe 650 is carried out on platen 2 660, which can be the same as or different from that carried out on platen 1 620. Pad life and disk life factors 655 relating to the pad and conditioning disk used on platen 2 660 are also included in the model. Final thickness measurements 670 are taken and used in the model development. Thus, the method of the invention can accommodate a model that involves multiple polishing processes on multiple platens having different tool states and is able to provide platen-specific feedback 680 and 690 to platens 1 and 2, respectively. The model is extremely versatile and able to accommodate highly complex polishing scenarios.


According to at least some embodiments of the present invention, an initial model developed as described herein above is used in at least some embodiments of the present invention to control the run-to-run uniformity of the polishing process and to provide a feedback loop for updating the polishing recipe (FIG. 5). Briefly, one or more wafers is processed according to a first polishing recipe. A thickness measurement is taken across the polished wafer to obtain a wafer thickness profile, which is compared to the predicted wafer thickness profile calculated by the model. If the measured wafer thickness profile indicates deviation from the desired results, those deviations are used in an optimization process to update the polishing recipe. The updated recipe is then used in a feedback loop to progressively optimize the polishing recipe so as to improve or maintain within wafer film thickness uniformity.


According to the processing flow diagram in FIG. 5, initial processing conditions, e.g., tool state and wafer state are identified that will provide a desired wafer removal rate profile in step 500. The initial conditions may be determined empirically or by using the processing model of at least one embodiment of the present invention. If a processing model is used, a controller can use this model to calculate step times and processing parameters to polish an incoming profile to a target flat profile with a desired thickness as shown in step 510. Wafers are polished according to the initial polishing recipe in the CMP tool at step 520. The thickness of the polished wafer is measured and deviation from the predicted thickness is determined in step 530. In step 540 it is determined whether the deviation exceeds an established tolerance. If the deviation is within acceptable ranges, no changes are made to the polishing recipe and the controller instructs the tool to reuse the existing recipe in step 550. If the deviation is outside acceptable limits, new target parameters are set in step 560 and are feedback in step 570 into the controller where the polishing recipe is optimized according to an updated model that takes the deviation from the predicted value into consideration. The polishing step may be repeated and further updates of the polishing recipe are possible.


Process control of the CMP process according to at least one embodiment of the present invention permits optimization of the wafer removal rate for series of regions j across the wafer surface. By individually optimizing for the regions j of the wafer, greater control over the total surface is attainable. Thus, greater within wafer uniformity is achieved.


An exemplary optimization method, which can be used in determining an updated model for determining an updated polishing recipe, solves the equation:










min
x



f


(


y
sp

,

g


(
x
)



)






(
4
)







where x is a vector of times and other processing parameters corresponding to the polishing recipe; g(x) is the model for the CMP process as described above in eqs. 1-3; ysp is a vector of the desired average region wafer thicknesses; and f(ysp, g(x)) is some function which is meant to penalize the deviation between the model predictions g(x) and the desired thicknesses ysp.


Thus, the optimization method suggests that the model need not correct for 100% of the deviation from predicted value. A penalty function may be used to reflect uncertainty in the measured or calculated parameters, or to “damp” the effect of changing parameters too quickly or to too great an extent. It is possible, for example, for the model to overcompensate for the measured deviations thereby necessitating another adjustment to react to the overcompensation. This leads to an optimization process that is jumpy and takes several iterations before the optimized conditions are realized.


Based upon this optimization method, the post-polishing wafer thickness is measured and the difference between the predicted thickness and the final thickness is determined. The error in prediction, also known as a bias, is then linearly added into the model such that the predicted final thickness more closely matches the actual final thickness. This bias is added to each region j, which is modeled as is shown in the following equation:

FTj=ITj−ARj+bj  (5)


where FTj is the predicted final thickness of region j; ITj is the incoming thickness of region j; ARj is the predicted amount which is removed from region j given a set of recipe parameters; and bj is the bias term which arises due to the difference between the predicted and actual amount removed from region j. The process of linearly updating a model with bias terms based upon the difference between a model prediction and an actual measurement is part of at least some feedback controls in at least some embodiments of the present invention.


In at least some embodiment of the present invention, a feedback control combines the platens into a single model using the average of the tool states for each of the platens. The single model would use the feedback approach described above to apportion the bias adjustment across the different platens in some predetermined way.


Also, in at least some embodiments of the present invention, a feedback control scheme uses the final thickness measurements to distribute feedback individually to all of the platens. A method for modeling a polishing process for which different platens are separately modeled and factored into the model is shown in FIG. 6. Because each platen can be can be treated individually, the tool state, e.g., the pad life and disk life, and idle time, can be included in the model and feedback can be specific to the platen and polishing recipe. This feedback control scheme is particularly useful when different polishing recipes are being carried out on each platen. The ability to separately model each platen provides a greater of degree processing flexibility, since it allows one to change the processing recipe at one platen (during one stage of the polishing process) while keeping the processing recipe at the remaining platens unchanged.


In at least some embodiments of the present invention, the controller applies feedback individually to each carrier head. Each carrier head performs in a unique manner and it is possible in updating the polishing recipe to separately review the past performance of each wafer carrier and to adjust the updated parameters accordingly.


Feedback and feedforward control algorithms are constructed for use in the above control process based on the above models using various methods. The algorithms may be used to optimize parameters using various methods, such as recursive parameter estimation. Recursive parameter estimation is used in situations such as these, where it is desirable to model on line at the same time as the input-output data is received. Recursive parameter estimation is well suited for making decisions on line, such as adaptive control or adaptive predictions. For more details about the algorithms and theories of identification, see Ljung L., System Identification—Theory for the User, Prentice Hall, Upper Saddle River, N.J. 2nd edition, 1999.


In at least some embodiments of the present invention, the polishing recipe may be updated in discrete increments or steps defined in the algorithms of the developed by the model. Also, in at least some embodiments of the present invention, the updated polishing recipes may be determined by interpolation to the appropriate parameters.


Additional apparatus utilized to implement the feedforward and feedback loop include a film thickness measurement (metrology) tool to provide thickness data needed to calculate wafer material removal rate. The tool may be positioned on the polishing apparatus so as to provide in-line, in situ measurements, or it may be located remote from the polishing apparatus. The tool may use optical, electrical, acoustic or mechanical measurement methods. A suitable thickness measurement device is available from Nanometrics (Milpitas, Calif.) or Nova Measuring Instruments (Phoenix, Ariz.). A computer may be utilized to calculate the optimal pad conditioning recipe based upon the measured film thickness and calculated removal rate, employing the models and algorithm provided according to the invention. A suitable integrated controller and polishing apparatus (Mirra with iAPC or Mirra Mesa with iAPC) is available from Applied Materials, Calif.


Exemplary semiconductor wafers that can be polished using the concepts discussed herein including, but are not limited to those made of silicon, tungsten, aluminum, copper, BPSG, USG, thermal oxide, silicon-related films, and low k dielectrics and mixtures thereof.


The invention may be practiced using any number of different types of conventional CMP polishing pads. There are numerous polishing pads in the art that are generally made of urethane or other polymers. Exemplary polishing pads include Epic™ polishing pads (Cabot Microelectronics Corporation, Aurora Ill.) and Rodel® IC1000, IC1010, IC1400 polishing pads (Rodel Corporation, Newark, Del.), OXP series polishing pads (Sycamore Pad), Thomas West Pad 711, 813, 815, 815-Ultra, 817, 826, 828, 828-E1 (Thomas West).


Furthermore, any number of different types of slurry can be used in conjunction with aspects of the present invention. There are numerous CMP polishing slurries in the art, which are generally made to polish specific types of metals in semiconductor wafers. Exemplary slurries include Semi-Sperse® (available as Semi-Sperse® 12, Semi-Sperse® 25, Semi-Sperse® D7000, Semi-Sperse® D7100, Semi-Sperse® D7300, Semi-Sperse® P1000, Semi-Sperse® W2000, and Semi-Sperse® W2585) (Cabot Microelectronics Corporation, Aurora Ill.), Rodel ILD1300, Klebesol series, Elexsol, MSW1500, MSW2000 series, CUS series and PTS (Rodel).


Various aspects of the present invention that can be controlled by a computer can be (and/or be controlled by) any number of control/computer entities, including the one shown in FIG. 7. Referring to FIG. 7 a bus 756 serves as the main information highway interconnecting the other components of system 711. CPU 758 is the central processing unit of the system, performing calculations and logic operations required to execute the processes of embodiments of the present invention as well as other programs. Read only memory (ROM) 760 and random access memory (RAM) 762 constitute the main memory of the system. Disk controller 764 interfaces one or more disk drives to the system bus 756. These disk drives are, for example, floppy disk drives 770, or CD ROM or DVD (digital video disks) drives 766, or internal or external hard drives 768. These various disk drives and disk controllers are optional devices.


A display interface 772 interfaces display 748 and permits information from the bus 756 to be displayed on display 748. Display 748 can be used in displaying a graphical user interface. Communications with external devices such as the other components of the system described above can occur utilizing, for example, communication port 774. Optical fibers and/or electrical cables and/or conductors and/or optical communication (e.g., infrared, and the like) and/or wireless communication (e.g., radio frequency (RF), and the like) can be used as the transport medium between the external devices and communication port 774. Peripheral interface 754 interfaces the keyboard 750 and mouse 752, permitting input data to be transmitted to bus 756. In addition to these components, system 711 also optionally includes an infrared transmitter and/or infrared receiver. Infrared transmitters are optionally utilized when the computer system is used in conjunction with one or more of the processing components/stations that transmits/receives data via infrared signal transmission. Instead of utilizing an infrared transmitter or infrared receiver, the computer system may also optionally use a low power radio transmitter 780 and/or a low power radio receiver 782. The low power radio transmitter transmits the signal for reception by components of the production process, and receives signals from the components via the low power radio receiver. The low power radio transmitter and/or receiver are standard devices in industry.


Although system 711 in FIG. 7 is illustrated having a single processor, a single hard disk drive and a single local memory, system 711 is optionally suitably equipped with any multitude or combination of processors or storage devices. For example, system 711 may be replaced by, or combined with, any suitable processing system operative in accordance with the principles of embodiments of the present invention, including sophisticated calculators, and hand-held, laptop/notebook, mini, mainframe and super computers, as well as processing system network combinations of the same.



FIG. 8 is an illustration of an exemplary computer readable memory medium 884 utilizable for storing computer readable code or instructions. As one example, medium 884 may be used with disk drives illustrated in FIG. 7. Typically, memory media such as floppy disks, or a CD ROM, or a digital video disk will contain, for example, a multi-byte locale for a single byte language and the program information for controlling the above system to enable the computer to perform the functions described herein. Alternatively, ROM 760 and/or RAM 762 illustrated in FIG. 7 can also be used to store the program information that is used to instruct the central processing unit 758 to perform the operations associated with the instant processes. Other examples of suitable computer readable media for storing information include magnetic, electronic, or optical (including holographic) storage, some combination thereof, etc. In addition, at least some embodiments of the present invention contemplate that the medium can be in the form of a transmission (e.g., digital or propagated signals).


In general, it should be emphasized that various components of embodiments of the present invention can be implemented in hardware, software or a combination thereof. In such embodiments, the various components and steps would be implemented in hardware and/or software to perform the functions of the present invention. Any presently available or future developed computer software language and/or hardware components can be employed in such embodiments of the present invention. For example, at least some of the functionality mentioned above could be implemented using the C, C++, or any assembly language appropriate in view of the processor(s) being used. It could also be written in an interpretive environment such as Java and transported to multiple destinations to various users.


Although various embodiments which incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that incorporate these teachings.

Claims
  • 1. A computer-implemented method for polishing substrates, the method comprising: receiving, by a computing system including a processor, data relating to material removal rates for a plurality of substantially annular regions on a current wafer;predicting, by the computing system, a material removal rate for each of the plurality of substantially annular regions using a wafer polishing model that is based on measurement of one or more previous wafers that have completed at least one step of a polishing process, wherein the wafer polishing model defines an effect of a tool state of the at least one polishing station on polishing effectiveness based on applying a scaling factor that depends on pad life and disk life;calculating, by the computing system, a difference between the predicted material removal rates and actual material removal rates that are determined based on the received data;updating, by the computer system, the wafer polishing model based on the calculated difference; andadjusting, by the computing system, a processing parameter of the polishing process based on the updated wafer polishing model.
  • 2. The computer-implemented method of claim 1, further comprising: controlling, by the computing system, a polishing station during the polishing process.
  • 3. The computer-implemented method of claim 1, wherein the wafer polishing model defines the plurality of substantially annular regions on the wafer and identifies a distinct material removal rate in a polishing step of the polishing process for each of the substantially annular regions, wherein the polishing process comprises a plurality of polishing steps.
  • 4. The computer-implemented method of claim 1, wherein the wafer removal for a region j (AR′j) in the wafer polishing model is determined according to the equation: AR′j=(c11j·x1+c12j)·t1(c21j·x2+c22j)·t2+(c31j·x3+c32j)·t3+(c41j·x1+c42j)·t4+(c51j·x5+c52j)·t5,where x1, x2, x3, x4, and x5 are the additional parameter values for polishing steps 1, 2, 3, 4, and 5, respectively; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively, and ca1j provides the contribution to wafer removal of the variable x in polishing step a in region j; and ca2j provides the contribution to wafer removal of polishing time in polishing step a.
  • 5. The computer-implemented method of claim 1, wherein the scaling factor is: (1+kp·tp+kd·td+kpd·tp·td),where the terms tp and td refer to pad and disk life, respectively; and the terms kp, kd and kpd are empirically determined coefficients relating pad and disk life to removal rate.
  • 6. The computer-implemented method of claim 1, wherein the wafer polishing model defines four or more substantially annular regions.
  • 7. The computer-implemented method of claim 1, further comprising: polishing, by a polishing station, the current wafer during a polishing step of the polishing process.
  • 8. The computer-implemented method of claim 1, wherein the received data includes polishing time.
  • 9. The computer-implemented method of claim 1, wherein the received data includes at least one of polishing time, polishing pad down forces and velocity, slurry flow and composition, conditioning time, conditioning disk down forces and velocity, or oscillating speeds of a conditioning disk and a wafer carrier.
  • 10. The computer-implemented method of claim 1, wherein the polishing process includes instructions for polishing the wafer at each of at least three polishing stations.
  • 11. The computer-implemented method of claim 10, wherein the polishing process includes instructions to process the wafer in the same manner on at least two of the plurality of polishing stations.
  • 12. The computer-implemented method of claim 10, wherein the polishing process includes instructions to process the wafer in a different manner on at least two of the plurality of polishing stations.
  • 13. The computer-implemented method of claim 10, further comprising: for each of the plurality of polishing stations, receiving, by the computer system, distinct data of the wafer processed by the polishing station,predicting, by the computer system, distinct material removal rates using a distinct wafer polishing model associated with the processing station,calculating a difference between the predicted material removal rates and actual material removal rates that are determined based on the distinct received data,updating the distinct wafer polishing model based on the calculated difference, andadjusting a processing parameter of the polishing process associated with the polishing station based on the updated distinct wafer polishing model.
  • 14. The computer-implemented method of claim 13, wherein a separate polishing process is associated with each of the plurality of polishing stations.
  • 15. A non-transitory computer-readable memory medium embodied with executable code that cause a processor to perform operations comprising: receiving data relating to material removal rates for a plurality of substantially annular regions on a current wafer;predicting a material removal rate for each of the plurality of substantially annular regions using a wafer polishing model that is based on measurement of one or more previous wafers that have completed at least one step of a polishing process, wherein the wafer polishing model defines an effect of a tool state of the at least one polishing station on polishing effectiveness based on applying a scaling factor that depends on pad life and disk life;calculating a difference between the predicted material removal rates and actual material removal rates that are determined based on the received data;updating the wafer polishing model based on the calculated difference; andadjusting a processing parameter of the polishing process based on the updated wafer polishing model.
  • 16. The non-transitory computer-readable memory medium of claim 15, wherein the wafer polishing model defines the plurality of substantially annular regions on the wafer and identifies a distinct material removal rate in a polishing step of the polishing process for each of the substantially annular regions, wherein the polishing process comprises a plurality of polishing steps.
  • 17. The non-transitory computer-readable memory medium of claim 15, wherein the wafer removal for a region j (AR′j) in the wafer polishing model is determined according to the equation: AR′j=(c11j·x1+c12j)·t1(c21j·x2+c22j)·t2+(c31j·x3+c32j)·t3+(c41j·x1+c42j)·t4+(c51j·x5+c52j)·t5,where x1, x2, x3, x4, and x5 are the additional parameter values for polishing steps 1, 2, 3, 4, and 5, respectively; t1, t2, t3, t4, and t5 are the polishing times for polishing steps 1, 2, 3, 4, and 5, respectively, and ca1j provides the contribution to wafer removal of the variable x in polishing step a in region j; and ca2j provides the contribution to wafer removal of polishing time in polishing step a.
  • 18. The non-transitory computer-readable memory medium of claim 15, wherein the scaling factor is: (1+kp·tp+kd·td+kpd·tp·td),where the terms tp and td refer to pad and disk life, respectively; and the terms kp, kd and kpd are empirically determined coefficients relating pad and disk life to removal rate.
  • 19. The non-transitory computer-readable memory medium of claim 15, wherein the polishing process includes instructions for polishing the wafer at each of at least three polishing stations.
  • 20. The non-transitory computer-readable memory medium of claim 19, wherein the executable code causes the processor to perform operations further comprising: for each of the plurality of polishing stations, receiving distinct data of the wafer processed by the polishing station,predicting distinct material removal rates using a distinct wafer polishing model associated with the processing station,calculating a difference between the predicted material removal rates and actual material removal rates that are determined based on the distinct received data,updating the distinct wafer polishing model based on the calculated difference, andadjusting an processing parameter of the polishing process associated with the polishing station based on the updated distinct wafer polishing model.
RELATED APPLICATIONS

This present patent application is a continuation of application Ser. No. 11/645,989, filed Dec. 27, 2006, entitled “Feedback Control of a Chemical Mechanical Polishing Device Providing Manipulation of Removal Rate Profiles,” which is a divisional of application Ser. No. 09/943,955, filed Aug. 31, 2001, entitled “Feedback Control of a Chemical Mechanical Polishing Device Providing Manipulation of Removal Rate Profiles”, which claims priority based on U.S. Provisional Patent Application No. 60/298,878, filed Jun. 19, 2001, entitled “Advanced Process Control for Semiconductor Manufacturing Process.”

US Referenced Citations (391)
Number Name Date Kind
3205485 Noltingk Sep 1965 A
3229198 Libby Jan 1966 A
3767900 Chao et al. Oct 1973 A
3920965 Sohrwardy Nov 1975 A
4000458 Miller et al. Dec 1976 A
4207520 Flora et al. Jun 1980 A
4209744 Gerasimov et al. Jun 1980 A
4302721 Urbanek et al. Nov 1981 A
4368510 Anderson Jan 1983 A
4609870 Lale et al. Sep 1986 A
4616308 Morshedi et al. Oct 1986 A
4663703 Axelby et al. May 1987 A
4698766 Entwistle et al. Oct 1987 A
4717596 Barbee et al. Jan 1988 A
4750141 Judell et al. Jun 1988 A
4755753 Chern Jul 1988 A
4757259 Charpentier Jul 1988 A
4796194 Atherton Jan 1989 A
4901218 Cornwell Feb 1990 A
4938600 Into Jul 1990 A
4957605 Hurwitt et al. Sep 1990 A
4967381 Lane et al. Oct 1990 A
4974543 Jansen Dec 1990 A
5089970 Lee et al. Feb 1992 A
5108570 Wang Apr 1992 A
5208765 Turnbull May 1993 A
5220517 Sierk et al. Jun 1993 A
5226118 Baker et al. Jul 1993 A
5231585 Kobayashi et al. Jul 1993 A
5236868 Nulman Aug 1993 A
5240552 Yu et al. Aug 1993 A
5260868 Gupta et al. Nov 1993 A
5270222 Moslehi Dec 1993 A
5283141 Yoon et al. Feb 1994 A
5295242 Mashruwala et al. Mar 1994 A
5309221 Fischer et al. May 1994 A
5329463 Sierk et al. Jul 1994 A
5338630 Yoon et al. Aug 1994 A
5347446 Iino et al. Sep 1994 A
5367624 Cooper Nov 1994 A
5369544 Mastrangelo Nov 1994 A
5375064 Bollinger Dec 1994 A
5398336 Tantry et al. Mar 1995 A
5402367 Sullivan et al. Mar 1995 A
5408405 Mozumder et al. Apr 1995 A
5410473 Kaneko et al. Apr 1995 A
5420796 Weling et al. May 1995 A
5427878 Corliss Jun 1995 A
5444837 Bomans et al. Aug 1995 A
5469361 Moyne Nov 1995 A
5485082 Wisspeintner et al. Jan 1996 A
5490097 Swenson et al. Feb 1996 A
5495417 Fuduka et al. Feb 1996 A
5497316 Sierk et al. Mar 1996 A
5497381 O'Donoghue et al. Mar 1996 A
5503707 Maung et al. Apr 1996 A
5508947 Sierk et al. Apr 1996 A
5511005 Abbe et al. Apr 1996 A
5519605 Cawlfield May 1996 A
5525808 Irie et al. Jun 1996 A
5526293 Mozumder et al. Jun 1996 A
5534289 Bilder et al. Jul 1996 A
5535128 Laube et al. Jul 1996 A
5541510 Danielson Jul 1996 A
5546179 Cheng Aug 1996 A
5546312 Mozumder et al. Aug 1996 A
5553195 Meijer Sep 1996 A
5586039 Hirsch et al. Dec 1996 A
5599423 Parker et al. Feb 1997 A
5602492 Cresswell et al. Feb 1997 A
5603707 Trombetta et al. Feb 1997 A
5617023 Skalski Apr 1997 A
5621241 Jain Apr 1997 A
5627083 Tounai May 1997 A
5629216 Wijaranakula et al. May 1997 A
5642296 Saxena Jun 1997 A
5646870 Krivokapic et al. Jul 1997 A
5649169 Berezin et al. Jul 1997 A
5654903 Reitman et al. Aug 1997 A
5655951 Meikle et al. Aug 1997 A
5657254 Sierk et al. Aug 1997 A
5660895 Lee et al. Aug 1997 A
5661669 Mozumder et al. Aug 1997 A
5663797 Sandhu Sep 1997 A
5664987 Renteln Sep 1997 A
5664990 Adams et al. Sep 1997 A
5665199 Sahota et al. Sep 1997 A
5665214 Iturralde Sep 1997 A
5666297 Britt et al. Sep 1997 A
5667424 Pan Sep 1997 A
5674787 Zhao et al. Oct 1997 A
5694325 Fukuda et al. Dec 1997 A
5695810 Dubin et al. Dec 1997 A
5698989 Nulman Dec 1997 A
5711843 Jahns Jan 1998 A
5719495 Moslehi Feb 1998 A
5719796 Chen Feb 1998 A
5733171 Allen et al. Mar 1998 A
5735055 Hochbein et al. Apr 1998 A
5740429 Wang et al. Apr 1998 A
5751582 Saxena et al. May 1998 A
5754297 Nulman May 1998 A
5761064 La et al. Jun 1998 A
5761065 Kittler et al. Jun 1998 A
5764543 Kennedy Jun 1998 A
5777901 Berezin et al. Jul 1998 A
5787021 Samaha Jul 1998 A
5787269 Hyodo Jul 1998 A
5808303 Schlagheck et al. Sep 1998 A
5812407 Sato et al. Sep 1998 A
5823854 Chen Oct 1998 A
5824599 Schacham-Diamand et al. Oct 1998 A
5825356 Habib et al. Oct 1998 A
5825913 Rostami et al. Oct 1998 A
5828778 Hagi et al. Oct 1998 A
5831851 Eastburn et al. Nov 1998 A
5832224 Fehskens et al. Nov 1998 A
5838595 Sullivan et al. Nov 1998 A
5838951 Song Nov 1998 A
5844554 Geller et al. Dec 1998 A
5857258 Penzes et al. Jan 1999 A
5859777 Yokoyama et al. Jan 1999 A
5859964 Wang et al. Jan 1999 A
5859975 Brewer et al. Jan 1999 A
5862054 Li Jan 1999 A
5863807 Jang et al. Jan 1999 A
5867389 Hamada et al. Feb 1999 A
5870306 Harada Feb 1999 A
5871805 Lemelson Feb 1999 A
5874345 Coronel et al. Feb 1999 A
5883437 Maruyama et al. Mar 1999 A
5888120 Doran Mar 1999 A
5889991 Consolatti et al. Mar 1999 A
5901313 Wolf et al. May 1999 A
5903455 Sharpe, Jr. et al. May 1999 A
5910011 Cruse Jun 1999 A
5910846 Sandhu Jun 1999 A
5912678 Saxena et al. Jun 1999 A
5916016 Bothra Jun 1999 A
5923553 Yi Jul 1999 A
5926690 Toprac et al. Jul 1999 A
5930138 Lin et al. Jul 1999 A
5937323 Orczyk et al. Aug 1999 A
5940300 Ozaki Aug 1999 A
5943237 Van Boxem Aug 1999 A
5943550 Fulford, Jr. et al. Aug 1999 A
5960185 Nguyen Sep 1999 A
5960214 Sharpe, Jr. et al. Sep 1999 A
5961369 Bartels et al. Oct 1999 A
5963881 Kahn et al. Oct 1999 A
5975994 Sandhu et al. Nov 1999 A
5978751 Pence et al. Nov 1999 A
5982920 Tobin, Jr. et al. Nov 1999 A
6002989 Shiba et al. Dec 1999 A
6012048 Gustin et al. Jan 2000 A
6017771 Yang et al. Jan 2000 A
6036349 Gombar Mar 2000 A
6037664 Zhao et al. Mar 2000 A
6041263 Boston et al. Mar 2000 A
6041270 Steffan et al. Mar 2000 A
6054379 Yau et al. Apr 2000 A
6059636 Inaba et al. May 2000 A
6064759 Buckley et al. May 2000 A
6072313 Li et al. Jun 2000 A
6074277 Arai Jun 2000 A
6074443 Venkatesh et al. Jun 2000 A
6074517 Taravade Jun 2000 A
6077412 Ting et al. Jun 2000 A
6078845 Friedman Jun 2000 A
6094688 Mellen-Garnett et al. Jul 2000 A
6096649 Jang Aug 2000 A
6097887 Hardikar et al. Aug 2000 A
6100195 Chan et al. Aug 2000 A
6108092 Sandhu Aug 2000 A
6111634 Pecen et al. Aug 2000 A
6112130 Fukuda et al. Aug 2000 A
6113462 Yang Sep 2000 A
6114238 Liao Sep 2000 A
6123983 Smith, Jr. et al. Sep 2000 A
6127263 Parikh Oct 2000 A
6128016 Coelho et al. Oct 2000 A
6136163 Cheung et al. Oct 2000 A
6141660 Bach et al. Oct 2000 A
6143646 Wetzel Nov 2000 A
6148099 Lee et al. Nov 2000 A
6148239 Funk et al. Nov 2000 A
6148246 Kawazome Nov 2000 A
6150270 Matsuda et al. Nov 2000 A
6157864 Schwenke et al. Dec 2000 A
6159073 Wiswesser et al. Dec 2000 A
6159075 Zhang Dec 2000 A
6159644 Satoh et al. Dec 2000 A
6161054 Rosenthal et al. Dec 2000 A
6169931 Runnels Jan 2001 B1
6172756 Chalmers et al. Jan 2001 B1
6173240 Sepulveda et al. Jan 2001 B1
6175777 Kim Jan 2001 B1
6178390 Jun Jan 2001 B1
6181013 Liu et al. Jan 2001 B1
6183345 Kamono et al. Feb 2001 B1
6185324 Ishihara et al. Feb 2001 B1
6191864 Sandhu Feb 2001 B1
6192291 Kwon Feb 2001 B1
6194231 Ho-Cheng et al. Feb 2001 B1
6197604 Miller et al. Mar 2001 B1
6201208 Wendt et al. Mar 2001 B1
6204165 Ghoshal Mar 2001 B1
6210745 Gaughan et al. Apr 2001 B1
6210983 Atchison et al. Apr 2001 B1
6211094 Jun et al. Apr 2001 B1
6212961 Dvir Apr 2001 B1
6214734 Bothra et al. Apr 2001 B1
6217412 Campbell et al. Apr 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6219711 Chari Apr 2001 B1
6222936 Phan et al. Apr 2001 B1
6226563 Lim May 2001 B1
6226792 Goiffon et al. May 2001 B1
6228280 Li et al. May 2001 B1
6230069 Campbell et al. May 2001 B1
6232236 Shan et al. May 2001 B1
6236903 Kim et al. May 2001 B1
6237050 Kim et al. May 2001 B1
6240330 Kurtzberg et al. May 2001 B1
6240331 Yun May 2001 B1
6245581 Bonser et al. Jun 2001 B1
6246972 Klimasauskas Jun 2001 B1
6248602 Bode et al. Jun 2001 B1
6249712 Boiquaye Jun 2001 B1
6252412 Talbot et al. Jun 2001 B1
6253366 Mutschler, III Jun 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6263255 Tan et al. Jul 2001 B1
6268270 Scheid et al. Jul 2001 B1
6271670 Caffey Aug 2001 B1
6276989 Campbell et al. Aug 2001 B1
6277014 Chen et al. Aug 2001 B1
6278899 Piche et al. Aug 2001 B1
6280289 Wiswesser et al. Aug 2001 B1
6281127 Shue Aug 2001 B1
6284622 Campbell et al. Sep 2001 B1
6287879 Gonzales et al. Sep 2001 B1
6290572 Hofmann Sep 2001 B1
6291367 Kelkar Sep 2001 B1
6292708 Allen et al. Sep 2001 B1
6298274 Inoue Oct 2001 B1
6298470 Breiner et al. Oct 2001 B1
6303395 Nulman Oct 2001 B1
6304999 Toprac et al. Oct 2001 B1
6307628 Lu et al. Oct 2001 B1
6314379 Hu et al. Nov 2001 B1
6317643 Dmochowski Nov 2001 B1
6320655 Matsushita et al. Nov 2001 B1
6324481 Atchison et al. Nov 2001 B1
6334807 Lebel et al. Jan 2002 B1
6336841 Chang Jan 2002 B1
6339727 Ladd Jan 2002 B1
6340602 Johnson et al. Jan 2002 B1
6345288 Reed et al. Feb 2002 B1
6345315 Mishra Feb 2002 B1
6346426 Toprac et al. Feb 2002 B1
6355559 Havemann et al. Mar 2002 B1
6360133 Campbell et al. Mar 2002 B1
6360184 Jacquez Mar 2002 B1
6363294 Coronel et al. Mar 2002 B1
6366934 Cheng et al. Apr 2002 B1
6368879 Toprac Apr 2002 B1
6368883 Bode et al. Apr 2002 B1
6368884 Goodwin et al. Apr 2002 B1
6379980 Toprac Apr 2002 B1
6381564 Davis et al. Apr 2002 B1
6388253 Su May 2002 B1
6389491 Jacobson et al. May 2002 B1
6391780 Shih et al. May 2002 B1
6395152 Wang May 2002 B1
6397114 Eryurek et al. May 2002 B1
6400162 Mallory et al. Jun 2002 B1
6405096 Toprac et al. Jun 2002 B1
6405144 Toprac et al. Jun 2002 B1
6417014 Lam et al. Jul 2002 B1
6427093 Toprac Jul 2002 B1
6432728 Tai et al. Aug 2002 B1
6435952 Boyd et al. Aug 2002 B1
6438438 Takagi et al. Aug 2002 B1
6439964 Prahbu et al. Aug 2002 B1
6440295 Wang Aug 2002 B1
6442496 Pasadyn et al. Aug 2002 B1
6449524 Miller et al. Sep 2002 B1
6455415 Lopatin et al. Sep 2002 B1
6455937 Cunningham Sep 2002 B1
6465263 Coss, Jr. et al. Oct 2002 B1
6469518 Davis et al. Oct 2002 B1
6470230 Toprac et al. Oct 2002 B1
6477432 Chen et al. Nov 2002 B1
6479902 Lopatin et al. Nov 2002 B1
6479990 Mednikov et al. Nov 2002 B2
6482660 Conchieri et al. Nov 2002 B2
6484064 Campbell Nov 2002 B1
6486492 Su Nov 2002 B1
6492281 Song et al. Dec 2002 B1
6494766 Wiswesser et al. Dec 2002 B1
6495452 Shih Dec 2002 B1
6503839 Gonzales et al. Jan 2003 B2
6515368 Lopatin et al. Feb 2003 B1
6517413 Hu et al. Feb 2003 B1
6517414 Tobin et al. Feb 2003 B1
6528409 Lopatin et al. Mar 2003 B1
6529789 Campbell et al. Mar 2003 B1
6532555 Miller et al. Mar 2003 B1
6535783 Miller et al. Mar 2003 B1
6537912 Agarwal Mar 2003 B1
6540591 Pasadyn et al. Apr 2003 B1
6541401 Herner et al. Apr 2003 B1
6546508 Sonderman et al. Apr 2003 B1
6556881 Miller Apr 2003 B1
6560504 Goodwin et al. May 2003 B1
6563308 Nagano et al. May 2003 B2
6567717 Krivokapic et al. May 2003 B2
6577914 Bode Jun 2003 B1
6580958 Takano Jun 2003 B1
6582277 Korovin Jun 2003 B2
6587744 Stoddard et al. Jul 2003 B1
6590179 Tanaka et al. Jul 2003 B2
6604012 Cho et al. Aug 2003 B1
6605549 Leu et al. Aug 2003 B2
6607976 Chen et al. Aug 2003 B2
6609946 Tran Aug 2003 B1
6616513 Osterheld Sep 2003 B1
6618692 Takahashi et al. Sep 2003 B2
6624075 Lopatin et al. Sep 2003 B1
6625497 Fairbairn et al. Sep 2003 B2
6630741 Lopatin et al. Oct 2003 B1
6640151 Somekh et al. Oct 2003 B1
6652355 Wiswesser et al. Nov 2003 B2
6660633 Lopatin et al. Dec 2003 B1
6669782 Thakur Dec 2003 B1
6678570 Pasadyn et al. Jan 2004 B1
6708074 Chi et al. Mar 2004 B1
6708075 Sonderman et al. Mar 2004 B2
6725402 Coss, Jr. et al. Apr 2004 B1
6728587 Goldman et al. Apr 2004 B2
6735492 Conrad et al. May 2004 B2
6751518 Sonderman et al. Jun 2004 B1
6774998 Wright et al. Aug 2004 B1
6776692 Zuniga et al. Aug 2004 B1
6913938 Shanmugasundram et al. Jul 2005 B2
6988017 Pasadyn et al. Jan 2006 B2
7018275 Zuniga et al. Mar 2006 B2
7082345 Shanmugasundram et al. Jul 2006 B2
7101799 Paik Sep 2006 B2
7160739 Shanmugasundram et al. Jan 2007 B2
7174230 Arackaparambil et al. Feb 2007 B2
7201936 Schwarm et al. Apr 2007 B2
7225047 Al-Bayati et al. May 2007 B2
20010001755 Sandhu et al. May 2001 A1
20010003084 Finarov Jun 2001 A1
20010006873 Moore Jul 2001 A1
20010030366 Nakano et al. Oct 2001 A1
20010039462 Mendez et al. Nov 2001 A1
20010040997 Tsap et al. Nov 2001 A1
20010042690 Talieh Nov 2001 A1
20010044667 Nakano et al. Nov 2001 A1
20020032499 Wilson et al. Mar 2002 A1
20020058460 Lee et al. May 2002 A1
20020070126 Sato et al. Jun 2002 A1
20020077031 Johansson et al. Jun 2002 A1
20020081951 Boyd et al. Jun 2002 A1
20020089676 Pecen et al. Jul 2002 A1
20020102853 Li et al. Aug 2002 A1
20020107599 Patel et al. Aug 2002 A1
20020107604 Riley et al. Aug 2002 A1
20020113039 Mok et al. Aug 2002 A1
20020127950 Hirose et al. Sep 2002 A1
20020128805 Goldman et al. Sep 2002 A1
20020149359 Crouzen et al. Oct 2002 A1
20020165636 Hasan Nov 2002 A1
20020183986 Stewart et al. Dec 2002 A1
20020185658 Inoue et al. Dec 2002 A1
20020192966 Shanmugasundram et al. Dec 2002 A1
20020193899 Shanmugasundram et al. Dec 2002 A1
20020193902 Shanmugasundram et al. Dec 2002 A1
20020197745 Shanmugasundram et al. Dec 2002 A1
20020197934 Paik Dec 2002 A1
20020199082 Shanmugasundram et al. Dec 2002 A1
20030017256 Shimane Jan 2003 A1
20030020909 Adams et al. Jan 2003 A1
20030020928 Ritzdorf et al. Jan 2003 A1
20030154062 Daft et al. Aug 2003 A1
20050221514 Pasadyn et al. Oct 2005 A1
20070102116 Shanmugasundram et al. May 2007 A1
20070169694 Schwarm et al. Jul 2007 A1
Foreign Referenced Citations (92)
Number Date Country
2050247 Aug 1991 CA
2165847 Aug 1991 CA
2194855 Aug 1991 CA
0 397 924 Nov 1990 EP
0 621 522 Oct 1994 EP
0 747 795 Dec 1996 EP
0 869 652 Oct 1998 EP
0877308 Nov 1998 EP
0 881 040 Dec 1998 EP
0 895 145 Feb 1999 EP
0 910 123 Apr 1999 EP
0 932 194 Jul 1999 EP
0 932 195 Jul 1999 EP
1 066 925 Jan 2001 EP
1 067 757 Jan 2001 EP
1 071 128 Jan 2001 EP
1 083 470 Mar 2001 EP
1 092 505 Apr 2001 EP
1072967 Nov 2001 EP
1 182 526 Feb 2002 EP
2 347 885 Sep 2000 GB
2 365 215 Feb 2002 GB
61-66104 Apr 1986 JP
61-171147 Aug 1986 JP
01-283934 Nov 1989 JP
3-202710 Sep 1991 JP
05-151231 Jun 1993 JP
05-5136098 Jul 1993 JP
05-5190457 Jul 1993 JP
05-216896 Aug 1993 JP
05-266029 Oct 1993 JP
06-110894 Apr 1994 JP
06-176994 Jun 1994 JP
6-184434 Jul 1994 JP
06-252236 Sep 1994 JP
06-260380 Sep 1994 JP
8-23166 Jan 1996 JP
8-50161 Feb 1996 JP
08-149583 Jun 1996 JP
8-304023 Nov 1996 JP
09-34535 Feb 1997 JP
9-246547 Sep 1997 JP
09-269294 Oct 1997 JP
10-34522 Feb 1998 JP
10106984 Apr 1998 JP
10-173029 Jun 1998 JP
11-67853 Mar 1999 JP
11-126765 May 1999 JP
11-126816 May 1999 JP
11-135601 May 1999 JP
11-165256 Jun 1999 JP
2000-117623 Apr 2000 JP
2000-183001 Jun 2000 JP
2001-009699 Jan 2001 JP
2001-60572 Mar 2001 JP
2001-76982 Mar 2001 JP
2001-160544 Jun 2001 JP
2001-284299 Oct 2001 JP
2001-305108 Oct 2001 JP
2002-9030 Jan 2002 JP
2002-343754 Nov 2002 JP
434103 May 2001 TW
436383 May 2001 TW
455938 Sep 2001 TW
455976 Sep 2001 TW
WO 9534866 Dec 1995 WO
WO 9805066 Feb 1998 WO
WO 9845090 Oct 1998 WO
WO 9909371 Feb 1999 WO
WO 9925520 May 1999 WO
WO 9959200 Nov 1999 WO
WO 0000873 Jan 2000 WO
WO 0000874 Jan 2000 WO
WO 0005759 Feb 2000 WO
WO 0035063 Jun 2000 WO
WO 0054325 Sep 2000 WO
WO 0079355 Dec 2000 WO
WO 0111679 Feb 2001 WO
WO 0115865 Mar 2001 WO
WO 0118623 Mar 2001 WO
WO 0125865 Apr 2001 WO
WO 0133277 May 2001 WO
WO 0133501 May 2001 WO
WO 0152055 Jul 2001 WO
WO 0152319 Jul 2001 WO
WO 0157823 Aug 2001 WO
WO 0180306 Oct 2001 WO
WO 0217150 Feb 2002 WO
WO 0231613 Apr 2002 WO
WO 0233737 Apr 2002 WO
WO 02074491 Sep 2002 WO
WO 02103778 Dec 2002 WO
Non-Patent Literature Citations (289)
Entry
Notice of Allowance for U.S. Appl. No. 10/135,451, mailed Nov. 16, 2009.
Notice of Allowance for U.S. Appl. No. 10/174,377, mailed Nov. 7, 2006.
Dishon, G., D. Eylon, M. Finarov, and A. Shulman. “Dielectric CMP Advances Process Control Based on Integrated Monitoring.” Ltd. Rehoveth, Israel: Nova Measuring Instruments.
Runyan, W. R., and K. E. Bean. 1990. “Semiconductor Integrated Circuit Processing Technology.” p. 48. Reading, Massachusetts: Addison-Wesley Publishing Company.
Zorich, Robert. 1991. Handbook of Quality Integrated Circuit Manufacturing. pp. 464-498 San Diego, California: Academic Press, Inc.
Rampalli, Prasad, Arakere Ramesh, and Nimish Shah. 1991. CEPT—A Computer-Aided Manufacturing Application for Managing Equipment Reliability and Availability in the Semiconductor Industry. New York, New York: IEEE.
Moyne, James R., Nauman Chaudhry, and Roland Telfeyan. 1995. “Adaptive Extensions to a Multi-Branch Run-to-Run Controller for Plasma Etching.” Journal of Vacuum Science and Technology, Ann Arbor, Michigan: University of Michigan Display Technology Manufacturing Center.
Moyne, James, Roland Telfeyan, Arnon Hurwitz, and John Taylor. Aug. 1995. “A Process-Independent Run-to-Run Controller and Its Application to Chemical-Mechanical Planarization.” SEMI/IEEE Advanced Semiconductor Manufacturing Conference and Workshop. Ann Arbor, Michigan: The University of Michigan, Electrical Engineering & Computer Science Center for Display Technology & Manufacturing.
U.S. Appl. No. 09/363,966, filed Jul. 29, 1999, Arackaparambil et al., Computer Integrated Manufacturing Techniques.
U.S. Appl. No. 09/469,227, filed Dec. 22, 1999, Somekh et al., Multi-Tool Control System, Method and Medium.
U.S. Appl. No. 09/619,044, filed Jul. 19, 2000, Yuan, System and Method of Exporting or Importing Object Data in a Manufacturing Execution System.
U.S. Appl. No. 09/637,620, filed Aug. 11, 2000, Chi et al., Generic Interface Builder.
U.S. Appl. No. 09/656,031, filed Sep. 6, 2000, Chi et al., Dispatching Component for Associating Manufacturing Facility Service Requestors with Service Providers.
Zhou, Zhen-Hong and Rafael Reif. Aug. 1995. “Epi-Film Thickness Measurements Using Emission Fourier Transform Infrared Spectroscopy—Part II: Real-Time in Situ Process Monitoring and Control” IEEE Transactions on Semiconductor Manufacturing, vol. 8, No. 3.
Telfeyan, Roland, James Moyne, Nauman Chaudhry, James Pugmire, Scott Shellman, Duane Boning, William Moyne, Amon Hurwitz, and John Taylor. Oct. 1995. “A Multi-Level Approach to the Control of a Chemical-Mechanical Planarization Process.” Minneapolis, Minnesota: 42.sup.nd National Symposium of the American Vacuum Society.
Chang, E., B. Stine, T. Maung, R. Divecha, D. Boning, J. Chung, K. Chang, G. Ray, D. Bradbury, O. S. Nakagawa, S. Oh, and D. Bartelink. Dec. 1995. “Using a Statistical Metrology Framework to Identify Systematic and Random Sources of Die- and Wafer-level ILD Thickness Variation in CMP Processes.” Washington, D.C.: International Electron Devices Meeting.
U.S. Appl. No. 09/655,542, filed Sep. 6, 2000, Yuan, System, Method and Medium for Defining Palettes to Transform an Application Program Interface for a Service.
U.S. Appl. No. 09/725,908, filed Nov. 30, 2000, Chi et al., Dynamic Subject Information Generation in Message Services of Distributed Object Systems.
U.S. Appl. No. 09/800,980, filed Mar. 8, 2001, Hawkins et al., Dynamic and Extensible Task Guide.
U.S. Appl. No. 09/811,667, filed Mar. 20, 2001, Yuan et al., Fault Tolerant and Automated Computer Software Workflow.
U.S. Appl. No. 09/927,444, filed Aug. 13, 2001, Ward et al., Dynamic Control of Wafer Processing Paths in Semiconductor Manufacturing Processes.
U.S. Appl. No. 09/928,473, filed Aug. 14, 2001, Koh, Tool Services Layer for Providing Tool Service Functions in Conjunction with Tool Functions.
Dishon, G., M. Finarov, R. Kipper, J.W. Curry, T. Schraub, D. Trojan, 4.sup.th Stambaugh, Y. Li and J. Ben-Jacob. Feb. 1996. “On-Line Integrated Metrology for CMP Processing.” Santa Clara, California: VMIC Speciality Conferences, 1.sup.st International CMP Planarization Conference.
Smith, Taber, Duane Boning, James Moyne, Arnon Hurwitz, and John Curry. Jun. 1996. “Compensating for CMP Pad Wear Using Run by Run Feedback Control.” Santa Clara, California: VLSI Multilevel Interconnect Conference.
Boning, Duane, William Moyne, Taber Smith, James Moyne, Roland Telfeyan, Arnon Hurwitz, Scott Shellman, and John Taylor. Oct. 1996. “Run by Run Control of Chemical-Mechanical Polishing.” IEEE Trans. CPMT (C), vol. 19, No. 4, pp. 307-314.
SEMI. [1986] 1996. “Standard for Definition and Measurement of Equipment Reliability, Availability, and Maintainability (RAM).” SEMI E10-96.
U.S. Appl. No. 09/928,474, filed Aug. 14, 2001, Krishnamurthy et al., Experiment Management System, Method and Medium.
Van Zant, Peter. 1997. Microchip Fabrication: A Practical Guide to Semiconductor Processing. Third Edition, pp. 472-478. New York, New York: McGraw-Hill.
Campbell, W. Jarrett, and Anthony J. Toprac. Feb. 11-12, 1998. “Run-to-Run Control in Microelectronics Manufacturing.” Advanced Micro Devises, TWMCC.
Edgar, Thomas F., Stephanie W. Butler, Jarrett Campbell, Carlos Pfeiffer, Chris Bode, Sung Bo Hwang, and K.S. Balakrishnan. May 1998. “Automantic Control in Microelectronics Manufacturing: Practices, Challenges, and Possibilities.” Automatica, vol. 36, pp. 1567-1603, 2000.
Moyne, James, and John Curry. Jun. 1998. “A Fully Automated Chemical-Mechanical Planarization Process.” Santa Clara, California: VLSI Multilevel Interconnection (V-MIC) Conference.
SEMI. Jul. 1998. New Standard: Provisional Specification for CIM Framework Domain Architecture. Mountain View, California: SEMI Standards. SEMI Draft Doc. 2817.
Consilium. Aug. 1998. Quality Management Component: QMC.TM. and QMC-Link.TM. Overview. Mountain View, California: Consilium, Inc.
Chemali, Chadi El, James Moyne, Kareemullah Khan, Rock Nadeau, Paul Smith, John Colt, Jonathan Chapple-Sokol, and Tarun Parikh. Nov. 1998. “Multizone Uniformity Control of a CMP Process Utilizing a Pre and Post-Measurement Strategy.” Seattle, Washington: SEMETECH Symposium.
Consilium. 1998. FAB300.TM.. Mountain View, California: Consilium, Inc.
Khan, Kareemullah, Victor Solakhain, Anthony Ricci, Tier Gu, and James Moyne. 1998. “Run-to-Run Control of ITO Deposition Process.” Ann Arbor, Michigan.
Consilium. Jan. 1999. “FAB300.TM.: Consilium's Next Generation MES Solution of Software and Services which Control and Automate Real-Time FAB Operations.” www.consilium.com/products/fab300.sub.—page.htm#FAB300 Introduction.
Consilium. Jul. 1999. “Increasing Overall Equipment Effectiveness (OEE) in Fab Manufacturing by Implementing Consilium's Next-Generation Manufacturing Execution System—MES II.” Semiconductor Fabtech Edition 10.
Consilium Corporate Brochure. Oct. 1999. www.consilium.com.
Moyne, James. Oct. 1999. “Advancements in CMP Process Automation and Control.” Hawaii: (Invited paper and presentation to) Third International Symposium on Chemical Mechanical Polishing in IC Device Manufacturing: 196.sup.th Meeting of the Electrochemical Society.
Consilium. Nov. 1999. FAB300.TM. Update.
SEMI. 2000. “Provisional Specification for CIM Framework Scheduling Component.” San Jose, California. SEMI E105-1000.
Lee, Brian, Duane S. Boning, Winthrop Baylies, Noel Poduje, Pat Hester, Yong Xia, John Valley, Chris Koliopoulus, Dale Hetherington, HongJiang Sun, and Michael Lacy. Apr. 2001. “Wafer Nanotopography Effects on CMP: Experimental Validation of Modeling Methods.” San Francisco, California: Materials Research Society Spring Meeting.
NovaScan 2020. Feb. 2002. “Superior Integrated Process Control for Emerging CMP High-End Applications.”.
Feb. 1984. “Method and Apparatus of in Situ Measurement and Overlay Error Analysis for Correcting Step and Repeat Lithographic Cameras.” IBM Technical Disclosure Bulletin, pp. 4855-4859.
Oct. 1984. “Method to Characterize the Stability of a Step and Repeat Lithographic System.” IBM Technical Disclosure Bulletin, pp. 2857-2860.
Schmid, Hans Albrecht. 1995. “Creating the Architecture of a Manufacturing Framework by Design Patterns.” Austin, Texas: OOPSLA.
Baliga, John. Jul. 1999. “Advanced Process Control: Soon to be a Must.” Cahners Semiconductor International. www.semiconductor.net/semiconductor/issues/issues/1999/ju199/docs/feature-1.asp.
Jul. 5, 2001. “Motorola and Advanced Micro Devices Buy ObjectSpace Catalyst Advanced Process Control Product for Five Wafer Fabs.” Semiconductor FABTECH. www.semiconductorfabtech.com/industry.news/9907/20.07.shtml.
Oct. 15, 2001. Search Report prepared by the Austrian Patent Office for Singapore Patent Application No. 200004286-1.
Johnson, Bob. Jun. 10, 2002. “Advanced Process Control Key to Moore's Law.” Gartner, Inc.
Jul. 9, 2002. International Search Report prepared by the European Patent Office for PCT/US01/24910.
Jul. 29, 2002. International Search Report prepared by the European Patent Office for PCT/US01/27407.
Sonderman, Thomas. 2002. “APC as a Competitive Manufacturing Technology: AMD's Vision for 300mm.” AEC/APC.
Jul. 23, 2002. Communication Pursuant to Article 96(2) EPC for European Patent Application No. 00 115 577.9.
Oct. 15, 2002. International Search Report prepared by the European Patent Office for PCT/US02/19062.
Hu, Albert, Kevin Nguyen, Steve Wong, Xiuhua Zhang, Emanuel Sachs, and Peter Renteln. 1993. “Concurrent Deployment of Run by Run Controller Using SCC Framework.” IEEE/SEMI International Semiconductor Manufacturing Science Symposium. pp. 126-132.
Hu, Albert, He Du, Steve Wong, Peter Renteln, and Emanuel Sachs. 1994. “Application of Run by Run Controller to the Chemical-Mechanical Planarization Process.” IEEE/CPMT International Electronics Manufacturing Technology Symposium. pp. 371-378.
Smith, Taber, Duane Boning, James Moyne, Arnon Hurwitz, and John Curry. Jun. 1996. “Compensating for CMP Pad Wear Using Run by Run Feedback Control.” Proceedings of the Thirteenth International VLSI Multilevel Interconnection Conference. pp. 437-439.
Suzuki, Junichi and Yoshikazu Yamamoto. 1998. “Toward the Interoperable Software Design Models: Quartet of UML, XML, DOM and CORBA.” Proceedings IEEE International Software Engineering Standards Symposium. pp. 1-10.
Klein, Bruce. Jun. 1999. “Application Development: XML Makes Object Models More Useful.” Informationweek. pp. 1A-6A.
Chemali, Chadi El, James Moyne, Kareemullah Khan, Rock Nadeau, Paul Smith, John Colt, Jonathan Chapple-Sokol, and Tarun Parikh. Jul./Aug. 2000. “Multizone Uniformity Control of a Chemical Mechanical Polishing Process Utilizing a Pre- and Postmeasurement Strategy.” J. Vac. Sci. Technol. A, vol. 18(4). pp. 1287-1296. American Vacuum Society.
Jensen, Alan, Peter Renteln, Stephen Jew, Chris Raeder, and Patrick Cheung. Jun. 2001. “Empirical-Based Modeling for Control of CMP Removal Uniformity.” Solid State Technology, vol. 44, No. 6, pp. 101-102, 104, 106. Cowan Publ. Corp.: Washington, D.C.
Sarfaty, Moshe, Arulkumar Shanmugasundram, Alexander Schwarm, Joseph Paik, Jimin Zhang, Rong Pan, Martin J. Seamons, Howard Li, Raymond Hung, and Suketu Parikh. Apr./May 2002. “Advance Process Control Solutions for Semiconductor Manufacturing.” IEEE/Semi Advanced Semiconductor Manufacturing Conference. pp. 101-106.
Oct. 4, 2002. International Search Report from PCT/US01/22833.
Oct. 23, 2002. International Search Report from PCT/US01/27406.
Nov. 7, 2002. International Search Report from PCT/US02/19061.
Nov. 11, 2002. International Search Report from PCT/US02/19117.
Nov. 12, 2002. International Search Report from PCT/US02/19063.
Ostanin, Yu.Ya. Oct. 1981. “Optimization of Thickness Inspection of Electrically Conductive Single-Layer Coatings with Laid-on Eddy-Current Transducers (Abstract).” Defektoskopiya, vol. 17, No. 10, pp. 45-52. Moscow, USSR.
Feb. 1984. “Substrate Screening Process.” IBM Technical Disclosure Bulletin, pp. 4824-4825.
Herrmann, D. 1988. “Temperature Errors and Ways of Elimination for Contactless Measurement of Shaft Vibrations (Abstract).” Technisches Messen.TM., vol. 55, No. 1, pp. 27-30. West Germany.
Lin, Kuang-Kuo and Costas J. Spanos. Nov. 1990. “Statistical Equipment Modeling for VLSI Manufacturing: An Application for LPCVD.” IEEE Transactions on Semiconductor Manufacturing, v. 3, n. 4, pp. 216-229.
Chang, Norman H. and Costas J. Spanos. Feb. 1991. “Continuous Equipment Diagnosis Using Evidence Integration: An LPCVD Application.” IEEE Transactions on Semiconductor Manufacturing, v. 4, n. 1, pp. 43-51.
Larrabee, G. B. May 1991. “The Intelligent Microelectronics Factory of the Future (Abstract).” IEEE/SEMI International Semiconductor Manufacturing Science Symposium, pp. 30-34. Burlingame, CA.
Burke, Peter A. Jun. 1991. “Semi-Empirical Modelling of SiO2 Chemical-Mechanical Polishing Planarization.” VMIC Conference, 1991 IEEE, pp. 379-384. IEEE.
May 1992. “Laser Ablation Endpoint Detector.” IBM Technical Disclosure Bulletin, pp. 333-334.
Spanos, Costas J., Hai-Fang Guo, Alan Miller, and Joanne Levine-Parrill. Nov. 1992. “Real-Time Statistical Process Control Using Tool Data.” IEEE Transactions on Semiconductor Manufacturing, v. 5, n. 4, pp. 308-318.
Feb. 1993. “Electroless Plating Scheme to Hermetically Seal Copper Features.” IBM Technical Disclosure Bulletin, pp. 405-406.
Scarr, J. M. and J. K. Zelisse. Apr. 1993. “New Topology for Thickness Monitoring Eddy Current Sensors (Abstract).” Proceedings of the 36.sup.th Annual Technical Conference, Dallas, Texas.
Matsuyama, Akira and Jessi Niou. 1993. “A State-of-the-Art Automation System of an ASIC Wafer Fab in Japan.” IEEE/SEMI International Semiconductor Manufacturing Science Syposium, pp. 42-47.
Yeh, C. Eugene, John C. Cheng, and Kwan Wong. 1993. “Implementation Challenges of a Feedback Control System for Wafer Fabrication.” IEEE/CHMT International Electronics Manufacturing Technology Symposium, pp. 438-442.
Kurtzberg, Jerome M. and Menachem Levanoni. Jan. 1994. “ABC: A Better Control for Manufacturing.” IBM Journal of Research and Development, v. 38, n. 1, pp. 11-30.
Mozumder, Purnendu K. and Gabriel G. Barna. Feb. 1994. “Statistical Feedback Control of a Plasma Etch Process.” IEEE Transactions on Semiconductor Manufacturing, v. 7, n. 1, pp. 1-11.
Muller-Heinzerling, Thomas, Ulrich Neu, Hans Georg Nurnberg, and Wolfgang May. Mar. 1994. “Recipe-Controlled Operation of Batch Processes with Batch X.” ATP Automatisierungstechnische Praxis, vol. 36, No. 3, pp. 43-51.
Stoddard, K., P. Crouch, M. Kozicki, and K. Tsakalis. Jun.-Jul. 1994. “Application of Feedforward and Adaptive Feedback Control to Semiconductor Device Manufacturing (Abstract).” Proceedings of 1994 American Control Conference—ACC '94, vol. 1, pp. 892-896. Baltimore, Maryland.
Schaper, C. D., M. M. Moslehi, K. C. Saraswat, and T. Kailath. Nov. 1994. “Modeling, Identification, and Control of Rapid Thermal Processing Systems (Abstract).” Journal of the Electrochemical Society, vol. 141, No. 11, pp. 3200-3209.
Tao, K. M., R. L. Kosut, M. Ekblad, and G. Aral. Dec. 1994. “Feedforward Learning Applied to RTP of Semiconductor Wafers (Abstract).” Proceedings of the 33.sup.rd IEEE Conference on Decision and Control, vol. 1, pp. 67-72. Lake Buena Vista, Florida.
Hu, Albert, He Du, Steve Wong, Peter Renteln, and Emmanuel Sachs. 1994. “Application of Run by Run Controller to the Chemical-Mechanical Planarization Process.” IEEE/CPMT International Electronics Manufacturing Technology Symposium, pp. 371-378.
Spanos, C. J., S. Leang, S.-Y. Ma, J. Thomson, B. Bombay, and X. Niu. May 1995. “A Multistep Supervisory Controller for Photolithographic Operations (Abstract).” Proceedings of the Symposium on Process Control, Diagnostics, and Modeling in Semiconductor Manufacturing, pp. 3-17.
Leang, Sovarong, Shang-Yi Ma, John Thomson, Batt John Bombay, and Costas J. Spanos. May 1996. “A Control System for Photolithographic Sequences.” IEEE Transactions on Semiconductor Manufacturing, vol. 9, No. 2.
Boning, Duane S., William P. Moyne, Taber H. Smith, James Moyne, Ronald Telfeyan, Anion Hurwitz, Scott Shellman, and John Taylor. Oct. 1996. “Run by Run Control of Chemical-Mechanical Polishing.” IEEE Transactions on Components, Packaging, and Manufacturing Technology—Part C, vol. 19, No. 4, pp. 307-314.
Zhe, Ning, J. R. Moyne, T. Smith, D. Boning, E. Del Castillo, Yeh Jinn-Yi, and Hurwitz. Nov. 1996. “A Comparative Analysis of Run-to-Run Control Algorithms in Semiconductor Manufacturing Industry (Abstract).” IEEE/SEMI 1996 Advanced Semiconductor Manufacturing Conference Workshop, pp. 375-381.
Yasuda, M., T. Osaka, and M. Ikeda. Dec. 1996. “Feedforward Control of a Vibration Isolation System for Disturbance Suppression (Abstract).” Proceeding of the 35.sup.th IEEE Conference on Decision and Control, vol. 2, pp. 1229-1233. Kobe, Japan.
Fan, Jr-Min, Ruey-Shan Guo, Shi-Chung Chang, and Kian-Huei Lee. 1996. “Abnormal Tred Detection of Sequence-Disordered Data Using EWMA Method.” IEEE/SEMI Advanced Semiconductor Manufacturing Conference, pp. 169-174.
Smith, Taber and Duane Boning. 1996. “A Self-Tuning EWMA Controller Utilizing Artificial Neural Network Function Approximation Techniques.” IEEE/CPMT International Electronics Manufacturing Technology Symposium, pp. 355-363.
Guo, Ruey-Shan, Li-Shia Huang, Argon Chen, and Jin-Jung Chen. Oct. 1997. “A Cost-Effective Methodology for a Run-by-Run EWMA Controller.” 6.sup.th International Symposium on Semiconductor Manufacturing, pp. 61-64.
Mullins, J. A., W. J. Campbell, and A. D. Stock. Oct. 1997. “An Evaluation of Model Predictive Control in Run-to-Run Processing in Semiconductor Manufacturing (Abstract).” Proceedings of the SPIE—The International Society for Optical Engineering Conference, vol. 3213, pp. 182-189.
Reitman, E. A., D. J. Friedman, and E. R. Lory. Nov. 1997. “Pre-Production Results Demonstrating Multiple-System Models for Yield Analysis (Abstract).” IEEE Transactions on Semiconductor Manufacturing, vol. 10, No. 4, pp. 469-481.
Durham, Jim and Myriam Roussel. 1997. “A Statistical Method for Correlating In-Line Defectivity to Probe Yield.” IEEE/SEMI Advanced Semiconductor Manufacturing Conference, pp. 76-77.
Shindo, Wataru, Eric H. Wang, Ram Akella, and Andrzej J. Strojwas. 1997. “Excursion Detection and Source Isolation in Defect Inspection and Classification.” 2.sup.nd International Workshop on Statistical Metrology, pp. 90-93.
Jul. 1998. “Active Controller: Utilizing Active Databases for Implementing Multistep Control of Semiconductor Manufacturing (Abstract).” IEEE Transactions on Components, Packaging and Manufacturing Technology—Part C, vol. 21, No. 3, pp. 217-224.
Fang, S. J., A. Bards, T. Janecko, W. Little, D. Outley, G. Hempel, S. Joshi, B. Morrison, G. B. Shinn, and M. Birang. 1998. “Control of Dielectric Chemical Mechanical Polishing (CMP) Using and Interferometry Based Endpoint Sensor.” International Proceedings of the IEEE Interconnect Technology Conference, pp. 76-78.
Ouma, Dennis, Duane Boning, James Chung, Greg Shinn, Leif Olsen, and John Clark. 1998. “An Integrated Characterization and Modeling Methodology for CMP Dielectric Planarization.” Proceedings of the IEEE 1998 International Interconnect Technology Conference, pp. 67-69.
Boning, Duane S., Jerry Stefani, and Stephanie W. Butler. Feb. 1999. “Statistical Methods for Semiconductor Manufacturing.” Encyclopedia of Electrical Engineering, J. G. Webster, Ed.
McIntosh, John. Mar. 1999. “Using CD-SEM Metrology in the Manufacture of Semiconductors (Abstract).” JOM, vol. 51, No. 3, pp. 38-39.
Pan, J. Tony, Ping Li, Kapila Wijekoon, Stan Tsai, and Fritz Redeker. May 1999. “Copper CMP Integration and Time Dependent Pattern Effect” IEEE 1999 International Interconnect Technology Conference, pp. 164-166.
Meckl, P. H. and K. Umemoto. Aug. 1999. “Achieving Fast Motions in Semiconductor Manufacturing Machinery (Abstract).” Proceedings of the 1999 IEEE International Conference on Control Applications, vol. 1, pp. 725-729. Kohala Coast, HI.
Khan, K., C. El Chemali, J. Moyne, J. Chapple-Sokol, R. Nadeau, P. Smith, C., and T. Parikh. Oct. 1999. “Yield Improvement at the Contact Process Through Run-to-Run Control (Abstract).” 24.sup.th IEEE/CPMT Electronics Manufacturing Technology Symposium, pp. 258-263.
Ruegsegger, Steven, Aaron Wagner, James S. Freudenberg, and Dennis S. Grimard. Nov. 1999. “Feedforward Control for Reduced Run-to-Run Variation in Microelectronics Manufacturing.” IEEE Transactions on Semiconductor Manufacturing, vol. 12, No. 4.
Nov. 1999. “How to Use EWMA to Achieve SPC and EPC Control.” International Symposium on NDT Contribution to the Infrastructure Safety Systems, Tores, Brazil. <http://www.ndt.net/abstract/ndtiss99/data/35.htm>.
Edgar, T. F., W. J. Campbell, and C. Bode. Dec. 1999. “Model-Based Control in Microelectronics Manufacturing.” Proceedings of the 38.sup.th IEEE Conference on Decision and Control, Phoenix, Arizona, vol. 4, pp. 4185-4191.
Meckl, P. H. and K. Umemoto. Apr. 2000. “Achieving Fast Motions by Using Shaped Reference Inputs [Semiconductor Manufacturing Machine] (Abstract).” NEC Research and Development, vol. 41, No. 2, pp. 232-237.
Oechsner, R., T. Tschaftary, S. Sommer, L. Pfitzner, H. Ryssel, H. Gerath, C. Baier, and M. Hafner. Sep. 2000. “Feed-forward Control for a Lithography/Etch Sequence (Abstract).” Proceedings of the SPIE—The International Society for Optical Engineering Conference, vol. 4182, pp. 31-39.
Cheung, Robin. Oct. 18, 2000. “Copper Interconnect Technology.” AVS/CMP User Group Meeting, Santa Clara, CA.
Edgar, Thomas F., Stephanie W. Butler, W. Jarrett Campbell, Carlos Pfeiffer, Christopher Bode, Sung Bo Hwang, K. S. Balakrishnan, and J. Hahn. Nov. 2000. “Automatic Control in Microelectronics Manufacturing: Practices, Challenges, and Possibilities (Abstract).” Automatica, v. 36, n. 11.
Khan, S., M. Musavi, and H. Ressom. Nov. 2000. “Critical Dimension Control in Semiconductor Manufacturing (Abstract).” ANNIE 2000. Smart Engineering Systems Design Conference, pp. 995-1000. St. Louis, Missouri.
ACM Research Inc. 2000. “Advanced Copper Metallization for 0.13 to 0.05.mu.m & Beyond.” <http://acmrc.com/press/ACM-ECP-brochure.pdf>.
Ravid, Avi, Avner Sharon, Amit Weingarten, Vladimir Machavariani, and David Scheiner. 2000. “Copper CMP Planarity Control Using ITM.” IEEE/SEMI Advanced Semiconductor Manufacturing Conference, pp. 437-443.
Chen, Argon and Ruey-Shan Guo. Feb. 2001. “Age-Based Double EWMA Controller and Its Application to CMP Processes.” IEEE Transactions on Semiconductor Manufacturing, vol. 14, No. 1, pp. 11-19.
Tobin, K. W., T. P. Karnowski, L. F. Arrowood, and F. Lakhani. Apr. 2001. “Field Test Results of an Automated Image Retrieval System (Abstract).” Advanced Semiconductor Manufacturing Conference, 2001 IEEE/SEMI, Munich, Germany.
Tan, K. K., H. F. Dou, and K. Z. Tang. May-Jun. 2001. “Precision Motion Control System for Ultra-Precision Semiconductor and Electronic Components Manufacturing (Abstract).” 51.sup.st Electronic Components and Technology Conference 2001. Proceedings, pp. 1372-1379. Orlando, Florida.
Heuberger, U. Sep. 2001. “Coating Thickness Measurement with Dual-Function Eddy-Current & Magnetic Inductance Instrument (Abstract).” Galvanotechnik, vol. 92, No. 9, pp. 2354-2366+IV.
Wang, LiRen and Hefin Rowlands. 2001. “A Novel NN-Fuzzy-SPC Feedback Control System.” 8.sup.th IEEE International Conference on Emerging Technologies and Factory Automation, pp. 417-423.
Moyne, J., V. Solakhian, A. Yershov, M. Anderson, and D. Mockler-Hebert. Apr.-May 2002. “Development and Deployment of a Multi-Component Advanced Process Control System for an Epitaxy Tool (Abstract).” 2002 IEEE Advanced Semiconductor Manufacturing Conference and Workshop, pp. 125-130.
Sarfaty, M., A. Shanmugasundram, A. Schwarm, J. Paik, Jimin Zhang, Rong Pan, M. J. Seamons, H. Li, R. Hung, and S. Parikh. Apr.-May 2002. “Advance Process Control Solutions for Semiconductor Manufacturing (Abstract).” 13.sup.th Annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference. Advancing the Science and Technology of Semiconductor Manufacturing. ASMC 2002, pp. 101-106. Boston, MA.
Campbell, W. J., S. K. Firth, A. J. Toprac, and T. F. Edgar. May 2002. “A Comparison of Run-to-Run Control Algorithm (Abstract).” Proceedings of 2002 American Control Conference, vol. 3, pp. 2150-2155.
Good, Richard and S. Joe Qin. May 2002. “Stability Analysis of Double EWMA Run-to-Run Control with Metrology Delay.” IEEE/CPMT International Electronics Manufacturing Technology Symposium, pp. 355-363.
Smith, Stewart , Anthony J. Walton, Alan W. S. Ross, Georg K. H. Bodammer, and J. T. M. Stevenson. May 2002. “Evaluation of Sheet Resistance and Electrical Linewidth Measurement Techniques for Copper Damascene Interconnect.” IEEE Transactions on Semiconductor Manufacturing, vol. 15, No. 2, pp. 214-222.
Itabashi, Takeyuki, Hiroshi Nakano, and Haruo Akahoshi. Jun. 2002. “Electroless Deposited CoWB for Copper Diffusion Barrier Metal.” IEEE International Interconnect Technology Conference, pp. 285-287.
ACM Research, Inc. 2002. “ACM Ultra ECP.RTM. System: Electro-Copper Plating (ECP) Deposition.” www.acmrc.com/ecp.html.
Applied Materials, Inc. 2002. “Applied Materials: Information for Everyone: Copper Electrochemical Plating.” www.appliedmaterials.com/products/copper.sub.—electrochemical.sub.—plating.html.
KLA-Tencor Corporation. 2002. “KLA Tencor: Press Release: KLA-Tencor Introduces First Production-Worthy Copper CMP In-Situ Film Thickness and End-point Control System: Multi-Million Dollar Order Shipped to Major CMP Tool Manufacturer.” www.kla-tencor.com/news.sub.—events/press.sub.—releases/press.sub.—rel- eases2001/984086002.html.
Takahashi, Shingo, Kaori Tai, Hiizu Ohtorii, Naoki Komai, Yuji Segawa, Hiroshi Horikoshi, Zenya Yasuda, Hiroshi Yamada, Masao Ishihara, and Takeshi Nogami. 2002. “Fragile Porous Low-k/Copper Integration by Using Electro-Chemical Polishing.” 2002 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33.
Cunningham, James A. 2003. “Using Electrochemistry to Improve Copper Interconnects.” <http://www.e-insite.net/semiconductor/index.asp?layout=article&articl- eid=CA47465>.
Mar. 25, 2003. International Search Report for PCT/US02/24859 prepared by the European Patent Office.
Adams, Bret W., Bogdan Swedek, Rajeev Bajaj, Fritz Redeker, Manush Birang, and Gregory Amico. “Full-Wafer Endpoint Detection Improves Process Control in Copper CMP.” Semiconductor Fabtech—12.sup.th Edition. Applied Materials, Inc., Santa Clara, CA.
Berman, Mike, Thomas Bibby, and Alan Smith. “Review of In Situ & In-line Detection for CMP Applications.” Semiconductor Fabtech, 8.sup.th Edition, pp. 267-274.
“Semiconductor Manufacturing: An Overview.” <http://users.ece.gatech.edu/.about.gmay/overview.html>.
Levine, Martin D. 1985. Vision in Man and Machine. New York: McGraw-Hill, Inc. pp. ix-xii, 1-58.
Pilu, Maurizio. Sep. 2001. “Undoing Page Curl Distortion Using Applicable Surfaces.” IEEE International Conference on Image Processing. Thessalonica, Greece.
May 23, 2003. Written Opinion for PCT/US01/24910.
Williams, Randy, Dadi Gudmundsson, Kevin Monahan, Raman Nurani, Meryl Stoller and J. George Shanthikumar. Oct. 1999. “Optimized Sample Planning for Wafer Defect Inspection,” Semiconductor Manufacturing Conference Proceedings,1999 IEEE International Symposium on Santa Clara, CA. Piscataway, NJ. pp. 43-46.
Jul. 23, 2003. Invitation to Pay Additional Fees and Communication Relating to the Results of the Partial International Search for PCT/US02/19116.
Aug. 20, 2003. Written Opinion for PCT/US01/22833.
Rocha, Joao and Carlos Ramos. Sep. 12, 1994. “Task Planning for Flexible and Agile Manufacturing Systems.” Intelligent Robots and Systems '94. Advanced Robotic Systems and the Real World, IROS '94. Proceedings of the IEEE/RSJ/GI International Conference on Munich, Germany Sep. 12-16, 1994. New York, New York: IEEE. pp. 105-112.
Mar. 15, 2002. Office Action for U.S. Appl. No. 09/469,227, filed Dec. 22, 1999.
Mar. 29, 2002. Office Action for U.S. Appl. No. 09/363,966, filed Jul. 29, 1999.
Jun. 20, 2002. Office Action for U.S. Appl. No. 09/619,044, filed Jul. 19, 2000.
Sep. 26, 2002. Office Action for U.S. Appl. No. 09/637,620, filed Aug. 11, 2000.
Oct. 23, 2002. Office Action for U.S. Appl. No. 09/469,227, filed Dec. 22, 1999.
Dec. 17, 2002. Office Action for U.S. Appl. No. 09/363,966, filed Jul. 29, 1999.
Feb. 10, 2003. Office Action for U.S. Appl. No. 09/619,044, filed Jul. 19, 2000.
Apr. 9, 2003. Office Action for U.S. Appl. No. 09/928,474, filed Aug. 14, 2001.
May 8, 2003. Office Action for U.S. Appl. No. 09/637,620, filed Aug. 11, 2000.
Jun. 18, 2003. Office Action for U.S. Appl. No. 09/655,542, filed Sep. 6, 2000.
Aug. 8, 2003. International Search Report for PCT/US03/08513.
Aug. 25, 2003. Office Action for U.S. Appl. No. 10/100,184, filed Mar. 19, 2002.
Sep. 15, 2003. Office Action for U.S. Appl. No. 09/928,474, filed Aug. 14, 2001.
Nov. 5, 2003. Office Action for U.S. Appl. No. 10/172,977, filed Jun. 18, 2002.
Dec. 1, 2003. Office Action for U.S. Appl. No. 10/173,108, filed Jun. 18, 2002.
Dec. 11, 2003. Office Action for U.S. Appl. No. 09/943,383, filed Aug. 31, 2001.
Dec. 16, 2003. International Search Report for PCT/US03/23964.
Jan. 20, 2004. Office Action for U.S. Appl. No. 09/927,444, filed Aug. 13, 2001.
Jan. 23, 2004. International Search Report for PCT/US02/24860.
Feb. 2, 2004. Office Action for U.S. Appl. No. 09/363,966, filed Jul. 29, 1999.
Miller, G. L., D. A. H. Robinson, and J. D. Wiley. Jul. 1976. “Contactless measurement of semiconductor conductivity by radio frequency-free-carrier power absorption.” Rev. Sci. Instrum., vol. 47, No. 7. pp. 799-805.
1999. “Contactless Bulk Resistivity/Sheet Resistance Measurement and Mapping Systems.” www.Lehighton.com/fabtech1/index.html.
2000. “Microsense II Capacitance Gaging System.” www.adetech.com.
El Chemali, Chadi et al. Jul./Aug. 2000. “Multizone uniformity control of a chemical mechanical polishing process utilizing a pre- and postmeasurement strategy.” J. Vac. Sci. Technol. vol. 18, No. 4. pp. 1287-1296.
Mar. 5, 2001. “KLA-Tencor Introduces First Production-worthy Copper CMP In-situ Film Thickness and End-point Control System.” http://www.klatencor.com/j/servlet/NewsItem?newsItemID=74.
2002. “Microsense II—5810: Non-Contact Capacitance Gaging Module.” www.adetech.com.
Aug. 8, 2003. PCT International Search Report from PCT/US03/08513.
Oct. 14, 2003. PCT International Search Report from PCT/US02/21942.
Oct. 20, 2003. PCT International Search Report from PCT/US02/19116.
Oct. 23, 2003. PCT International Preliminary Examination Report from PCT/US01/24910.
“NanoMapper wafer nanotopography measurement by ADE Phase Shift.” http://www.phase-shift.com/nanomap.shtml.
“Wafer flatness measurement of advanced wafers.” http://www.phase-shift.com/wafer-flatness.shtml.
“ADE Technologies, Inc.—6360.” http://www.adetech.com/6360.shtml.
“3D optical profilometer MicroXAM by ADE Phase Shift.” http://www.phase-shift.com/microxam.shtml.
“NanoMapper FA factory automation wafer nanotopography measurement.” http://www.phase-shift.com/nanomapperfa.shtml.
Sun, S.C. 1998. “CVD and PVD Transition Metal Nitrides as Diffusion Barriers for Cu Metallization.” IEEE. pp. 243-246.
Tagami, M., A. Furuya, T. Onodera, and Y. Hayashi. 1999. “Layered Ta-nitrides (LTN) Barrier Film by Power Swing Sputtering (PSS) Technique for MOCVD-Cu Damascene Interconnects.” IEEE. pp. 635-638.
Yamagishi, H., Z. Tokei, G.P. Beyer, R. Donaton, H. Bender, T. Nogami, and K. Maex. 2000. “TEM/SEM Investigation and Electrical Evaluation of a Bottomless I-PVD TA(N) Barrier in Dual Damascene” (Abstract). Advanced Metallization Conference 2000. San Diego, CA.
Eisenbraun, Eric, Oscar van der Straten, Yu Zhu, Katharine Dovidenko, and Alain Kaloyeros. 2001. “Atomic Layer Deposition (ALD) of Tantalum-Based Materials for Zero Thickness Copper Barrier Applications” (Abstract). IEEE. pp. 207-209.
Smith, S.R., K.E. Elers, T. Jacobs, V. Blaschke, and K. Pfeifer. 2001. “Physical and Electrical Characterization of ALD Tin Used as a Copper Diffusion Barrier in 0.25 mum, Dual Damascene Backend Structures” (Abstract). Advanced Metallization Conference 2001. Montreal, Quebec.
Kim, Y.T. and H. Sim. 2002. “Characteristics of Pulse Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect” (Abstract). IEIC Technical Report. vol. 102, No. 178, pp. 115-118.
Elers, Kai-Erik, Ville Saanila, Pekka J. Soininen, Wei-Min Li, Juliana T. Kostamo, Suvi Haukka, Jyrki Juhanoja, and Wim F.A. Besling. 2002. “Diffusion Barrier Deposition on a Copper Surface by Atomic Layer Deposition” (Abstract). Advanced Materials. vol. 14, No. 13-14, pp. 149-153.
Peng, C.H., C.H. Hsieh, C.L. Huang, J.C. Lin, M.H. Tsai, M.W. Lin, C.L. Chang, Winston S. Shue, and M.S. Liang. 2002. “A 90nm Generation Copper Dual Damascene Technology with ALD TaN Barrier.” IEEE. pp. 603-606.
Van der Straten, O., Y. Zhu, E. Eisenbraun, and A. Kaloyeros. 2002. “Thermal and Electridal Barrier Performance Testing of Ultrathin Atomic Layer Deposition Tantalum-Based Materials for Nanoscale Copper Metallization.” IEEE. pp. 188-190.
Wu, Z.C., Y.C. Lu, C.C. Chiang, M.C. Chen, B.T. Chen, G.J. Wang, Y.T. Chen, J.L. Huang, S.M. Jang, and M.S. Liang. 2002. “Advanced Metal Barrier Free Cu Damascene Interconnects with PECVD Silicon Carbide Barriers for 90/65-nm BEOL Technology.” IEEE. pp. 595-598.
Jul. 25, 2003. International Search Report for PCT/US02/24858.
Mar. 30, 2004. Written Opinion for PCT/US02/19062.
Apr. 9, 2004. Written Opinion for PCT/US02/19116.
Apr. 22, 2004. Office Action for U.S. Appl. No. 09/998,372, filed Nov. 30, 2001.
Apr. 28, 2004. Written Opinion for PCT/US02/19117.
Apr. 29, 2004. Written Opinion for PCT/US02/19061.
May 5, 2004. International Preliminary Examination Report for PCT/US01/27406.
May 28, 2004. Office Action for U.S. Appl. No. 09/943,383, filed Aug. 31, 2001.
Jun. 3, 2004. Office Action for U.S. Appl. No. 09/928,474, filed Aug. 14, 2001.
Jun. 23, 2004. Office Action for U.S. Appl. No. 10/686,589, filed Oct. 17, 2003.
Jun. 30, 2004. Office Action for U.S. Appl. No. 09/800,980, filed Mar. 8, 2001.
Jul. 12, 2004. Office Action for U.S. Appl. No. 10/173,108, filed Jun. 8, 2002.
Sep. 15, 2004. Office Action for U.S. Appl. No. 10/632,107, filed Aug. 1, 2003.
Sep. 29, 2004. Office Action for U.S. Appl. No. 09/363,966, filed Jul. 29, 1999.
Oct. 1, 2004. International Preliminary Examination Report for PCT Serial No. PCT/US03/23964.
Oct. 6, 2004. Office Action for U.S. Appl. No. 10/759,108, filed Jan. 20, 2004.
Oct. 12, 2004. International Preliminary Examination Report for PCT Serial No. PCT/US02/19061.
Nov. 17, 2004. Written Opinion for PCT Serial No. PCT/US01/27407.
Aug. 9, 2004. Written Opinion for PCT Serial No. PCT/US02/19063.
IslamRaja, M. M., C. Chang, J. P. McVittie, M. A. Cappelli, and K. C. Saraswat. May/Jun. 1993. “Two Precursor Model for Low-Pressure Chemical Vapor Deposition of Silicon Dioxide from Tetraethylorthosilicate.” J. Vac. Sci. Technol. B, vol. 11, No. 3, pp. 720-726.
Kim, Eui Jung and William N. Gill. Jul. 1994. “Analytical Model for Chemical Vapor Deposition of SiO.sub.2 Films Using Tetraethoxysliane and Ozone” (Abstract). Journal of Crystal Growth, vol. 140, Issues 3-4, pp. 315-326.
Guo, R.S, A. Chen, C.L. Tseng, I.K. Fong, A. Yang, C.L. Lee, C.H. Wu, S. Lin, S.J. Huang, Y.C. Lee, S.G. Chang, and M.Y. Lee. Jun. 16-17, 1998. “A Real-Time Equipment Monitoring and Fault Detection System.” Semiconductor Manufacturing Technology Workshop, pp. 111-121.
Lantz, Mikkel. 1999. “Equipment and APC Integration at AMD with Workstream.” IEEE, pp. 325-327.
Jul. 15, 2004. Office Action for U.S. Appl. No. 10/172,977, filed Jun. 18, 2002.
Aug. 2, 2004. Office Action for U.S. Appl. No. 10/174,377, filed Jun. 18, 2002.
Aug. 18, 2004. International Preliminary Examination Report for PCT Serial No. PCT/US02/19116.
Aug. 24, 2004. Office Action for U.S. Appl. No. 10/135,405, filed May 1, 2002.
Aug. 25, 2004. Office Action for U.S. Appl. No. 09/998,384, filed Nov. 30, 2001.
Sep. 9, 2004. Written Opinion for PCT Serial No. PCT/US02/21942.
Sep. 16, 2004. International Preliminary Examination Report for PCT Serial No. PCT/US02/24859.
Mar. 4, 2005. International Preliminary Examination Report from PCT Application No. PCT/US02/19063.
Boning, Duane et al. “Run by Run Control of Chemical-Mechanical Polishing.” IEEE Trans. Oct. 1996. vol. 19, No. 4. pp. 307-314.
Moyne, James et al. “A Run-to-Run Control Framework for VLSI Manufacturing.” Microelectronic Processing '93 Conference Proceedings. Sep. 1993.
Telfeyan, Roland et al. “Demonstration of a Process-Independent Run-to-Run Controller.” 187.sup.th Meeting of the Electrochemical Society. May 1995.
Moyne, James et al. “A Process-Independent Run-to-Run Controller and Its Application to Chemical-Mechanical Planarization.” SEMI/IEEE Adv. Semiconductor Manufacturing Conference. Aug. 15, 1995.
Moyne, James et al. “Adaptive Extensions to be a Multi-Branch Run-to-Run Controller for Plasma Etching.” Journal of Vacuum Science and Technology. 1995.
Sachs, Emanuel et al. “Process Control System for VLSI Fabrication.”.
Chaudhry, Nauman et al. “Active Controller: Utilizing Active Databases for Implementing Multi-Step Control of Semiconductor Manufacturing.” University of Michigan. pp. 1-24.
Chaudhry, Nauman et al. “Designing Databases with Fuzzy Data and Rules for Application to Discrete Control.” University of Michigan. pp. 1-21.
Chaudhry, Nauman A. et al. “A Design Methodology for Databases with Uncertain Data.” University of Michigan. pp. 1-14.
Khan, Kareemullah et al. “Run-to-Run Control of ITO Deposition Process.” University of Michigan. pp. 1-6.
Moyne, James et al. “Yield Improvement @ Contact Through Run-to-Run Control.”.
Kim, Jiyoun et al. “Gradient and Radial Uniformity Control of a CMP Process Utilizing a Pre- and Post-Measurement Strategy.” University of Michigan.
Guo, R.S, et al. “A Real-Time Equipment Monitoring and Fault Detection System.” Semiconductor Manufacturing Technology Workshop, pp. 111-121. Jun. 16-17, 1998.
Labelle, C.B., Lau, K.K.S, Cruden B.A., Bailey, T.C., Casserly, T., Escobar, O., Sawin, H.H., and Gleason, K.K., “Chemical Vapor Deposition (CVD) of “Teflon-like” Thin Films for Use in Interlayer Dielectric Applications,” Materials Research at MIT, Materials Processing Center, Massachusetts Institute of Technology, p. 38, 1999.
Rauf, Shahid and Mark J. Kushner. “Controller design issues in the feedback control of radio frequency plasma processing reactors.” American Vacuum Society, pp. 704-712, May/Jun. 1999.
Rauf. S.; Kushner, M.J.,Semiconductor Manufacturing, IEEE, “Virtual plasma equipment model: a tool for investigating feedback control in plasma processing equipment,” Transactions on vol. 11, Issue 3, Date: Aug. 1998, pp. 486-494.
PCT Search Report for PCT/US02/19063, dated Nov. 12, 2002.
Office Action for U.S. Appl. No. 10/174,377, mailed Aug. 2, 2004.
Office Action for U.S. Appl. No. 10/174,377, mailed Feb. 25, 2005.
Office Action for U.S. Appl. No. 10/174,377, mailed May 31, 2006.
Office Action for U.S. Appl. No. 10/174,377, mailed Sep. 7, 2005.
Office Action for U.S. Appl. No. 11/701,401 mailed Aug. 7, 2009.
Office Action for U.S. Appl. No. 11/701,401 mailed Jan. 28, 2010.
Office Action for U.S. Appl. No. 11/701,401 mailed Feb. 5, 2009.
Office Action for U.S. Appl. No. 11/701,401 mailed Jun. 23, 2010.
Japanese Interrogation received for Jp Application No. 2003-505993, mailed Jun. 15, 2010.
U.S. Appl. No. 09/943,383, filed Aug. 31, 2001, Shanmugasundram et al.
U.S. Appl. No. 09/943,955, filed Aug. 31, 2001, Shanmugasundram et al.
U.S. Appl. No. 09/998,372, filed Nov. 30, 2001, Paik.
U.S. Appl. No. 09/998,384, filed Nov. 30, 2001, Paik.
U.S. Appl. No. 10/084,092, filed Feb. 28, 2002, Arackaparambil et al.
U.S. Appl. No. 10/100,184, filed Mar. 19, 2002, Al-Bayati et al.
U.S. Appl. No. 10/135,405, filed May 1, 2002, Reiss et al.
U.S. Appl. No. 10/135,451, filed May 1, 2002, Shanmugasundram et al.
U.S. Appl. No. 10/172,977, filed Jun. 18, 2002, Shanmugasundram et al.
U.S. Appl. No. 10/173,108, filed Jun. 18, 2002, Shanmugasundram et al.
U.S. Appl. No. 10/174,370, filed Jun. 18, 2002, Shanmugasundram et al.
Chaudhry, Nauman, et. al, “Active Controller: Utilizing Active Databases for Implementing Multi-Step Control of Semiconductor Manufacturing,” (Abstract), IEEE Transaction on Components, Packaging and Manufacturing Technology, part C, vol. 21, No. 3. pp. 217-224, Jul. 1998.
Good, Richard and Qin, S. Joe, Stability Analysis of Double EWMA Run-to-Run Control with Metrology Delay. Proceedings of the American Control Conference Anchorage, AK May 8-10, 2002, 6 pages.
Islamraja, M.M. et al., “Two precursor model for low-pressure chemical vapor deposition of silicon dioxide from tetraethylorthosilicate”. J. Vac. Sci. Technol. B 11(3), May/Jun. 1983, pp. 720-726.
Kim, Eui Jung et al., “Analytical Model for Chemical Vapor Deposition of SiO2 films using tetraethoxysilane and ozone”. Journal of Crystal Growth, vol. 140, Issues 3-4, Jul. 1994, pp. 315-326. Abstract Only.
Kim, Jiyoun, et al., “Gradient and Radial Uniformity Control of a CMP Process Utilizing a Pre- and Post-Measurement Strategy.”.
Kuo, W.W. Adaptive in-line Sampling Strategies for Semiconductors Manufacturing, ESRC 96-35, and CSM-35. Jan. 1997 (abstract only).
Kuo et al., “Effective In-Line Defect Monitoring with Variable Wafer Area Coverage”, IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 1997.
McIntyre, M., et al., “Key Considerations in the Development of Defect Sampling Methodologies”. ASMC 96 Proceedings, IEEE/SEMI 1996 (Nov. 1996): 81-85.
Nurani, et al. “The Impact of Lot-to-Lot and Wafter-toWafer Variations on SPC.” IEEE (1997): 69-72.
Rauf, Shahid and Mark J. Kushner. “Controller design issues in the feedback control of radio frequency plasma processing reactors.” American Vacuum Society, pp. 704-712, no date.
Semiconductor FABTECH, “Motorola and Advanced Micro Devices Buy ObjectSpace Catalyst Advanced Process Control Product for Five Wafer Fabs.” Jul. 5, 2001 www.semiconductorfabtech.com/industry.news/9907/20.07.shtml 2 pages.
Souza, Adriano Mendonca, et al., “How to use EWMA to Achieve SPC and EPC Control,” Nov. 1999 International Symposium on NDT Contribution to the Infrastructure Safety Systems, Tores Brazil (abstract only), pp. 270-277.
Applied Materials Software Office Action for U.S. Appl. No. 09/943,955, mailed May 5, 2004.
Applied Materials Software Office Action for U.S. Appl. No. 09/943,955, mailed Dec. 30, 2004.
Applied Materials Software Office Action for U.S. Appl. No. 09/943,955, mailed Jul. 26, 2005.
Applied Materials Software Office Action for U.S. Appl. No. 09/943,955, mailed Jan. 31, 2006.
Applied Materials Software Notice of Allowance for U.S. Appl. No. 09/943,955, mailed Sep. 11, 2006.
Applied Materials Software Office Action for U.S. Appl. No. 10/135,451, mailed Jul. 27, 2009.
Applied Materials Software Office Action for U.S. Appl. No. 10/135,451, mailed Jan. 30, 2009.
Applied Materials Software Office Action for U.S. Appl. No. 10/135,451, mailed Aug. 14, 2008.
Applied Materials Software Office Action for U.S. Appl. No. 10/135,451, mailed Mar. 17, 2008.
Applied Materials Software Office Action for U.S. Appl. No. 10/135,451, mailed Sep. 28, 2007.
Applied Materials Software Office Action for U.S. Appl. No. 10/135,451, mailed Dec. 20, 2006.
Applied Materials Software Office Action for U.S. Appl. No. 10/135,451, mailed Apr. 6, 2006.
Applied Materials Software Office Action for U.S. Appl. No. 11/701,401, mailed Feb. 5, 2009.
Applied Materials Software Office Action for U.S. Appl. No. 11/701,401, mailed Aug. 7, 2009.
Applied Materials Software Office Action for U.S. Appl. No. 10/174,377, mailed Aug. 2, 2004.
Applied Materials Software Office Action for U.S. Appl. No. 10/174,377, mailed Feb. 25, 2005.
Applied Materials Software Office Action for U.S. Appl. No. 10/174,377, mailed Sep. 7, 2005.
Applied Materials Software Office Action for U.S. Appl. No. 10/174,377, mailed May 31, 2006.
Related Publications (1)
Number Date Country
20120053721 A1 Mar 2012 US
Provisional Applications (1)
Number Date Country
60298878 Jun 2001 US
Divisions (1)
Number Date Country
Parent 09943955 Aug 2001 US
Child 11645989 US
Continuations (1)
Number Date Country
Parent 11645989 Dec 2006 US
Child 13292029 US