The present invention relates generally to semiconductor devices, and more particularly to fabricating a low cost passivation layer and metal capping layer over copper interconnects.
Integrated circuits typically use multiple levels of conductive interconnects to electrically connect to various transistors and components. The top metal layer is commonly used for power distribution and requires lower sheet resistance, which may be achieved by using a lower bulk resistivity metal like copper, or by increasing the thickness of the top metal layer. Electrical connection to the integrated circuits are typically made by placing a bond wire or solder ball either directly over a bond pad, or on top of a capping metal layer deposited over the bond pad.
Lithography steps for patterning and etch steps can be relatively expensive in general. In addition, gold wire bonds on conventional aluminum capping layer form gold-aluminum inter-metallic compounds especially at higher temperatures, which lead to reliability issues due to Kirkendall voiding. Kirkendall effects can have practical consequences, such as the prevention or suppression of voids formed at the boundary interface in various kinds of alloy to metal bonding. For example, gold-aluminum inter-metallics are inter-metallic compounds of gold and aluminum that occur at contacts between the two metals. These inter-metallics have different properties than the individual metals which cause problems in wire bonding in microelectronics. For example, the main compounds formed at high temperatures are Au5Al2 (known as white plague) and AuAl2 (known as purple plague). White plague formation causes low electric conductivity, so its formation at junctures can lead to an increase of electrical resistance causing inefficiency. Purple plague can generally lead to a growth of inter-metallic layers causing voids in the metal lattice. Accordingly, it would be desirable to fabricate metal layers with less expensive methods for patterning and etching while overcoming Kirkendall voiding issues.
The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an extensive overview of the invention. It is intended neither to identify key or critical elements of the invention nor to delineate the scope of the invention. Rather, its primary purpose is merely to present one or more concepts of the invention in a simplified form as a prelude to the more detailed description that is presented later.
The present disclosure relates to forming a top metal layer with a bond pad opening within a semiconductor wafer for providing electrical connection externally. An interconnect layer comprising a metal interconnect is formed on the semiconductor body and may be a single or multi-level interconnect layer. A dielectric layer is formed over the interconnect layer having a conductive via feature within a lower portion of the dielectric layer and a conductive trench feature within an upper portion of the dielectric layer. In addition, a bond pad is formed within an opening of the dielectric layer comprising a capping layer and optionally a barrier layer. The capping layer can comprise a nickel layer and/or a palladium layer. The opening extends to the conductive trench feature, and the barrier layer and capping layer are located inside the opening without substantial protrusion above a top surface of the dielectric layer.
To the accomplishment of the foregoing and related ends, the following description and annexed drawings set forth in detail certain illustrative aspects and implementations of the invention. These are indicative of but a few of the various ways in which one or more aspects of the present invention may be employed. Other aspects, advantages and novel features of the invention will become apparent from the following detailed description of the invention when considered in conjunction with the annexed drawings.
One or more aspects of the present disclosure are described with reference to the drawings, wherein like reference numerals are generally utilized to refer to like elements throughout, and wherein the various structures are not necessarily drawn to scale. It will be appreciated that where like acts, events, elements, layers, structures, etc. are reproduced, subsequent (redundant) discussions of the same may be omitted for the sake of brevity. In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of one or more aspects herein. It may be evident, however, to one of ordinary skill in the art that one or more aspects may be practiced with a lesser degree of these specific details. In other instances, known structures are shown in diagrammatic form in order to facilitate describing one or more aspects.
In the examples of this disclosure, the dimensions disclosed for via and metal line widths, as well as any other pattern dimensions disclosed herein unless otherwise expressly stated, are based upon the size of the pattern to be formed on the wafer. The actual dimensions for via and metal line width for the photomask patterns will vary depending upon the size of the reduction factor of the photomask. Photomasks are often formed to have, for example, a 4× or 5× reduction factors, meaning that the photomask pattern dimensions can be about 4 or 5 times larger than the corresponding dimensions formed on the wafer. Similarly, the dimensions of the drawn pattern may or may not also have a reduction factor. Therefore, as one of ordinary skill in the art would readily understand, the mask sizes and the drawn pattern sizes can correspond to the wafer dimensions based on any suitable reduction factor, including where the dimensions on the mask and/or drawn pattern dimensions are intended to be the same as those formed on the wafer.
The present disclosure relates to forming a semiconductor device with a damascene interconnect structure in a manner that facilitates lowering the number of steps and cost of the process flow while mitigating adverse effects associated with electrical connections to a capping metal layer. In particular, the connections to various transistors and components of integrated circuits (especially with single or multiple levels of conductive interconnects) can be improved with a capping layer that comprises a barrier layer, a nickel layer, and a palladium layer. Afterwards, a planarization process (e.g., chemical mechanical planarization) can be performed from the top of the wafer surface, leaving the barrier layer, nickel layer, and palladium layer only within an opening connecting the integrated circuits to the outside world.
Metal levels and vias are fabricated using separate lithography and etch steps. Using a Damascene process, such as a Dual/Single Damascene Process, for example, fabrication of the metal and via levels comprises forming a metal and via level within a deposited inter-level dielectric (ILD) material layer (e.g., silicon oxide, fluorinated silicon oxide, polymers including polyimide and fluorinated polyimide, ceramics, carbon and/or carbon doped dielectric materials, such as carbon silicate glass or organosilicate glass). During processing, the ILD layer is deposited and then holes (i.e., via holes) are patterned using known techniques such as the use of a photoresist material which is exposed to define a pattern. After developing, the photoresist acts as a mask through which the pattern of the ILD material is removed by a subtractive etch process (e.g., such as plasma etching or reactive ion etching) to partially form the via holes. A second patterning process proceeds to pattern metal wires. The pattern is also removed through a subtractive etch process which forms metal trenches and completes via hole etching such that the via holes extend from one surface of the ILD layer to the other surface of the ILD layer, while the metal trenches are comprised within the upper part of the ILD layer. The via holes and metal trenches are then filled in a metal deposition step to form both a via level and an abutting metal layer (e.g., the metal layer above the via). Metal may be deposited using a filling technique such as electroplating, electroless plating, chemical vapor deposition, physical vapor deposition or a combination of methods. This process may further include planarization of the metal by removing excess material with a method such as chemical mechanical polishing (CMP).
It is to be appreciated that silicon or semiconductor substrate as used herein can include a base semiconductor wafer or any portion thereof (e.g., one or more wafer die) as well as any epitaxial layers or other type of semiconductor layers formed thereover and/or associated therewith. The substrate can comprise, for example, silicon, SiGe, GaAs, InP and/or SOI. In addition, the substrate can include various device elements formed therein such as transistors, for example, and/or layers thereon. These can include metal layers, barrier layers, dielectric layers, device structures, including silicon gates, word lines, source regions, drain regions, bit lines, bases, emitters, collectors, conductive lines, conductive vias, etc. The metals can also be surrounded by diffusion barriers (not shown). The metals generally include copper or aluminum while the diffusion barriers may include tantalum, or a variety of other barriers, for example. It is to be appreciated that the substrate 102 can include one or more metallization layers that are not illustrated in addition to other non-illustrated device elements. Further, the metallization layer 106 may comprise one or more layers of metallization as may be desired.
The layer of dielectric or capacitor ILD material 118 and optional layer of hardmask material 120 are patterned so that a cavity 122 (e.g., a via cavity) is formed therein over the metals. The cavity 122 can be formed by an etch process 124 in the layers 116 and 109, and stopping at the metal M3 and/or at an etch stop layer (not shown). It will be appreciated that the cavity 122 is formed so that a width 126 of the cavity 122 between sidewalls 128 can be equal to or smaller than a width 130 of the metal M3. As with all layers described herein (unless specifically indicated to the contrary), layers 118 and 120 can be patterned in any suitable manner, such as via etching and/or lithographic techniques. Lithography refers to processes for pattern transfer between various media. A radiation sensitive resist coating is formed over one or more layers to which the pattern is to be transferred. The resist is itself first patterned by exposing it to radiation, where the radiation (selectively) passes through an intervening mask containing the pattern. As a result, the exposed or unexposed areas of the resist coating become more or less soluble, depending on the type of resist used. A developer is then used to remove the more soluble areas leaving the patterned resist. The pattered resist can then serve as a mask for the underlying layers which can be selectively etched to transfer the pattern thereto.
In
At
The layer of ALCAP material 164 and the layer of barrier material 162 are patterned, such as by patterning a resist (not shown) there-over, using the resist as a mask and then removing the patterned resist after exposed portions of the ALCAP layer 164 and barrier layer 162 have been etched away.
In
Referring now to
The exemplary method 200 is described hereinafter in the context of a damascene via formation in a semiconductor wafer. However, it will be appreciated that the exemplary method 200, and other damascene methodologies of the present invention, may be employed alternatively or in combination in forming a single damascene trench structure or a dual damascene via and trench structure. The method 200 comprises providing a silicon such as with a semiconductor wafer having a metallization layer with an existing interconnect structure 202. The method 200 further comprises forming an etch-stop layer over an existing interconnect structure at 204 (e.g., over a previous damascene structure or over an initial contact level), and forming a low-k dielectric layer over the etch-stop material. The interconnect structure can comprise various metals, such as aluminum and/or copper. Further, any appropriate etch-stop and dielectric materials and layer fabrication techniques may be employed at 204, respectively, such as depositing SiN or SiC etch-stop material to a predetermined thickness (e.g., from about 300 to 1500 Å), for example 600 angstroms, using any appropriate deposition technique such as chemical-vapor deposition (CVD) or the like. A hardmask or cap layer can be optionally used.
At 206 a cavity is formed within the dielectric to connect to the metallization layers within. The cavity can be a single via for single damascene structures or a via with a trench for dual damascene structures by processes known to one of ordinary skill in the art. A BARC (bottom anti-reflective coating) layer is optionally deposited comprising any appropriate organic material having anti-reflective properties to a predetermined thickness over the dielectric layer. Alternatively, any suitable organic/inorganic filling or planarizing material such as HSQ (hydrogen silsesquioxane) or MSQ (methyl silsesquioxane), etc. can be used to fill the via/trench. This optional planarizing material can minimize the amount of photoresist from going into empty via holes and help protect the bottom of the via during etching of a trench for the top metal layer, as with a dual damascene structure.
After trench formation a barrier layer at 208 can be formed with a suitable barrier material such as TaN, Ta, Ti, TiN, WN, WSixNy, TiW, or bilayer TaN/Ta, or Ti/TiN. The barrier layer can be deposited into the trench and/or via. An electrochemical deposition (ECD) process is then performed at 210 to deposit a conductive layer (e.g., copper) over the wafer, which fills the cavity (e.g., via and/or trench), and overlies the barrier layer on top of the remaining dielectric to form a top metal layer. Any appropriate copper deposition process may be employed, which may be a single step or a multi-step process in order to fabricate this top metal region. Thereafter, a chemical mechanical polishing (CMP) process can be performed to planarize (CMP) the upper surface of the device, which ideally stops on the dielectric layer and reduces the diffusion barrier and the deposited copper. In this manner, the planarization process electrically separates the conductive (e.g., copper) via from other such vias formed in the device, whereby controlled connection of the underlying conductive feature with subsequently formed interconnect structures can be achieved.
After fabrication of the top metal layer, a dielectric stack (e.g., a passivation stack) can be deposited at 212 comprising one or more layers of dielectrics such as silicon nitride, silicon dioxide or doped silicon dioxide (dopants such as phosphorous, boron, or fluorine, etc.) for example. The passivation stack can protect the interconnects from harsh environmental conditions such as moisture that can corrode or cause malfunction. At 214 a pattern and etch can be used to make openings (e.g., PO openings) where the integrated circuit can be connected externally.
Lithography steps for patterning and etch steps are relatively expensive Therefore, a lower cost process flow is embodied. For example, the method 200 then continues at 216 with depositing a diffusion barrier layer such as a barrier metal comprising a single layer TaN, Ta, Ti, TiN, WN, WSiN, TiW, TiWN, or a single layer or multilayer barrier with two or more of these elements, such as TaN/Ta, TiW Ti/TiN, etc. Any barrier substance and/or metal is embodied that is capable of preventing diffusion of the conductive material (e.g., copper) into a metal stack deposited thereabove.
In one embodiment, a capping layer is deposited at 218 on top of the barrier layer formed at 216. The capping layer can comprise a palladium layer, a nickel layer and/or a palladium layer and a nickel layer. In other words, the capping layer can comprise at least one of a palladium layer and a nickel layer. In one embodiment, the nickel layer can be deposited first and thereafter the palladium layer can be deposited thereon. The nickel layer can comprise a thickness between about 20 nm to 5000 nm (e.g., 100 nm), and can be deposited on top of the barrier layer formed at 216. The palladium layer can comprise a thickness between about 50 nm to 2000 nm (e.g., 1000 nm) and can be deposited on top of the nickel layer. The nickel and/or palladium layers can be deposited either by an electroplating process, or electroless plating process, or sputter deposition.
In one embodiment, the barrier layer, nickel layer and/or palladium layer can be removed after deposition by CMP at 220. The CMP process can be from the top of the wafer surface, and above the dielectric layer (e.g., passivation stack/layer), thereby leaving these metals (nickel and/or palladium) only in the opening without substantial protrusion above the top surface of the semiconductor wafer and/or the dielectric layer formed in 212, after which the method 200 ends.
The method 200 uses less patterning and etch process steps than prior processes and thereby can reduce the cost of fabrication. In addition to the cost advantage, the nickel/palladium capping layer offers the advantage of more reliable bonding by reducing issues related to Kirkendall voiding, for example.
A passivation stack (dielectric stack) 413 overlies a top metal layer 412 and can comprise a single layer or multiple layer interconnects. For example, the passivation stack 413 can comprise a layer of an etch stop material 415, a layer of a dielectric material 417 and a layer 419 of SIN, SION, SIC, or SICN, as discussed above supra with the passivation stack of
Although vias are illustrated underneath the metals, and the bond pad in
The process flow for patterning and etching is reduced for fabricating the structures of
It will be appreciated that copper diffusion barriers, including those disclosed herein, are typically formed using conductive compounds of transition metals, such as tantalum, tungsten and titanium alone or in combination with their respective nitrides, carbonitrides, silicon nitrides and/or silicon carbonitrides (e.g., Ta, TaN, TaSiN, titanium nitride, tungsten nitride, silicon nitride, silicon oxynitride, silicon carbide). It will be appreciated, however, that any and all barrier materials with sufficient Cu barrier properties are contemplated as falling within the scope of the present invention.
Further, from time to time throughout this specification and the claims that follow, one or more layers or structures may be described as being or containing a substance such as “tungsten”, “copper”, “silicon nitride”, etc. These description are to be understood in context and as they are used in the semiconductor manufacturing industry. For example, in the semiconductor industry, when a metallization layer is described as containing copper, it is understood that the metal of the layer comprises pure copper as a principle component, but the pure copper may be, and typically is, alloyed, doped, or otherwise impure. As another example, silicon nitride may be a silicon rich silicon nitride or an oxygen rich silicon nitride. Silicon nitride may contain some oxygen, but not so much that the material's dielectric constant is substantially different from that of high purity stoichiometric silicon nitride.
Although one or more aspects of the invention has been shown and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art based upon a reading and understanding of this specification and the annexed drawings. The invention includes all such modifications and alterations and is limited only by the scope of the following claims. In addition, while a particular feature or aspect of the invention may have been disclosed with respect to only one of several implementations, such feature or aspect may be combined with one or more other features or aspects of the other implementations as may be desired and/or advantageous for any given or particular application. Furthermore, to the extent that the terms “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”
Also, the term “exemplary” is merely meant to mean an example, rather than the best. It is also to be appreciated that layers and/or elements depicted herein are illustrated with particular dimensions relative to one another (e.g., layer to layer dimensions and/or orientations) for purposes of simplicity and ease of understanding, and that actual dimensions of the elements may differ substantially from that illustrated herein. Additionally, unless stated otherwise and/or specified to the contrary, any one or more of the layers set forth herein can be formed in any number of suitable ways, such as with spin-on techniques, sputtering techniques (e.g., magnetron and/or ion beam sputtering), (thermal) growth techniques and/or deposition techniques such as chemical vapor deposition (CVD), physical vapor deposition (PVD) and/or plasma enhanced chemical vapor deposition (PECVD), or atomic layer deposition (ALD), for example.