Methods of forming a semiconductor device using block copolymer materials

Information

  • Patent Grant
  • 11282741
  • Patent Number
    11,282,741
  • Date Filed
    Monday, December 10, 2018
    5 years ago
  • Date Issued
    Tuesday, March 22, 2022
    2 years ago
Abstract
Methods for fabricating sub-lithographic, nanoscale microstructures utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
Description
TECHNICAL FIELD

Embodiments of the invention relate to methods of fabricating thin films of self-assembling block copolymers, and devices resulting from those methods.


BACKGROUND

As the development of nanoscale mechanical, electrical, chemical and biological devices and systems increases, new processes and materials are needed to fabricate nanoscale devices and components. Making electrical contacts to conductive lines has become a significant challenge as the dimensions of semiconductor features shrink to sizes that are not easily accessible by conventional lithography. Optical lithographic processing methods have difficulty fabricating structures and features at the sub-60 nanometer level. The use of self-assembling diblock copolymers presents another route to patterning at nanoscale dimensions. Diblock copolymer films spontaneously assembly into periodic structures by microphase separation of the constituent polymer blocks after annealing, for example, by thermal annealing above the glass transition temperature of the polymer or by solvent annealing, forming ordered domains at nanometer-scale dimensions.


The film morphology, including the size and shape of the microphase-separated domains, can be controlled by the molecular weight and volume fraction of the AB blocks of a diblock copolymer to produce lamellar, cylindrical, or spherical morphologies, among others. For example, for volume fractions at ratios greater than about 80:20 of the two blocks (AB) of a diblock polymer, a block copolymer film will microphase separate and self-assemble into periodic spherical domains with spheres of polymer B surrounded by a matrix of polymer A. For ratios of the two blocks between about 60:40 and 80:20, the diblock copolymer assembles into a periodic hexagonal close-packed or honeycomb array of cylinders of polymer B within a matrix of polymer A. For ratios between about 50:50 and 60:40, lamellar domains or alternating stripes of the blocks are formed. Domain size typically ranges from 5-50 nm.


Many applications of the self-assembly of block copolymers (BCPs) to lithography require that the self-assembled domains orient perpendicular to the substrate with both domains wetting and exposed at the air interface. With selective removal of one of the polymer blocks to form an etch mask, the perpendicularly oriented void structures can then be used for etching the underlying substrate.


Conventional thermal annealing of most BCPs (e.g., PS-b-PVP, etc.) in air or vacuum will typically result in one block preferentially wetting the air vapor interface. A variant of thermal annealing called zone annealing, can provide rapid self-assembly (e.g., on the order of minutes) but is only effective for a small number of BCPs (e.g., PS-b-PMMA, PS-b-PLA) with polymer domains that equally wet the air vapor interface. Solvent annealing of BCPs has been used to produce a perpendicular orientation of the self-assembled domains to the substrate, but is generally a very slow process, typically on the order of days, and can require large volumes of the solvent. A typical solvent anneal is conducted by exposing a BCP film to a saturated solvent atmosphere at 25° C. for at least 12 hours (often longer).


It would be useful to provide methods of fabricating films of arrays of ordered nanostructures that overcome these problems.





BRIEF DESCRIPTION OF THE DRAWINGS

Embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts.



FIG. 1 illustrates a diagrammatic top plan view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure, showing the substrate with a neutral wetting material thereon. FIGS. 1A and 1B are elevational, cross-sectional views of the substrate depicted in FIG. 1 taken along lines 1A-1A and 1B-1B, respectively.



FIG. 2 illustrates a diagrammatic top plan view of the substrate of FIG. 1 at a subsequent stage showing the formation of trenches in a material layer formed on the neutral wetting material. FIGS. 2A and 2B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 2 taken, respectively, along lines 2A-2A and 2B-2B.



FIG. 3 illustrates a side elevational view of a portion of a substrate at a preliminary processing stage according to another embodiment of the disclosure, showing the substrate with trenches in a material layer formed on the substrate.



FIG. 4 illustrates a side elevational view of the substrate of FIG. 3 at a subsequent stage showing the formation of a neutral wetting material within the trenches.



FIG. 5 is a diagrammatic top plan view of the substrate of FIG. 2 at a subsequent stage showing a block copolymer material within the trenches. FIGS. 5A and 5B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 5 taken along lines 5A-5A and 5B-5B, respectively.



FIGS. 6-8 are diagrammatic top plan views of the substrate of FIG. 5 at subsequent stages showing annealing of a portion of the film according to an embodiment of the invention by a zoned annealing technique. FIGS. 6A-8A illustrate elevational, cross-sectional views of the substrate depicted in FIGS. 6-8 taken along lines 6A-6A, 7A-7A and 8A-8A, respectively, showing an embodiment of a heating device for zone annealing the film. FIG. 6B is an elevational, cross-sectional view of the substrate depicted in FIG. 6 taken along lines 6B-6B.



FIG. 9 is a top plan view of the substrate of FIG. 5 at a subsequent stage according to another embodiment of a method of the invention, illustrating placement of a non-preferential wetting material over the block copolymer material during an anneal. FIGS. 9A and 9B are elevational, cross-sectional views of the substrate depicted in FIG. 9 taken along lines 9A-9A and 9B-9B, respectively.



FIGS. 10A and 10B are cross-sectional views of the substrate shown in FIGS. 9A and 9B, respectively, at a subsequent stage showing the annealed, self-assembled block copolymer material, and removal of the non-preferential wetting material after the anneal.



FIGS. 11-13 are top plan views of the substrate of FIG. 8 at subsequent stages, illustrating an embodiment of the use of the self-assembled block copolymer film after removal of one of the polymer blocks, as a mask to etch the substrate and filling of the etched openings.



FIGS. 11A-13A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 11-13 taken along lines 11A-11A to 13A-13A, respectively.



FIGS. 11B-13B are cross-sectional views of the substrate depicted in FIGS. 11-13 taken along lines 11B-11B to 13B-13B, respectively.





DETAILED DESCRIPTION OF THE INVENTION

The following description with reference to the drawings provides illustrative examples of devices and methods according to embodiments of the invention. Such description is for illustrative purposes only and not for purposes of limiting the same.


In the context of the current application, the terms “semiconductor substrate,” or “semiconductive substrate,” or “semiconductive wafer fragment,” or “wafer fragment,” or “wafer,” will be understood to mean any construction comprising semiconductor material including, but not limited to, bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.


“Lo” as used herein is the inherent periodicity or pitch value (bulk period or repeat unit) of structures that self-assemble upon annealing from a self-assembling (SA) block copolymer. “LB” as used herein is the periodicity or pitch value of a blend of a block copolymer with one or more of its constituent homopolymers. “L” is used herein to indicate the center-to-center cylinder pitch or spacing of cylinders of the block copolymer or blend, and is equivalent to “Lo” for a pure block copolymer and “LB” for a copolymer blend.


In embodiments of the invention, a polymer material (e.g., film, layer) is prepared by guided self-assembly of block copolymers, with both polymer domains at the air interface. The block copolymer material spontaneously assembles into periodic structures by microphase separation of the constituent polymer blocks after annealing, forming ordered domains of perpendicular-oriented cylinders at nanometer-scale dimensions within a trench.


A method for fabricating a self-assembled block copolymer material that defines a one-dimensional (1D) array of nanometer-scale, perpendicular-oriented cylinders according to an embodiment of the invention is illustrated with reference to FIGS. 1-8.


The described embodiment involves a thermal anneal of a cylindrical-phase block copolymer under a solvent atmosphere. The anneal is conducted in combination with a graphoepitaxy technique that utilizes a lithographically defined trench as a guide with a floor composed of a material that is neutral wetting to both polymer blocks, and sidewalls and ends that are preferential wetting to one polymer block and function as constraints to induce the block copolymer to self-assemble into an ordered 1D array of a single row of cylinders in a polymer matrix oriented perpendicular to the trench floor and registered to the trench sidewalls. In some embodiments, two or more rows of perpendicular-oriented cylinders can be formed in each trench.


As depicted in FIGS. 1-1B, a substrate 10 is provided, which can be silicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, among other materials. As further depicted, conductive lines 12 (or other active area, e.g., semiconducting regions) are situated within the substrate 10.


In any of the described embodiments, a single trench or multiple trenches can be formed in the substrate, and can span the entire width of an array of lines (or other active area). In embodiments of the invention, the substrate 10 is provided with an array of conductive lines 12 (or other active areas) at a pitch of L. The trench or trenches are formed over the active areas 12 (e.g., conductive lines) such that when the block copolymer material is annealed, each cylinder will be situated above a single active area 12 (e.g., a conductive line). In some embodiments, multiple trenches 18 are formed with the ends 24 of each adjacent trench 18 aligned or slightly offset from each other at less than 5% of L such that cylinders in adjacent trenches 18 are aligned and situated above the same conductive line 12.


In the illustrated embodiment, a neutral wetting material 14 (e.g., random copolymer) has been formed over the substrate 10. A material layer 16 (or one or more material layers) can then be formed over the neutral wetting material 14 and etched to form trenches 18 that are oriented perpendicular to the array of conductive lines 12, as shown in FIGS. 2-2B. Portions of the material layer 16 form a spacer 20 outside and between the trenches. The trenches 18 are structured with opposing sidewalls 22, opposing ends 24, a floor 26, a width (wt), a length (lt) and a depth (Dt).


In another embodiment, the material layer 16′ can be formed on the substrate 10′, etched to form the trenches 18′ as depicted in FIG. 3, and a neutral wetting material 14′ can then be formed on the trench floors 26′ as shown in FIG. 4. For example, a random copolymer material can be deposited into the trenches 18′ and crosslinked to form a neutral wetting material layer. Material on surfaces outside the trenches 18′ such as on the spacers 20′ (e.g., non-crosslinked random copolymer) can be subsequently removed.


Single or multiple trenches 18 (as shown) can be formed using a lithographic tool having an exposure system capable of patterning at the scale of L (10-100 nm). Such exposure systems include, for example, extreme ultraviolet (EUV) lithography, proximity X-rays and electron beam (E-beam) lithography, as known and used in the art. Conventional photolithography can attain (at smallest) about 58 nm features.


A method called “pitch doubling” or “pitch multiplication” can also be used for extending the capabilities of photolithographic techniques beyond their minimum pitch, as described, for example, in U.S. Pat. No. 5,328,810 (Lowrey et al.), U.S. Pat. No. 7,115,525 (Abatchev, et al.), U.S. Patent Publication 2006/0281266 (U.S. Pat. No. 7,396,781, issued Jul. 8, 2008, to Wells) and U.S. Patent Publication 2007/0023805 (U.S. Pat. No. 7,776,715, issued Aug. 17, 2010, to Wells). Briefly, a pattern of lines is photolithographically formed in a photoresist material overlying a layer of an expendable material, which in turn overlies a substrate, the expendable material layer is etched to form placeholders or mandrels, the photoresist is stripped, spacers are formed on the sides of the mandrels, and the mandrels are then removed, leaving behind the spacers as a mask for patterning the substrate. Thus, where the initial photolithography formed a pattern defining one feature and one space, the same width now defines two features and two spaces, with the spaces defined by the spacers. As a result, the smallest feature size possible with a photolithographic technique is effectively decreased down to about 30 nm or less.


Factors in forming a single 1D array or layer of perpendicular-oriented nanocylinders within the trenches include the width (wt) and depth (Dt) of the trench, the formulation of the block copolymer or blend to achieve the desired pitch (L), and the thickness (t) of the block copolymer material within the trench.


There is a shift from two rows to one row of the perpendicular cylinders within the center of the trench 18 as the width (wt) of the trench 18 is decreased and/or the periodicity (L value) of the block copolymer is increased, for example, by forming a ternary blend by the addition of both constituent homopolymers. The boundary conditions of the trench sidewalls 22 in both the x- and y-axis impose a structure wherein each trench 18 contains “n” number of features (e.g., cylinders). For example, a block copolymer or blend having a pitch or L value of 35-nm deposited into a 75-nm wide trench 18 having a neutral wetting floor will, upon annealing, result in a zigzag pattern of 17.5-nm diameter (˜0.5*L) perpendicular cylinders that are offset by about one-half the pitch distance (about 0.5*L) for the length (lt) of the trench 18, rather than a single line row of perpendicular cylinders aligned with the sidewalls 22 down the center of the trench 18.


In the illustrated embodiment, the trenches 18 are constructed with a width (wt) of about 1.5-2*L (or 1.5-2×the pitch value) of the block copolymer such that a cast block copolymer material (or blend) of about L will self-assemble upon annealing into a single row of perpendicular cylinders (diameter˜0.5*L) with a center-to-center pitch distance (p) of adjacent cylinders at or about L. For example, in using a cylindrical phase block copolymer with an about 50 nm pitch value or L, the width (wt) of the trenches 18 can be about 1.5-2*50 nm or about 75-100 nm. The length (lt) of the trenches 18 is at or about n*L or an integer multiple of L, typically within a range of about n*10 to about n*100 nm (with n being the number of features or structures, e.g., cylinders). The depth (Dt) of the trenches 18 is greater than or equal to L (Dt>L). The width of the spacers 20 between adjacent trenches can vary and is generally about L to about n*L. In some embodiments, the trench dimension is about 20-100 nm wide (wt) and about 100-25,000 nm in length (lt), with a depth (Dt) of about 10-100 nm.


A self-assembling, cylindrical-phase block copolymer material 28 having an inherent pitch at or about Lo (or a ternary blend of block copolymer and homopolymers blended to have a pitch at or about LB) is deposited into the trenches 18, typically as a film (as in FIGS. 5-5B).


The block copolymer (or blend) is constructed such that all of the polymer blocks will have equal preference for a neutral wetting material on the trench floor. The block copolymer material can be constructed to provide desired properties such as defect tolerance and ease of development and/or removal of one of the blocks. In some embodiments of the invention, the block copolymer or blend is constructed such that the minor domain can be selectively removed.


Examples of diblock copolymers include, for example, poly(styrene)-b-poly(vinylpyridine) (PS-b-PVP), poly(styrene)-b-poly(methyl methacrylate) (PS-b-PMMA) or other PS-b-poly(acrylate) or PS-b-poly(methacrylate), poly(styrene)-b-poly(lactide) (PS-b-PLA), poly(styrene)-b-poly(tert-butyl acrylate) (PS-b-PtBA), and poly(styrene)-b-poly(ethylene-co-butylene (PS-b-(PS-co-PB)), poly(styrene)-b-poly(ethylene oxide) (PS-b-PEO), poly(isoprene)-b-poly(ethyleneoxide) (PI-b-PEO), poly(isoprene)-b-poly(methyl methacrylate) (PI-b-PMMA), poly(butadiene)-b-poly(ethyleneoxide) (PBD-b-PEO), a PS-b-PEO block copolymer having a cleavable junction such as a triphenylmethyl (trityl) ether linkage between PS and PEO blocks (optionally complexed with a dilute concentration (e.g., about 1 wt %) of a salt such as KCl, KI, LiCl, LiI, CsCl or CsI) (Zhang et al., Adv. Mater. 2007, 19, 1571-1576), a PS-b-PMMA block copolymer doped with PEO-coated gold nanoparticles of a size less than the diameter of the self-assembled cylinders (Park et al., Macromolecules, 2007, 40(11), 8119-8124), and a poly(styrene)-b-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer having a cleavable junction such as a dithiol group, among others, with PS-b-PVP used in the illustrated embodiment. Other types of block copolymers (i.e., triblock or multiblock copolymers) can be used. Examples of triblock copolymers include ABC copolymers such as poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO), and ABA copolymers, such as PS-PMMA-PS, PMMA-PS-PMMA, and PS-b-PI-b-PS, among others.


The film morphology, including the domain sizes and periods (Lo) of the microphase-separated domains, can be controlled by chain length of a block copolymer (molecular weight, MW) and volume fraction of the AB blocks of a diblock copolymer to produce cylindrical morphologies (among others). For example, for volume fractions at ratios of the two blocks generally between about 60:40 and 80:20 (A:B), the diblock copolymer will microphase separate and self-assemble into periodic cylindrical domains of polymer B within a matrix of polymer A. An example of a cylinder-forming PS-b-PVP copolymer material (Lo˜28 nm) to form about 14 nm diameter cylindrical PVP domains in a matrix of PS is composed of about 70 wt % PS and 30 wt % PVP with a total molecular weight (Mn) of 44.5 kg/mol. An example of a cylinder-forming PS-b-PMMA copolymer material (Lo=35 nm) to form about 20 nm diameter cylindrical PMMA domains in a matrix of PS is composed of about 70 wt % PS and 30 wt % PMMA with a total molecular weight (Mn) of 67 kg/mol. As another example, a PS-b-PLA copolymer material (L=49 nm) can be composed of about 71 wt % PS and 29 wt % PLA with a total molecular weight (Mn) of about 60.5 kg/mol to form about 27 nm diameter cylindrical PLA domains in a matrix of PS.


The L value of the block copolymer can be modified, for example, by adjusting the molecular weight of the block copolymer. The block copolymer material can also be formulated as a binary or ternary blend comprising a block copolymer and one or more homopolymers (HPs) of the same type of polymers as the polymer blocks in the block copolymer, to produce a blend that will swell the size of the polymer domains and increase the L value. The concentration of homopolymers in the blend can range from 0 to about 60 wt %.


An example of a ternary diblock copolymer blend is a PS-b-P2VP/PS/P2VP blend, for example, 60 wt % of 32.5 K/12 K PS-b-P2VP, 20 wt % of 10 K PS, and 20 wt % of 10 K P2VP. Another example of a ternary diblock copolymer blend is a PS-b-PMMA/PS/PMMA blend, for example, 60 wt % of 46K/21K PS-b-PMMA, 20 wt % of 20K polystyrene and 20 wt % of 20K poly(methyl methacrylate). Yet another example is a blend of 60:20:20 (wt %) of PS-b-PEO/PS/PEO, or a blend of about 85-90 wt % PS-b-PEO and up to 10-15 wt % PEO; it is believed that the added PEO homopolymer may function, at least in part, to lower the surface energy of the PEO domains to that of PS.


In the present embodiment, the trench floors 26 are structured to be neutral wetting (equal affinity for both blocks of the copolymer) to induce formation of cylindrical polymer domains that are oriented perpendicular to the trench floors 26, and the trench sidewalls 22 and ends 24 are structured to be preferential wetting by one block of the block copolymer to induce registration of the cylinders to the sidewalls 22 as the polymer blocks self-assemble. In response to the wetting properties of the trench surfaces, upon annealing, the preferred or minority block of the cylindrical-phase block copolymer will self-assemble to form a single row of cylindrical domains in the center of a polymer matrix for the length of the trench and segregate to the sidewalls and edges of the trench to form a thin interface brush or wetting layer (e.g., as in FIGS. 6-6B). Entropic forces drive the wetting of a neutral wetting surface by both blocks, and enthalpic forces drive the wetting of a preferential-wetting surface by the preferred block (e.g., the minority block).


To provide preferential wetting surfaces, for example, in the use of a PS-b-PVP (or PS-b-PMMA, etc.) block copolymer, the material layer 16 can be composed of silicon (with native oxide), oxide (e.g., silicon oxide, SiOx), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as methacrylate-based resists and polydimethylglutarimide resists, among other materials, which exhibit preferential wetting toward the PVP (or PMMA, etc.) block. In the use of a PS-b-PVP cylinder-phase block copolymer material, for example, the block copolymer material will self-assemble to form a thin interface layer and cylinders of PVP in a PS matrix.


In other embodiments, a preferential wetting material such as a polymethyl methacrylate (PMMA) polymer modified with an —OH containing moiety (e.g., hydroxyethylmethacrylate) can be applied onto the surfaces of the trenches, for example, by spin-coating and then heating (e.g., to about 170° C.) to allow the terminal OH groups to end-graft to oxide sidewalls 22 and ends 24 of the trenches 18. Non-grafted material can be removed by rinsing with an appropriate solvent (e.g., toluene). See, for example, Mansky et al., Science, 1997, 275, 1458-1460, and In et al., Langmuir, 2006, 22, 7855-7860.


A neutral wetting trench floor 26 allows both blocks of the copolymer material to wet the floor 26 of the trench 18. A neutral wetting material 14 can be provided by applying a neutral wetting polymer (e.g., a neutral wetting random copolymer) onto the substrate 10, forming the material layer 16 and then etching the trenches 18 to expose the underlying neutral wetting material, as illustrated in FIGS. 2-2B.


In another embodiment illustrated in FIGS. 3 and 4, a neutral wetting random copolymer material can be applied after forming the trenches 18′, for example, as a blanket coat by casting or spin-coating into the trenches 18′, as depicted in FIG. 4. The random copolymer material can then be thermally processed to flow the material into the bottom of the trenches 18′ by capillary action, which results in a layer (e.g., mat)16′ composed of the cross-linked, neutral wetting random copolymer. In another embodiment, the random copolymer material within the trenches 18′ can be photo-exposed (e.g., through a mask or reticle) to crosslink the random copolymer within the trenches 18′ to form the neutral wetting material 14′. Non-cross-linked random copolymer material outside the trenches (e.g., on the spacers 20′) can be subsequently removed.


Neutral wetting surfaces can be specifically prepared by the application of random copolymers composed of monomers identical to those in the block copolymer and tailored such that the mole fraction of each monomer is appropriate to form a neutral wetting surface. For example, in the use of a PS-b-PVP block copolymer, a neutral wetting material 14 can be formed from a thin film of a photo-crosslinkable random PS-r-PVP that exhibits non-preferential or neutral wetting toward PS and PVP, which can be cast onto the substrate 10 (e.g., by spin-coating). The random copolymer material can be fixed in place by chemical grafting (on an oxide substrate) or by thermally or photolytically crosslinking (any surface) to form a mat that is neutral wetting to PS and PVP and insoluble when the block copolymer material is cast onto it, due to the crosslinking. In another example, in the use of PS-b-PMMA, a photo-cross-linkable PS-r-PMMA random copolymer (e.g., containing an about 0.6 mole fraction of styrene) can be used.


In embodiments in which the substrate 10 is silicon (with native oxide), another neutral wetting surface for PS-b-PMMA can be provided by hydrogen-terminated silicon. The floors 26 of the trenches 18 can be etched, for example, with a hydrogen plasma, to remove the oxide material and form hydrogen-terminated silicon, which is neutral wetting with equal affinity for both blocks of a block copolymer material. H-terminated silicon can be prepared by a conventional process, for example, by a fluoride ion etch of a silicon substrate (with native oxide present, about 12-15 Å) by exposure to an aqueous solution of hydrogen fluoride (HF) and buffered HF or ammonium fluoride (NH4F), by HF vapor treatment, or by a hydrogen plasma treatment (e.g., atomic hydrogen).


An H-terminated silicon substrate can be further processed by grafting a random copolymer such as PS-r-PVP, PS-r-PMMA, etc. selectively onto the substrate resulting in a neutral wetting surface for the corresponding block copolymer (e.g., PS-b-PVP, PS-b-PMMA, etc.). For example, a neutral wetting layer of a PS-r-PMMA random copolymer can be provided by an in situ free radical polymerization of styrene and methyl methacrylate using a di-olefinic linker such as divinyl benzene, which links the polymer to the surface to produce about a 10-15 nm thick film.


Referring again to FIGS. 3 and 4, in another embodiment, a neutral wetting random copolymer material 14′ can be applied after formation of the material layer 16′ and trenches 18′, which reacts selectively with the trench floor 26′ (composed of the substrate 10′ material) and not the trench sidewalls 22′ or ends 24′ (composed of the material layer 16′). For example, a random copolymer (or appropriate blend of homopolymers with block copolymer surfactant) containing epoxide groups will react selectively to terminal amine functional groups (e.g., —NH— and —NH2) on silicon nitride and silicon oxynitride surfaces relative to silicon oxide or silicon. In another example in which the trench floor 26′ is silicon or polysilicon and the sidewalls 22′ are a material such as an oxide (e.g., SiOx), the trench floor 26′ can be treated to form H-terminated silicon and a random copolymer material 14′ (e.g., PS-r-PVP, PS-r-PMMA, etc.) can be formed in situ only at the floor surface.


In another embodiment, a neutral wetting surface (e.g., for PS-b-PMMA and PS-b-PEO) can be provided by grafting a self-assembled monolayer (SAM) of a trichlorosilane-base SAM such as 3-(para-methoxyphenyl)propyltrichorosilane grafted to oxide (e.g., SiO2) as described, for example, by D. H. Park, Nanotechnology 18 (2007), p. 355304.


In a further embodiment, a neutral wetting random copolymer of polystyrene (PS), polymethacrylate (PMMA) with hydroxyl group(s) (e.g., 2-hydroxyethyl methacrylate (P(S-r-MMA-r-HEMA)) (e.g., about 58 wt % PS)) can be can be selectively grafted to a substrate 10 (e.g., an oxide) as a neutral wetting material 14 about 5-10 nm thick by heating at about 160° C. for about 48 hours. See, for example, In et al., Langmuir, 2006, 22, 7855-7860.


In yet another embodiment, a blend of hydroxyl-terminated homopolymers and a corresponding low molecular weight block copolymer can be grafted (covalently bonded) to the substrate to form a neutral wetting interface layer (e.g., about 4-5 nm) for PS-b-PMMA and PS-b-P2VP, among other block copolymers. The block copolymer can function to emulsify the homopolymer blend before grafting. For example, an about 1 wt % solution (e.g., in toluene) of a blend of about 20-50 wt % (or about 30-40 wt %) OH-terminated homopolymers (e.g., Mn=6K) and about 80-50 wt % (or about 70-60 wt %) of a low molecular weight block copolymer (e.g., 5K-5K) can be spin-coated onto a substrate 10 (e.g., SiO2), heated (baked) (e.g., at 160° C.), and non-grafted (unbonded) polymer material removed, for example, by a solvent rinse (e.g., toluene). For example, the neutral wetting material can be prepared from a blend of about 30 wt % PS-OH (Mn=6K) and PMMA-OH (Mn=6K) (weight ratio of 4:6) and about 70 wt % PS-b-PMMA (5K-5K), or a ternary blend of PS-OH (6K), P2VP-OH (6K) and PS-b-2PVP (8K-8K), etc.


A surface that is neutral wetting to PS-b-PMMA can also be prepared by spin-coating a blanket layer of a photo- or thermally cross-linkable random copolymer such as a benzocyclobutene- or azidomethylstyrene-functionalized random copolymer of styrene and methyl methacrylate (e.g., poly(styrene-r-benzocyclobutene-r-methyl methacrylate (PS-r-PMMA-r-BCB)). For example, such a random copolymer can comprise about 42 wt % PMMA, about (58-x) wt % PS and x wt % (e.g., about 2-3 wt %) of either polybenzocyclobutene or poly(para-azidomethylstyrene)). An azidomethylstyrene-functionalized random copolymer can be UV photo-crosslinked (e.g., 1-5 MW/cm2 exposure for about 15 seconds to about 30 minutes) or thermally crosslinked (e.g., at about 170° C. for about 4 hours) to form a crosslinked polymer mat as a neutral wetting material 14. A benzocyclobutene-functionalized random copolymer can be thermally crosslinked (e.g., at about 200° C. for about 4 hours or at about 250° C. for about 10 minutes).


As illustrated in FIGS. 5-5B, a self-assembling, cylindrical-phase block copolymer material 28 having an inherent pitch at or about Lo (or a ternary blend of block copolymer and homopolymers blended to have a pitch at or about LB) can be cast or deposited into the trenches 18 to a thickness (t1) at or about the L value of the block copolymer material 28 (e.g., about ±20% of L) such that after annealing (e.g., FIG. 6A), the thickness (t2) will be at or about the L value and the block copolymer material 28 will self-assemble to form a single layer of cylinders having a diameter of about 0.5*L (e.g., 5-50 nm, or about 20 nm, for example) within a polymer matrix in a single row within each trench 18. The thickness of the block copolymer material 28 can be measured, for example, by ellipsometry techniques.


The block copolymer material 28 can be deposited by spin-casting (spin-coating) from a dilute solution (e.g., about 0.25-2 wt % solution) of the copolymer in an organic solvent such as dichloroethane (CH2Cl2) or toluene, for example. Capillary forces pull excess block copolymer material 28 (e.g., greater than a monolayer) into the trenches 18. As shown, a thin layer or film 28a of the block copolymer material 28 can be deposited onto the material layer 16 outside the trenches 18, e.g., on the spacers 20. Upon annealing, the thin film 28a will flow into the trenches 18 leaving a structureless brush layer on the material layer 16 from a top-down perspective.


The block copolymer (BCP) material 28 is then heated above its glass transition temperature under a vapor phase containing a partly saturated concentration of an organic solvent to cause the polymer blocks to phase separate and self-assemble according to the preferential and neutral wetting of the trench surfaces to form a self-assembled block copolymer material 30, as illustrated in FIGS. 6-6B. The appropriate partial pressure of solvent vapor to achieve a neutral wetting vapor interface at a particular temperature depends, at least in part, on the block copolymer that is used and can be determined empirically.


The block copolymer is heated at a thermal anneal temperature that is above its glass transition temperature (Tg) but below the decomposition or degradation temperature (Td) of the block copolymer material. For example, a PS-b-PVP block copolymer material can be annealed at a temperature of about 150° C.−275° C. in a solvent vapor atmosphere for about 1-24 hours to achieve a self-assembled morphology. A PS-b-PMMA block copolymer material can be annealed at a temperature of about 150° C.−275° C. in a solvent vapor atmosphere for about 1-24 hours to achieve a self-assembled morphology.


In most applications of a thermal anneal in a vacuum, an air interface is preferentially wetting to one of the polymer domains and the BCP material does not orient into perpendicular structures. In embodiments of the invention, during heating, the BCP material 28 is exposed to solvent vapors of a “good” solvent for both blocks, that is, a neutral organic solvent that solvates both the constituent blocks well.


In general, solvent annealing consists of two phases. In a first phase, the BCP material is exposed to a solvent vapor that acts to plasticize the film and increase chain mobility causing the domains to intermingle and the loss of order inherent from casting the polymer material. The organic solvent that is utilized is based at least in part on its solubility in the block copolymer material such that sufficient solvent molecules enter the block copolymer material to promote the order-disorder transition of the polymer domains and enable the required molecular rearrangement. Examples of solvents include aromatic solvents such as benzene, toluene, xylene, dimethoxyethane, ethyl acetate, cyclohexanone, etc., and chlorinated solvents such as chloroform, methylene chloride, a chloroform/octane mixture, etc., among others. In a second phase, the substrate is removed from the solvent vapor and the solvent and solvent vapors are allowed to diffuse out of the polymer material and evaporate. The block copolymer material begins to “dry” as the solvent evaporates from the material. The evaporation of the solvent is highly directional and forms a solvent gradient from the “top” (surface) of the BCP material to the “bottom” of the BCP material at the trench floor that induces orientation and self-assembly of structures starting at the air-surface interface, which is neutral wetting due to the partial pressure of solvent at the interface, and driven downward to the floor of the trench, with formation of perpendicular-oriented cylindrical domains 34 guided by the trench sidewalls and extending completely from the air interface to the substrate surface (trench floor).


In embodiments of the invention, the substrate 10 and BCP material 28 are heated above the boiling point of the solvent such that swelling of the BCP material 28 by the solvent is disallowed.


The use of a partly-saturated solvent vapor phase above the block copolymer material 28 provides a neutral wetting interface, similar to the second phase of solvent annealing. The concentration of solvent in the air immediate at the vapor interface with the BCP material 28 is maintained at or under saturation to maintain a neutral wetting interface such that both (or all) polymer blocks will equally wet the vapor interface. As both the air and trench floor 26 are neutral wetting, the domains will orient perpendicular throughout the film layer, with the preferential wetting sidewalls inducing lateral order.


The resulting morphology of the annealed, block copolymer material 30 (e.g., perpendicular orientation of cylinders 34) can be examined, for example, using atomic force microscopy (AFM), transmission electron microscopy (TEM), scanning electron microscopy (SEM), among others.


In embodiments of the invention, the anneal is performed by globally heating the block copolymer within the trenches in a solvent atmosphere.


In other embodiments, a zone annealing is conducted to anneal portions or sections of the block copolymer material 28 in trenches on the substrate 10 by a localized application of thermal energy (e.g., heat). Zone annealing can provide rapid self-assembly of the block copolymer material (e.g., on the order of minutes).


For example, as depicted sequentially in FIGS. 6-8, the substrate 10 (in a vapor atmosphere) and a thermal or heat source 32 (or combined heating and cooling source) can be moved relative to each other (e.g., arrow ←) such that heat is applied above (or underneath) the substrate 10. Only a portion of the BCP material 28 is initially heated above the glass transition temperature and the heated zone is then “pulled” across the substrate 10 (or vice versa). For example, the thermal or heat source 32 can be moved across the substrate 10 at a translational set speed (e.g., about 0.05-10 μm/second using a mechanism such as a motorized translation stage (not shown)). Pulling the heated zone across the substrate 10 (or vice versa) can result in faster processing and better ordered structures relative to a global thermal anneal.


In some embodiments, a hot-to-cold temperature gradient can be provided over (or under) the substrate such that a certain portion of the substrate is heated and then cooled, which can be at a controlled rate. In other embodiments, the substrate can be exposed to a cold-to-hot temperature gradient to anneal the BCP material, followed by cooling.


In other embodiments, the BCP material can be heated above and then cooled below the order-disorder temperature (but above the glass transition temperature), for example, to remove (melt out) defects and allow the material to recrystallize provided that the order-disorder temperature (To-d) is less than the decomposition temperature (Td) of the block copolymer material. The order-disorder temperature is defined by the temperature dependence of the block copolymer, Chi value, the total number of monomers per chain, and the monomer composition.


Only those portions of the block copolymer material that are heated above the glass transition temperature (Tg) of the component polymer blocks will self-assemble, and areas of the material that were not sufficiently heated remain disordered and unassembled. For example, as illustrated in FIGS. 6-6B, initially, the block copolymer material 28 within trench 18a can be heated and annealed to form a self-assembled block copolymer material 30 while the unannealed block copolymer material 28 within trenches 18b, 18c remains disordered. Only those portions of the block copolymer material 28 that are heated above the glass transition temperature (Tg) will self-assemble. A next portion of the substrate 10 can then be selectively heated, as shown in FIGS. 7 and 7A, resulting in the self-assembly of the block copolymer material within trench 18b. A subsequent heating of the remaining areas of the substrate 10 can then be conducted, e.g., as depicted in FIGS. 8 and 8A.


Upon annealing, the cylindrical-phase block copolymer material 28 will self-assemble into a block copolymer material 30 (e.g., film) in response to the character of the block copolymer composition (e.g., PS-b-PVP having an inherent pitch at or about L) and the boundary conditions, including the constraints provided by the width (wt) of the trench 18 and the wetting properties of the trench surfaces including a trench floor 26 that exhibits neutral or non-preferential wetting toward both polymer blocks (e.g., a random graft copolymer), sidewalls 22 that are preferential wetting by the minority (preferred) block of the block copolymer (e.g., the PVP block), and the presence of a neutral or non-preferential solvent (or in some embodiments, a film or material that is neutral or non-preferential wetting) in contact with the surface of the block copolymer material 28 in the trenches 18. The anneal results in a row (or rows) of perpendicularly oriented cylinders 34 of the minority polymer (preferred) block (e.g., PVP) within a polymer matrix 36 of the majority polymer block (e.g., PS), with the cylinders 34 registered and parallel to the sidewalls 22 of the trenches 18. The diameter of the cylinders 34 will generally be at or about 0.5*L (e.g., about one-half of the center-to-center distance between cylinders). In addition, the minority (preferred) block (e.g., PVP) will segregate to and wet the preferential wetting sidewalls 22 and ends 24 of the trenches 18 to form a thin interface or wetting brush layer 34a having a thickness generally about one-fourth of the center-to-center distance between adjacent cylinders 34. For example, a layer of the PVP block will wet oxide interfaces with attached PS domains directed outward from the oxide material.


In some embodiments, the self-assembled block copolymer material 30 is defined by a single layer of an array of cylindrical domains (cylinders) 34, each with a diameter at or about 0.5*L (e.g., about one-half of the center-to-center distance between cylinders), with the number (n) of cylinders in the row according to the length (4) of the trench, and the center-to-center distance (pitch distance, p) between each cylinder at or about L.


Optionally, after the block copolymer material is annealed and ordered, the copolymer material can be treated to crosslink the polymer segments (e.g., the PS segments) to fix and enhance the strength of the self-assembled polymer blocks. The polymers can be structured to inherently crosslink (e.g., upon exposure to ultraviolet (UV) radiation, including deep ultraviolet (DUV) radiation), or one of the polymer blocks of the copolymer material can be formulated to contain a crosslinking agent.


Generally, the film 28a outside the trenches 18a, 18b, 18c (e.g., on spacers 20) will not be thick enough to result in self-assembly. Optionally, the unstructured thin film 28a can be removed, as illustrated in FIGS. 8 and 8A, for example, by an etch technique or a planarization process to provide an about uniformly flat surface. For example, the trench regions can be selectively exposed through a reticle (not shown) to crosslink only the annealed and self-assembled block copolymer material 30 within the trenches 18a, 18b, 18c, and a wash can then be applied with an appropriate solvent (e.g., toluene) to remove the non-crosslinked portions of the block copolymer material 28 (e.g., on the spacers 20), leaving the registered self-assembled block copolymer material 30 within the trenches 18a, 18b, 18c and exposing the surface of the material layer 16 above/outside the trenches 18a, 18b, and 18c. In another embodiment, the annealed, block copolymer material 30 can be crosslinked globally, a photoresist material can be applied to pattern and expose the areas of the block copolymer material 28a outside the trench regions, and the exposed portions of the block copolymer material 28a can be removed, for example, by an oxygen (O2) plasma treatment.


Referring to FIG. 9, in another embodiment of a method of the invention, a thermal anneal is conducted while applying a non-preferentially wetting material 37′ to the surface of the block copolymer (BCP) material 28′ in the trenches. In some embodiments, the non-preferentially wetting material 37′ is composed of a solid material, which can be physically placed onto the BCP material 28′, for example, a soft, flexible or rubbery solid material such as a cross-linked, poly(dimethylsiloxane) (PDMS) elastomer (e.g., SYLGARD® 184 by Dow-Corning) or other elastomeric polymer material (e.g., silicones, polyurethanes, etc.), which provides an external surface that is neutral wetting. The solid material can be derivatized (e.g., by grafting a random copolymer) such that it presents a neutral wetting surface.


With the non-preferentially wetting material 37′ in contact with the surface of the block copolymer material 28′, a thermal annealing process is conducted (arrows ↓) FIGS. 9A and 9B) to cause the polymer blocks to phase separate in response to the preferential and neutral wetting of the trench surfaces and the non-preferential (neutral) wetting of the overlying material 37′, and form a self-assembled block copolymer material 30′ as illustrated in FIGS. 10A and 10B.


After annealing, the non-preferentially wetting material 37′ can be removed from contact with the annealed, block copolymer material 30′ (arrow ↑) as depicted in FIG. 10A. A PDMS or other elastomeric material layer can be removed, for example, by lifting or peeling the material from the surface of the annealed, block copolymer material 30′. Additionally, a solvent such as water, alcohols, and the like, which is compatible with and does not dissolve the block copolymer material 30′, can be applied (e.g., by soaking) to permeate and swell the elastomeric material (e.g., PDMS) to enhance physical removal. A dilute fluoride solution (e.g., NH4F, HF, NaF, etc.) can also be applied to etch and dissolve a PDMS material to remove it from the annealed polymer material.


Following self-assembly, the pattern of perpendicular-oriented cylinders 34′ that is formed on the substrate 10′ can then be further processed as desired, for example, to form an etch mask for patterning nanosized features into the underlying substrate 10′ through selective removal of one block of the self-assembled block copolymer. Since the domain sizes and periods (L) involved in this method are determined by the chain length of a block copolymer (MW), resolution can exceed other techniques such as conventional photolithography. Processing costs using the technique is significantly less than extreme ultraviolet (EUV) photolithography, which has comparable resolution.


For example, as illustrated in FIGS. 11-11B, in one embodiment, an etch mask 38 can be formed by selectively removing the cylindrical polymer domains 34 of the self-assembled block copolymer material 30 to produce openings 40 in the polymer matrix 36 (e.g., PS) to expose the underlying substrate 10 at the trench floors 26. For example, the cylindrical domains 34 can be removed by a selective wet etch (e.g., PMMA and PLA by UV exposure/acetic acid development, PLA by aqueous methanol mixture containing sodium hydroxide, PEO by aqueous hydroiodic acid or water, etc.) or by a selective reactive ion etch (ME) process. In embodiments in which the block copolymer includes a cleavable linker group, the film can be exposed to a solvent selective to the minor domain, for example, an alcohol for PVP, water for PEO or PLA, and acetic acid for PMMA, that contains a cleaving agent to remove (e.g., wash out) the minor domain. As depicted in FIGS. 12-12B, the remaining porous polymer (e.g., PS) matrix 36 can then be used as a lithographic template or mask to etch (arrows ↓↓) a series of cylindrical-shaped openings or contact holes 42 in the nanometer size range (e.g., about 10-100 nm) to the conductive lines 12 or other active area (e.g., semiconducting region, etc.) in the underlying substrate 10 (or an underlayer). The openings 42 can be formed, for example, using a selective reactive ion etching (RIE) process.


Further processing can then be performed as desired. For example, as depicted in FIGS. 13-13B, the residual polymer matrix 36 can be removed (e.g., PS by an oxidation process such as a plasma O2 etch) and the openings 42 of substrate 10 can be filled with a material 44 such as a metal or metal alloy such as Cu, Al, W, Si, and Ti3 N4, among others, to form arrays of cylindrical contacts to the conductive lines 12. The cylindrical openings 42 in the substrate 10 can also be filled with a metal-insulator-metal stack to form capacitors with an insulating material such as SiO2, Al2O3, HfO2, ZrO2, SrTiO3, and the like.


Embodiments of the invention utilize a thermal anneal process in combination with solvent annealing, which can provide faster processing than with a solvent anneal alone and expands the types of block copolymers (BCPs) that can be processed to substantially all BCPs. In embodiments using a zone annealing in combination with an organic solvent atmosphere, a wide range of block copolymers can be processed to form perpendicular-oriented nanostructures (e.g., cylinders) and at a rapid rate.


In addition, methods of the disclosure provide a means of generating self-assembled diblock copolymer films composed of perpendicular-oriented cylinders in a polymer matrix. The methods provide ordered and registered elements on a nanometer scale that can be prepared more inexpensively than by electron beam lithography, EUV photolithography or conventional photolithography. The feature sizes produced and accessible by this invention cannot be easily prepared by conventional photolithography. The described methods and systems can be readily employed and incorporated into existing semiconductor manufacturing process flows and provide a low cost, high-throughput technique for fabricating small structures.


Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations that operate according to the principles of the invention as described. Therefore, it is intended that this invention be limited only by the claims and the equivalents thereof. The disclosures of patents, references and publications cited in the application are incorporated by reference herein.

Claims
  • 1. A method of forming a semiconductor device, comprising: annealing a block copolymer material while applying a non-preferentially wetting material to a surface of the block copolymer material to form a self-assembled block copolymer material adjacent to a material, the block copolymer material comprising polymer blocks;crosslinking one polymer block of the self-assembled block copolymer material to form crosslinked portions of the self-assembled block copolymer material;removing non-crosslinked portions of the self-assembled block copolymer material to form openings in the self-assembled block copolymer material; andremoving at least a portion of the material adjacent to the self-assembled block copolymer material through the openings in the self-assembled block copolymer material to form openings in the material adjacent to the self-assembled block copolymer at a diameter of from about 25 nm to about 100 nm.
  • 2. The method of claim 1, further comprising filling the openings in the material adjacent to the self-assembled block copolymer with a fill material.
  • 3. The method of claim 1, further comprising removing the cross-linked portions of the self-assembled block copolymer material.
  • 4. The method of claim 1, further comprising removing the self-assembled block copolymer material.
  • 5. A method of forming a semiconductor device, comprising: forming a block copolymer material within a trench, the trench having a width of from 1.7 times an L value of the block copolymer to about 2 times the L value of the block copolymer;annealing the block copolymer material within the trench and adjacent to a non-preferentially wetting material to self-assemble polymer blocks of the block copolymer material and form a self-assembled block copolymer material comprising cylindrical domains of one polymer block in a matrix of another polymer block adjacent the non-preferentially wetting material;crosslinking the cylindrical domains of the one polymer block of the self-assembled block copolymer material;removing the crosslinked cylindrical domains of the self-assembled block copolymer material to form openings in the self-assembled block copolymer material; andremoving at least a portion of a material underlying the self-assembled block copolymer material through the openings.
  • 6. The method of claim 5, wherein annealing the block copolymer material comprises thermally annealing the block copolymer material comprising a cylindrical-phase block copolymer material.
  • 7. The method of claim 5, wherein crosslinking the cylindrical domains of the one polymer block of the self-assembled block copolymer material comprises exposing the one polymer block to radiation.
  • 8. The method of claim 5, wherein removing at least a portion of a material underlying the self-assembled block copolymer material through the openings comprises forming openings in the underlying material.
  • 9. The method of claim 8, further comprising forming a conductive material in the openings in the underlying material.
  • 10. The method of claim 9, wherein forming a conductive material in the openings in the underlying material comprises forming contacts in the openings in the underlying material.
  • 11. The method of claim 8, further comprising forming capacitors in the openings in the underlying material.
  • 12. The method of claim 11, wherein forming capacitors in the openings in the underlying material comprises forming metal-insulator-metal stacks in the openings.
  • 13. A method of forming a semiconductor device, comprising: forming a block copolymer material within a trench, the trench having a width of from about 1.7 times an L value of the block copolymer to about 2 times the L value of the block copolymer;annealing the block copolymer material within the trench and adjacent to a non-preferentially wetting material to self-assemble polymer blocks of the block copolymer material and form a self-assembled block copolymer material comprising domains of one polymer block in a matrix of another polymer block adjacent the non-preferentially wetting material;removing the domains of the one polymer block to form openings in the self-assembled block copolymer material;removing a portion of a material underlying the self-assembled block copolymer material through the openings; andforming a material in openings in the material underlying the self-assembled block copolymer material.
  • 14. The method of claim 13, wherein annealing the block copolymer material comprises thermally annealing the block copolymer material.
  • 15. The method of claim 13, wherein annealing the block copolymer material comprises solvent annealing the block copolymer material.
  • 16. The method of claim 13, wherein annealing the block copolymer material comprises forming cylindrical domains of the one polymer block in the matrix of the another polymer block.
  • 17. The method of claim 13, wherein removing a portion of a material underlying the self-assembled block copolymer material through the openings comprises using the matrix of the another polymer block as a mask to form the openings in the material underlying the self-assembled block material.
  • 18. The method of claim 13, wherein forming a material in openings in the material underlying the self-assembled block copolymer material comprises forming a metal or metal alloy in the openings in the material underlying the self-assembled block copolymer material.
  • 19. The method of claim 18, wherein forming a metal or metal alloy in the openings in the material underlying the self-assembled block copolymer material comprises forming contacts comprising the metal or metal alloy to conductive lines in the material underlying the self-assembled block copolymer material.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. patent application Ser. No. 15/090,144, filed Apr. 4, 2016, now U.S. Pat. No. 10,153,200, issued Dec. 11, 2018, which is a divisional of U.S. patent application Ser. No. 14/104,304, filed Dec. 12, 2013, now U.S. Pat. No. 9,315,609, issued Apr. 19, 2016, which is a divisional of U.S. patent application Ser. No. 13/469,697, filed May 11, 2012, now U.S. Pat. No. 8,633,112, issued Jan. 21, 2014, which is a continuation of U.S. patent application Ser. No. 12/052,956, filed Mar. 21, 2008, now U.S. Pat. No. 8,426,313, issued Apr. 23, 2013, the disclosure of each of which is hereby incorporated herein in its entirety by this reference.

US Referenced Citations (420)
Number Name Date Kind
4623674 Bailey, Jr. Nov 1986 A
4797357 Mura et al. Jan 1989 A
4818713 Feygenson Apr 1989 A
4877647 Klabunde Oct 1989 A
5328810 Lowrey et al. Jul 1994 A
5374367 Edamura et al. Dec 1994 A
5382373 Carlson et al. Jan 1995 A
5482656 Hiraoka et al. Jan 1996 A
5512131 Kumar et al. Apr 1996 A
5538655 Fauteux et al. Jul 1996 A
5580700 Rahman Dec 1996 A
5620850 Bamdad et al. Apr 1997 A
5622668 Thomas et al. Apr 1997 A
5772905 Chou Jun 1998 A
5834583 Hancock et al. Nov 1998 A
5849810 Mueller Dec 1998 A
5866297 Barjesteh et al. Feb 1999 A
5879582 Havelka et al. Mar 1999 A
5879853 Azuma Mar 1999 A
5891356 Inoue et al. Apr 1999 A
5904824 Oh May 1999 A
5925259 Biebuyck et al. Jul 1999 A
5948470 Harrison et al. Sep 1999 A
5958704 Starzl et al. Sep 1999 A
6051869 Pan et al. Apr 2000 A
6111323 Carter et al. Aug 2000 A
6143647 Pan et al. Nov 2000 A
6153495 Kub et al. Nov 2000 A
6207787 Fahey et al. Mar 2001 B1
6251791 Tsai et al. Jun 2001 B1
6270946 Miller Aug 2001 B1
6309580 Chou Oct 2001 B1
6310138 Yonezawa et al. Oct 2001 B1
6312971 Amundson et al. Nov 2001 B1
6368871 Christel et al. Apr 2002 B1
6403382 Zhu et al. Jun 2002 B1
6414164 Afzali-Ardakani et al. Jul 2002 B1
6423465 Hawker et al. Jul 2002 B1
6423474 Holscher Jul 2002 B1
6503841 Criscuolo et al. Jan 2003 B1
6506660 Holmes et al. Jan 2003 B2
6517933 Soane et al. Feb 2003 B1
6518194 Winningham et al. Feb 2003 B2
6537920 Krivokapic Mar 2003 B1
6548830 Noguchi et al. Apr 2003 B1
6565763 Asakawa et al. May 2003 B1
6565764 Hiraoka et al. May 2003 B2
6566248 Wang et al. May 2003 B1
6569528 Nam et al. May 2003 B2
6573030 Fairbairn et al. Jun 2003 B1
6592764 Stucky et al. Jul 2003 B1
6630520 Bruza et al. Oct 2003 B1
6635912 Ohkubo Oct 2003 B2
6656308 Hougham et al. Dec 2003 B2
6679996 Yao Jan 2004 B1
6682660 Sucholeiki et al. Jan 2004 B2
6689473 Guire et al. Feb 2004 B2
6699797 Morris et al. Mar 2004 B1
6713238 Chou et al. Mar 2004 B1
6746825 Nealey et al. Jun 2004 B2
6767693 Okoroanyanwu Jul 2004 B1
6780492 Hawker et al. Aug 2004 B2
6781166 Lieber et al. Aug 2004 B2
6797202 Endo et al. Sep 2004 B2
6809210 Chandross et al. Oct 2004 B2
6812132 Ramachandrarao et al. Nov 2004 B2
6825358 Afzali-Ardakani et al. Nov 2004 B2
6884842 Soane et al. Apr 2005 B2
6887332 Kagan et al. May 2005 B1
6890624 Kambe et al. May 2005 B1
6890703 Hawker et al. May 2005 B2
6908861 Sreenivasan et al. Jun 2005 B2
6911400 Colburn et al. Jun 2005 B2
6913697 Lopez et al. Jul 2005 B2
6924341 Mays et al. Aug 2005 B2
6926953 Nealey et al. Aug 2005 B2
6940485 Noolandi Sep 2005 B2
6946332 Loo et al. Sep 2005 B2
6949456 Kumar Sep 2005 B2
6952436 Wirnsberger et al. Oct 2005 B2
6957608 Hubert et al. Oct 2005 B1
6962823 Empedocles et al. Nov 2005 B2
6989426 Hu et al. Jan 2006 B2
6992115 Hawker et al. Jan 2006 B2
6995439 Hill et al. Feb 2006 B1
6998152 Uhlenbrock Feb 2006 B2
7001795 Jiang et al. Feb 2006 B2
7009227 Patrick et al. Mar 2006 B2
7030495 Colburn et al. Apr 2006 B2
7037738 Sugiyama et al. May 2006 B2
7037744 Colburn et al. May 2006 B2
7045851 Black et al. May 2006 B2
7056455 Matyjaszewski et al. Jun 2006 B2
7056849 Wan et al. Jun 2006 B2
7060774 Sparrowe et al. Jun 2006 B2
7066801 Balijepalli et al. Jun 2006 B2
7077992 Sreenivasan et al. Jul 2006 B2
7087267 Breen et al. Aug 2006 B2
7090784 Asakawa et al. Aug 2006 B2
7112617 Kim et al. Sep 2006 B2
7115305 Bronikowski et al. Oct 2006 B2
7115525 Abatchev et al. Oct 2006 B2
7115995 Wong Oct 2006 B2
7118784 Xie Oct 2006 B1
7119321 Quinlan Oct 2006 B2
7132370 Paraschiv et al. Nov 2006 B2
7135144 Christel et al. Nov 2006 B2
7135241 Ferraris et al. Nov 2006 B2
7135388 Ryu et al. Nov 2006 B2
7135523 Ho et al. Nov 2006 B2
7151209 Empedocles et al. Dec 2006 B2
7163712 Chilkoti et al. Jan 2007 B2
7166304 Harris et al. Jan 2007 B2
7172953 Lieber et al. Feb 2007 B2
7186613 Kirner et al. Mar 2007 B2
7189430 Ajayan et al. Mar 2007 B2
7189435 Tuominen et al. Mar 2007 B2
7190049 Tuominen et al. Mar 2007 B2
7195733 Rogers et al. Mar 2007 B2
7202308 Boussand et al. Apr 2007 B2
7208836 Manning Apr 2007 B2
7252791 Wasserscheid et al. Aug 2007 B2
7259101 Zurcher et al. Aug 2007 B2
7279396 Derderian et al. Oct 2007 B2
7282240 Jackman et al. Oct 2007 B1
7291284 Mirkin et al. Nov 2007 B2
7311943 Jacobson et al. Dec 2007 B2
7326514 Dai et al. Feb 2008 B2
7332370 Chang et al. Feb 2008 B2
7332627 Chandross et al. Feb 2008 B2
7338275 Choi et al. Mar 2008 B2
7347953 Black et al. Mar 2008 B2
7368314 Ufert May 2008 B2
7407887 Guo Aug 2008 B2
7408186 Merkulov et al. Aug 2008 B2
7419772 Watkins et al. Sep 2008 B2
7470954 Lee et al. Dec 2008 B2
7514339 Yang et al. Apr 2009 B2
7521090 Cheng et al. Apr 2009 B1
7553760 Yang et al. Jun 2009 B2
7569855 Lai Aug 2009 B2
7585741 Manning Sep 2009 B2
7592247 Yang et al. Sep 2009 B2
7605081 Yang et al. Oct 2009 B2
7632544 Ho et al. Dec 2009 B2
7655383 Mela et al. Feb 2010 B2
7658773 Pinnow Feb 2010 B2
7700157 Bronikowski et al. Apr 2010 B2
7723009 Sandhu et al. May 2010 B2
7767099 Li et al. Aug 2010 B2
7799416 Chan et al. Sep 2010 B1
7888228 Blanchard Feb 2011 B2
7959975 Millward Jun 2011 B2
7964107 Millward Jun 2011 B2
8039196 Kim et al. Oct 2011 B2
8080615 Millward Dec 2011 B2
8083953 Millward et al. Dec 2011 B2
8083958 Li et al. Dec 2011 B2
8097175 Millward et al. Jan 2012 B2
8101261 Millward et al. Jan 2012 B2
8114300 Millward Feb 2012 B2
8114301 Millward et al. Feb 2012 B2
8114306 Cheng et al. Feb 2012 B2
8206601 Bosworth et al. Jun 2012 B2
8287749 Hasegawa et al. Oct 2012 B2
8294139 Marsh et al. Oct 2012 B2
8372295 Millward Feb 2013 B2
8394483 Millward Mar 2013 B2
8404124 Millward et al. Mar 2013 B2
8409449 Millward et al. Apr 2013 B2
8425982 Regner Apr 2013 B2
8426313 Millward et al. Apr 2013 B2
8445592 Millward May 2013 B2
8455082 Millward Jun 2013 B2
8512846 Millward Aug 2013 B2
8513359 Millward Aug 2013 B2
8518275 Millward et al. Aug 2013 B2
8551808 Marsh et al. Oct 2013 B2
8557128 Millward Oct 2013 B2
8609221 Millward et al. Dec 2013 B2
8633112 Millward et al. Jan 2014 B2
8641914 Regner Feb 2014 B2
8642157 Millward et al. Feb 2014 B2
8669645 Millward et al. Mar 2014 B2
8753738 Millward et al. Jun 2014 B2
8784974 Millward Jul 2014 B2
8785559 Millward Jul 2014 B2
8801894 Millward Aug 2014 B2
8808557 Seino et al. Aug 2014 B1
8900963 Sills et al. Dec 2014 B2
8956713 Millward Feb 2015 B2
8993088 Millward et al. Mar 2015 B2
8999492 Millward et al. Apr 2015 B2
9087699 Millward Jul 2015 B2
9142420 Millward Sep 2015 B2
9177795 Hendricks et al. Nov 2015 B2
9229328 Khurana et al. Jan 2016 B2
9257256 Millward et al. Feb 2016 B2
9276059 Millward et al. Mar 2016 B2
10153200 Millward Dec 2018 B2
20010024768 Matsuo et al. Sep 2001 A1
20010049195 Chooi et al. Dec 2001 A1
20020055239 Tuominen et al. May 2002 A1
20020084429 Craighead et al. Jul 2002 A1
20020158342 Tuominen et al. Oct 2002 A1
20020167117 Chou Nov 2002 A1
20030010241 Fujihira et al. Jan 2003 A1
20030034329 Chou Feb 2003 A1
20030068639 Haneder et al. Apr 2003 A1
20030077452 Guire et al. Apr 2003 A1
20030080471 Chou May 2003 A1
20030080472 Chou May 2003 A1
20030091752 Nealey et al. May 2003 A1
20030100822 Lew et al. May 2003 A1
20030108879 Klaerner et al. Jun 2003 A1
20030143375 Noguchi et al. Jul 2003 A1
20030157248 Watkins et al. Aug 2003 A1
20030178707 Abbott Sep 2003 A1
20030180522 Desimone et al. Sep 2003 A1
20030180966 Abbott et al. Sep 2003 A1
20030185741 Matyjaszewski et al. Oct 2003 A1
20030196748 Hougham et al. Oct 2003 A1
20030218644 Higuchi et al. Nov 2003 A1
20030222048 Asakawa et al. Dec 2003 A1
20030235930 Bao et al. Dec 2003 A1
20040023287 Harnack et al. Feb 2004 A1
20040028875 Van et al. Feb 2004 A1
20040058059 Linford et al. Mar 2004 A1
20040076757 Jacobson et al. Apr 2004 A1
20040084298 Yao et al. May 2004 A1
20040109263 Suda et al. Jun 2004 A1
20040124092 Black et al. Jul 2004 A1
20040125266 Miyauchi et al. Jul 2004 A1
20040127001 Colburn et al. Jul 2004 A1
20040142578 Wiesner et al. Jul 2004 A1
20040159633 Whitesides et al. Aug 2004 A1
20040163758 Kagan et al. Aug 2004 A1
20040175628 Nealey et al. Sep 2004 A1
20040192013 Ryu et al. Sep 2004 A1
20040222415 Chou et al. Nov 2004 A1
20040242688 Chandross et al. Dec 2004 A1
20040254317 Hu et al. Dec 2004 A1
20040256615 Sirringhaus et al. Dec 2004 A1
20040256662 Black et al. Dec 2004 A1
20040265548 Ho et al. Dec 2004 A1
20050008828 Libera et al. Jan 2005 A1
20050062165 Saenger et al. Mar 2005 A1
20050074706 Bristol et al. Apr 2005 A1
20050079486 Abbott et al. Apr 2005 A1
20050100830 Xu et al. May 2005 A1
20050120902 Adams et al. Jun 2005 A1
20050124135 Ayazi et al. Jun 2005 A1
20050133697 Potyrailo et al. Jun 2005 A1
20050147841 Tavkhelidze et al. Jul 2005 A1
20050159293 Wan et al. Jul 2005 A1
20050167651 Merkulov et al. Aug 2005 A1
20050176256 Kudelka Aug 2005 A1
20050208752 Colburn et al. Sep 2005 A1
20050238889 Iwamoto et al. Oct 2005 A1
20050238967 Rogers et al. Oct 2005 A1
20050250053 Marsh et al. Nov 2005 A1
20050271805 Kambe et al. Dec 2005 A1
20050272341 Colburn et al. Dec 2005 A1
20060013956 Angelescu et al. Jan 2006 A1
20060014001 Zhang et al. Jan 2006 A1
20060014083 Carlson Jan 2006 A1
20060024590 Sandhu Feb 2006 A1
20060030495 Gregg, Jr. Feb 2006 A1
20060035387 Wagner et al. Feb 2006 A1
20060038182 Rogers et al. Feb 2006 A1
20060046079 Lee et al. Mar 2006 A1
20060046480 Guo Mar 2006 A1
20060046484 Abatchev et al. Mar 2006 A1
20060060863 Lu et al. Mar 2006 A1
20060062867 Choi et al. Mar 2006 A1
20060078681 Hieda et al. Apr 2006 A1
20060097134 Rhodes May 2006 A1
20060105562 Yi May 2006 A1
20060124467 Ho et al. Jun 2006 A1
20060128165 Theiss et al. Jun 2006 A1
20060134556 Nealey et al. Jun 2006 A1
20060137554 Kron et al. Jun 2006 A1
20060141222 Fischer et al. Jun 2006 A1
20060141245 Stellacci et al. Jun 2006 A1
20060154466 Lee et al. Jul 2006 A1
20060163646 Black et al. Jul 2006 A1
20060192283 Benson Aug 2006 A1
20060205875 Cha et al. Sep 2006 A1
20060211871 Dai et al. Sep 2006 A1
20060217285 Destarac Sep 2006 A1
20060228635 Suleski Oct 2006 A1
20060231525 Asakawa et al. Oct 2006 A1
20060249784 Black et al. Nov 2006 A1
20060249796 Tavkhelidze et al. Nov 2006 A1
20060254440 Choi et al. Nov 2006 A1
20060255505 Sandhu et al. Nov 2006 A1
20060257633 Inoue et al. Nov 2006 A1
20060258159 Colburn et al. Nov 2006 A1
20060278158 Tolbert et al. Dec 2006 A1
20060281266 Wells Dec 2006 A1
20060286297 Bronikowski et al. Dec 2006 A1
20060286305 Thies et al. Dec 2006 A1
20060286490 Sandhu et al. Dec 2006 A1
20060292777 Dunbar Dec 2006 A1
20070020749 Nealey et al. Jan 2007 A1
20070023247 Ulicny et al. Feb 2007 A1
20070023805 Wells et al. Feb 2007 A1
20070045562 Parekh Mar 2007 A1
20070045642 Li Mar 2007 A1
20070071881 Chua et al. Mar 2007 A1
20070072403 Sakata Mar 2007 A1
20070122749 Fu et al. May 2007 A1
20070122932 Kodas et al. May 2007 A1
20070138131 Burdinski Jun 2007 A1
20070161237 Lieber et al. Jul 2007 A1
20070175859 Black et al. Aug 2007 A1
20070181870 Libertino et al. Aug 2007 A1
20070183035 Asakawa et al. Aug 2007 A1
20070194403 Cannon et al. Aug 2007 A1
20070200477 Tuominen et al. Aug 2007 A1
20070208159 McCloskey et al. Sep 2007 A1
20070218202 Ajayan et al. Sep 2007 A1
20070222995 Lu Sep 2007 A1
20070224819 Sandhu Sep 2007 A1
20070224823 Sandhu Sep 2007 A1
20070227383 Decre et al. Oct 2007 A1
20070249117 Kang et al. Oct 2007 A1
20070272951 Lieber et al. Nov 2007 A1
20070281220 Sandhu et al. Dec 2007 A1
20070289943 Lu et al. Dec 2007 A1
20070293041 Yang et al. Dec 2007 A1
20080032238 Lu et al. Feb 2008 A1
20080038467 Jagannathan et al. Feb 2008 A1
20080038923 Edelstein et al. Feb 2008 A1
20080041818 Kihara et al. Feb 2008 A1
20080047930 Blanchet et al. Feb 2008 A1
20080064217 Horii Mar 2008 A1
20080073743 Alizadeh et al. Mar 2008 A1
20080078982 Min et al. Apr 2008 A1
20080078999 Lai Apr 2008 A1
20080083991 Yang et al. Apr 2008 A1
20080085601 Park et al. Apr 2008 A1
20080093743 Yang et al. Apr 2008 A1
20080102252 Black et al. May 2008 A1
20080103256 Kim et al. May 2008 A1
20080113169 Cha et al. May 2008 A1
20080164558 Yang et al. Jul 2008 A1
20080174726 Kim Jul 2008 A1
20080176767 Millward Jul 2008 A1
20080191200 Frisbie et al. Aug 2008 A1
20080193658 Millward Aug 2008 A1
20080217292 Millward et al. Sep 2008 A1
20080233297 De et al. Sep 2008 A1
20080233323 Cheng et al. Sep 2008 A1
20080241218 McMorrow et al. Oct 2008 A1
20080257187 Millward Oct 2008 A1
20080260941 Jin Oct 2008 A1
20080274413 Millward Nov 2008 A1
20080286659 Millward Nov 2008 A1
20080311347 Millward et al. Dec 2008 A1
20080315270 Marsh et al. Dec 2008 A1
20080318005 Millward Dec 2008 A1
20090020924 Lin Jan 2009 A1
20090062470 Millward et al. Mar 2009 A1
20090087664 Nealey et al. Apr 2009 A1
20090148795 Li et al. Jun 2009 A1
20090155579 Greco et al. Jun 2009 A1
20090173920 Kang et al. Jul 2009 A1
20090196488 Nealey et al. Aug 2009 A1
20090200646 Millward et al. Aug 2009 A1
20090206489 Li et al. Aug 2009 A1
20090212016 Cheng et al. Aug 2009 A1
20090218567 Mathew et al. Sep 2009 A1
20090236309 Millward et al. Sep 2009 A1
20090240001 Regner Sep 2009 A1
20090263628 Millward Oct 2009 A1
20090267058 Namdas et al. Oct 2009 A1
20090274887 Millward et al. Nov 2009 A1
20090317540 Sandhu et al. Dec 2009 A1
20100092873 Sills et al. Apr 2010 A1
20100102415 Millward et al. Apr 2010 A1
20100124826 Millward et al. May 2010 A1
20100137496 Millward et al. Jun 2010 A1
20100150510 Sato et al. Jun 2010 A1
20100163180 Millward Jul 2010 A1
20100204402 Millward et al. Aug 2010 A1
20100279062 Millward et al. Nov 2010 A1
20100316849 Millward et al. Dec 2010 A1
20100323096 Sills et al. Dec 2010 A1
20110232515 Millward Sep 2011 A1
20120028471 Oyama et al. Feb 2012 A1
20120076978 Millward et al. Mar 2012 A1
20120122292 Sandhu et al. May 2012 A1
20120133017 Millward et al. May 2012 A1
20120135146 Cheng et al. May 2012 A1
20120135159 Xiao et al. May 2012 A1
20120138570 Millward et al. Jun 2012 A1
20120141741 Millward Jun 2012 A1
20120164389 Yang et al. Jun 2012 A1
20120202017 Nealey et al. Aug 2012 A1
20120211871 Russell et al. Aug 2012 A1
20120223051 Millward Sep 2012 A1
20120223052 Regner Sep 2012 A1
20120223053 Millward et al. Sep 2012 A1
20120225243 Millward Sep 2012 A1
20120263915 Millward Oct 2012 A1
20130004707 Millward Jan 2013 A1
20130011561 Marsh et al. Jan 2013 A1
20130105755 Sills et al. May 2013 A1
20130189492 Millward et al. Jul 2013 A1
20130285214 Millward et al. Oct 2013 A1
20130295323 Millward Nov 2013 A1
20130330668 Wu et al. Dec 2013 A1
20140060736 Millward et al. Mar 2014 A1
20140097520 Millward Apr 2014 A1
20140127626 Senzaki et al. May 2014 A1
20140272723 Somervell et al. Sep 2014 A1
20150021293 Morris et al. Jan 2015 A1
20150091137 Hendricks et al. Apr 2015 A1
20150191034 Millward et al. Jul 2015 A1
Foreign Referenced Citations (65)
Number Date Country
1562730 Jan 2005 CN
1799131 Jul 2006 CN
101013662 Aug 2007 CN
0784543 Jul 1997 EP
1416303 May 2004 EP
1593164 Nov 2005 EP
1906237 Apr 2008 EP
11-080414 Mar 1999 JP
2003-155365 May 2003 JP
2004-335962 Nov 2004 JP
2005-008882 Jan 2005 JP
2005-029779 Feb 2005 JP
2006-036923 Feb 2006 JP
2006-055982 Mar 2006 JP
2006-110434 Apr 2006 JP
2007-194175 Aug 2007 JP
2008-036491 Feb 2008 JP
2008-043873 Feb 2008 JP
10-2006-0128378 Dec 2006 KR
10-2007-0029762 Mar 2007 KR
10-0771886 Nov 2007 KR
200400990 Jan 2004 TW
584670 Apr 2004 TW
200419017 Oct 2004 TW
200511364 Mar 2005 TW
I253456 Apr 2006 TW
I256110 Jun 2006 TW
200633925 Oct 2006 TW
200740602 Nov 2007 TW
200802421 Jan 2008 TW
9007575 Jul 1990 WO
9706013 Feb 1997 WO
9839645 Sep 1998 WO
9947570 Sep 1999 WO
0002090 Jan 2000 WO
0031183 Jun 2000 WO
0218080 Mar 2002 WO
0281372 Oct 2002 WO
0345840 Jun 2003 WO
2005122285 Dec 2005 WO
2006003592 Jan 2006 WO
2006003594 Jan 2006 WO
2006076016 Jul 2006 WO
2006078952 Jul 2006 WO
2006112887 Oct 2006 WO
2007001294 Jan 2007 WO
2007013889 Feb 2007 WO
2007019439 Feb 2007 WO
2007024241 Mar 2007 WO
2007024323 Mar 2007 WO
2007055041 May 2007 WO
2008055137 May 2008 WO
2008091741 Jul 2008 WO
2008096335 Aug 2008 WO
2008097736 Aug 2008 WO
2008118635 Oct 2008 WO
2008124219 Oct 2008 WO
2008130847 Oct 2008 WO
2008145268 Dec 2008 WO
2008156977 Dec 2008 WO
2009099924 Aug 2009 WO
2009102551 Aug 2009 WO
2009117238 Sep 2009 WO
2009117243 Sep 2009 WO
2009134635 Nov 2009 WO
Non-Patent Literature Citations (216)
Entry
Hamers, Passivation and Activation: How Do Monovalent Atoms Modify the Reactivity of Silicon Surfaces? A Perspective on the Article, “The Mechanism of Amine Formation on Si(100) Activated with Chlorine Atoms,” by C.C. Fustad, A.D. Thorsness, and A.J. Muscat, Surface Sci., vol. 600, (2006), pp. 3361-3362.
Hadziioannou, Semiconducting Block Copolymers for Self-Assembled Photovoltaic Devices, MRS Bulletin, (Jun. 2002), pp. 456-460.
Guo, Kai, et al., Abstract of “Synthesis and Characterization of Novel Biodegradable Unsaturated Poly(ester amide)/Poly(ethylene glycol) Diacrylate Hydrogels”, Journal of Polymer Science Part A: Polymer Chemistry, vol. 43, Issue 17, pp. 3932-3944, 2005 Wiley Periodicals, Inc.
Gudipati et al., Hyperbranched Fluoropolymer and Linear Poly(ethylene glycol) Based Amphiphilic Crosslinked Networks as Efficient Antifouling Coatings: An Insight into the Surface Compositions, Topographies, and Morphologies, Journal of Polymer Science Part A: Polymer Chemistry, vol. 42, (2004), pp. 6193-6208.
Guarini et al., Nanoscale Patterning Using Self-Assembled Polymers for Semiconductor Applications, J. Vac. Sci. Technol. B 19(6), (Nov./Dec. 2001), pp. 2784-2788.
Grubbs, Hybrid Metal-Polymer Composites from Functional Block Copolymers, J. of Polymer Sci.: Part A: Polymer Chemistry, vol. 43, Issue 19, (Oct. 1, 2005), pp. 4323-4336.
Gillmor et al., Hydrophilic/Hydrophobic Patterned Surfaces as Templates for DNA Arrays, Langmuir 2000, vol. 16, No. 18, (2000), pp. 7223-7228.
Genua et al., Functional Patterns Obtained by Nanoimprinting Lithography and Subsequent Growth of Polymer Brushes, Nanotechnology, vol. 18, (2007), pp. 1-7.
Gelest Inc., Silane Coupling Agents: Connecting Across Boundaries, pp. 1-56, 2006.
Ge et al., Thermal Conductance of Hydrophilic and Hydrophobic Interfaces, Physical Review Letters, vol. 96, (May 8, 2006), pp. 186101-1-186101-4.
Gates, Nanofabrication with Molds & Stamps, Materials Today, (Feb. 2005), pp. 44-49.
Gates et al., Unconventional Nanofabrication, Annu. Rev. Mater. Res., vol. 34, (2004), pp. 339-372.
Fukunaga et al. “Self-Assembly of Block Copolymer Thin Films Having a Half-Domain-Spacing Thickness: Nonequilibrium Pathways to Achieve Equilibrium Brush Layers Parallel to Substrate,” Macromolecules vol. 39, Aug. 2006; p. 6171-6179.
Fujita et al., Thin Silica Film with a Network Structure as Prepared by Surface Sol-Gel Transcription on the Poly(styrene-b-4-vinylpyridine) Polymer Film, Chemistry Letters, vol. 32, No. 4, Dec. 31, 2003, pp. 352-353.
Fujita et al., Thin Silica Film with a Network Structure as Prepared by Surface Sol-Gel Transcription on the Poly(styrene-b-4-vinylpyridine) Polymer Film, Chemistry Letters, vol. 32, No. 4, (Mar. 13, 2003), pp. 352-353.
Fasolka et al., Morphology of Ultrathin Supported Diblock Copolymer Films: Theory and Experiment, Macromolecules 2000, vol. 33, No. 15, (2000), pp. 5702-5712.
Fasolka et al., Block Copolymer Thin Films: Physics and Applications, Annual Reviews Materials Res., vol. 31, (Aug. 2001), pp. 323-355.
Erlandsson et al., Metallic Zinc Reduction of Disulfide Bonds Between Cysteine Residues in Peptides and Proteins, Int'l J. Peptide Res. & Therapeutics, vol. 11, No. 4, (Dec. 2005), pp. 261-265.
Elisseeff et al., Photoencapsulation of Chondrocytes in Poly(ethylene oxide)-based Semi-interpenetrating Networks, Journal of Biomedical Materials Research, vol. 51, No. 2, (Aug. 2000), pp. 164-171, Abstract only.
Edwards et al., Precise Control over Molecular Dimensions of Block-Copolymer Domains Using the Interfacial Energy of Chemically Nanopatterned Substrates, Advanced Mater., 16, No. 15, (Aug. 4, 2004), pp. 1315-1319.
Edwards et al., Mechanism and Kinetics of Ordering in Diblock Copolymer Thin Films on Chemically Nanopatterned Substrates, Journal of Polymer Science: Part B Polymer Physics, vol. 43, (2005), pp. 3444-3459.
Desai et al., Engineered Silicon Surfaces for Biomimetic Interfaces, Business Briefing: Medical Device Manufacturing & Technology, (2002), pp. 1-4.
Darling, Directing the Self-Assembly of Block Copolymers, Progress in Polymer Science, vol. 32, No. 10, (Jun. 2, 2007), pp. 1152-1204.
Daoulas et al., Fabrication of Complex Three-Dimensional Nanostructures from Self-Assembling Block Copolymer Materials on Two-Dimensional Chemically Patterned Templates with Mismatched Symmetry, Physical Review Letters, vol. 96, (Jan. 24, 2006), pp. 036104-1-036104-4.
Daoulas et al., Fabrication of Complex Three-Dimensional Nanostructures from Self-Assembling Block Copolymer Materials on Two-Dimensional Chemically Patterned Templates with Mismatched Symmetry, Physical Review Letters 96, week ending Jan. 27, 2006, pp. 036104-1-036104-3.
Clark et al., Selective Deposition in Multilayer Assembly: SAMs as Molecular Templates, Supramolecular Science, vol. 4, (1997), pp. 141-146.
Choi et al., Magnetorheology of Synthesized Core-Shell Structured Nanopractice, IEEE Transactions on Magnetics 41(10):3448-3450 (2005).
Cho et al., Nanoporous Block Copolymer Micelle/Micelle Multilayer Films with Dual Optical Properties, J. Am. Chem. Soc., vol. 128, No. 30, (2006), pp. 9935-9942.
Cheng, J., C. Ross, H. Smith, E. Thomas; “Templated Self-Assembly of Block Copolymers: Top-Down Helps Bottom-Up”. Adv. Mater. (2006), 18, p. 2505-2521.
Cheng et al., Templated Self-Assembly of Block Copolymers: Effect of Substrate Topography, Adv. Mater., vol. 15, No. 19, (2003), pp. 1599-1602.
Cheng et al., Self-Assembled One-Dimensional Nanostructure Arrays, Nano Letters, vol. 6, No. 9, (2006), pp. 2099-2103.
Cheng et al., Rapid Directed Self Assembly of Lamellar Microdomains from a Block Copolymer Containing Hybrid, Applied Physics Letters, vol. 91, (2007), pp. 143106-143106-3.
Chen et al., Highly Ordered Arrays of Mesoporous Silica Nanorods with Tunable Aspect Ratios from Block Copolymer Thin Films, Advanced Materials, vol. 20, (2008), pp. 763-767.
Chang, Li-Wen, Experimental Demonstration of Aperiodic Patterns of Directed Self-Assembly of Block Copolymer Lithography for Random Logic Circuit Layout, IEEE International Electron Devices Meeting (IEDM), paper 33.2, (Dec. 6-8, 2010), pp. 33.2.1-33.2.4.
Chang et al., Diblock Copolymer Directed Self-Assembly for CMOS Device Fabrication, Proc. of SPIE, vol. 6156, (2006), pp. 615611-1-615611-6.
Chandekar, Amol, et al., “Template-Directed Adsorption of block Copolymers on Alkanethiol-Patterned Gold Surfaces,” (circa 2006), http://www.nano.neu.edu/industry/industry.sub.--showcase/industry.sub.--d-ay/documents/Chandekar.pdf) (Powerpoint template for scientific posters (Swarthmore College)).
Chai et al., Using Cylindrical Domains of Block Copolymers to Self-Assemble and Align Metallic Nanowires, American Chemical Society, www.acsnano.org, (2008), pp. A-M.
Chai et al., Assembly of Aligned Linear Metallic Patterns on Silicon, Nature Nanotechnology, vol. 2, (Aug. 2007), pp. 500-506.
Cha et al., Biomimetic Approaches for Fabricating High-Density Nanopatterned Arrays, Chem. Mater. vol. 19, (2007), pp. 839-843.
Cavicchi et al., Solvent Annealed Thin Films of Asymmetric Polyisoprene-Polylactide Diblock Copolymers, Macromolecules 2007, vol. 40, (2007), pp. 1181-1186.
Candau et al., Synthesis and Characterization of Polystyrene-poly(ethylene oxide) Graft Copolymers, Polymer, vol. 18, (1977), pp. 1253-1257.
Canaria, Christi A., et al., “Formation and Removal of Alkylthiolate Self-Assembled Monolayers on Gold in Aqueous Solutions”, Lab Chip 6, 289-295 (2006), http://www.rsc.org/publishing/journals/LC/article.asp?doi=b51066c) (Abstract).
Bulpitt et al., New Strategy for Chemical Modification of Hyaluronic Acid: Preparation of Functionalized Derivatives and Their Use in the Formation of Novel Biocompatible Hydrogels, Journal of Biomedical Materials Research, vol. 47, Issue 2, (Aug. 1999) pp. 152-169, Abstract only.
Brydson, Rik M., et al. (chapter authors), “Generic Methodologies for Nanotechnology: Classification and Fabrication”, Nanoscale Science and Technology, edited by R.W. Kelsall, et al., 2005 John Wiley & Sons, Ltd., (published online: Dec. 20, 2005) (http://www3.interscience.wiley.com/cgi-bin/summary/112217550/SUMMARY).
Botelho et al., Diblock Copolymer Ultrathin Films Studied by High Resolution Electron Energy Loss Spectroscopy, Surface Science, 482-485 (2001), pp. 1228-1234.
Black, Charles T., IEEE Transactions on Nanotechnology, vol. 3, No. 3, Sep. 2004, pp. 412-415.
Black, C.T., et al., IBM J. Res. & Dev., vol. 51, No. 5, Sep. 2007, pp. 605-633.
Black, C. T., Polymer Self-Assembly as a Novel Extension to Optical Lithography, American Chemical Society, ACSNano, vol. 1, No. 3, (2007), pp. 147-150.
Black, C. T., “Self-Aligned Self-Assembly of Multi-Nanowire Silicon Field Effect Transistors,” Appl. Phys. Lett., vol. 87, pp. 163116-1 through 163116-3, 2005.
Black et al., Self Assembly in Semiconductor Microelectronics: Self-Aligned Sub-Lithographic Patterning Using Diblock Copolymer Thin Films, Proc, of SPIE, vol. 6153, 615302 (2006).
Black et al., Integration of Self-Assembled Diblock Copolymers for Semiconductor Capacitor Fabrication, Applied Physics Letters, vol. 79, No. 3, (2001), pp. 409-411.
Black et al., Integration of Self Assembly for Semiconductor Microelectronics, IEEE 2005 Custom Integrated Circuits Conference, pp. 87-91 (2005).
Black et al., High-Capacity, Self-Assembled Metal-Oxide-Semiconductor Decoupling Capacitors, IEEE Electron Device Letters, vol. 25, No. 9, (Sep. 2004), pp. 622-624.
Berry, B.C., et al., “Effects of Zone Annealing on Thin Films of Block Copolymers”, National Institute of Standard and Technology, Polymers Division, Gaithersburg, MD., 2007.
Berry et al. “Orientational Order in Block Copolymer Films Zone Annealed below the Order-Disorder Transition Temperature,” Nano Letters vol. 7, No. Aug. 9, 2007; p. 2789-2794.
Bearinger et al., Chemisorbed Poly(Proplene Sulphide) Based Copolymers Resist Biomolecular Interactions, Nature Materials, vol. 2, pp. 259-264, 2003.
Bass, Robert B., et al., “Microcontact Printing with Octadecanethiol”, Applied Surface Science, 226(4), pp. 335-340, Apr. 2004, http://www.ece.virginia.edu/UVML/sis/Papers/rbbpapers/assoct.pdf.
Bang et al., The Effect of Humidity on the Ordering of Tri-block Copolymer Thin Films, Abstract submitted for the Mar. 2007 meeting of The American Physical Society, (submitted Nov. 20, 2006), 1 page.
Balsara et al., CPIMA, IRG Technical Programs, Synthesis and application of Nanostructured Materials, Leland Stanford Junior Univ., 2006, http://www.stanford.edu/group/cpima/irg/irg.sub-1.htm, printed Jul. 1, 2009.
Bae et al., Surface Modification Using Photo-Crosslinkable Random Copolymers, Abstract submitted for the Mar. 2006 meeting of The American Physical Society, (submitted Nov. 30, 2005) (accessed online Apr. 5, 2010) <http://absimage.aps.org/image/MWS_MAR06-2005-003641.pdf>.
Asakawa et al., Fabrication of Subwavelength Structure for Improvement in Light-Extraction Efficiency of Light-Emitting Devices Using a Self-Assembled Pattern of Block Copolymer, Applied Optics, vol. 44, No. 34, (Dec. 1, 2005), pp. 7475-7482.
Arshady et al., The Introduction of Chloromethyl Groups into Styrene-Based Polymers, 1, Makromol. Chem., vol. 177, (1976), pp. 2911-2918.
Ali et al., Properties of Self-assembled ZnO Nanostructures, Solid-State Electronics 46 (2002), 1639-1642.
Alger, Mark S. M., Polymer Science Dictionary, describing the Flory-Huggins interaction parameter (Chi value, c value) and the Flory-Huggins theory (1989), pp. 201-202.
Anonymous, Aluminium oxide, <http://en.wikipedia.org/wiki/Aluminium_oxide>, (visited Feb. 12, 2015), 10 pages.
Anonymous, Polydimethylsiloxane, <http://en.wikipedia.org/wiki/Polydimethylsiloxane>, (visited Feb. 12, 2015), 11 pages.
Zhu et al., Molecular Assemblies on Silicon Surfaces Via Si—O Linkages, Langmuir, 2006, 1b, 6766-6772.
Zhu et al., Grafting of High-Density Poly(Ethylene Glycol) Monolayers on Si(111), Langmuir, vol. 17, (2001), pp. 7798-7803.
Zhou et al, Nanoscale Metal/Self-Assembled Monolayer/Metal Heterostructures, Appl. Phys. Lett., vol. 71, No. 5, Aug. 4, 1997, pp. 611-613.
Zhao et al., Colloidal Subwavelength Nanostructures for Antireflection Optical Coatings, Optics Letters, vol. 30, No. 14, Jul. 15, 2005, pp. 1885-1887.
Zhang et al., Self-Assembled Monolayers of Terminal Alkynes on Gold, J. Am. Chem. Soc., vol. 129, No. 16, (2007), pp. 4876-4877.
Zhang et al., Phase Change Nanodot Arrays Fabricated Using a Self-Assembly Diblock Copolymer Approach, Applied Physics Letter, vol. 91, (2007), pp. 013104-013104-3.
Zhang et al., Highly Ordered Nanoporous Thin Films from Cleavable Polystyrene-block-poly(ethylene oxide),Adv. Mater, vol. 19, (2007), pp. 1571-1576.
Zehner, Robert W. et al., Langmuir, vol. 14, No. 2, pp. 241-244, Jan. 20, 1998.
Zaumseil et al., Three-Dimensional and Multilayer Nanostructures Formed by Nanotransfer Printing, Nano Letters, vol. 3, No. 9,(2003) pp. 1223-1227.
Yurt et al., Scission of Diblock Copolymers into Their Constituent Blocks, Macromolecules 2006, vol. 39, No. 5, (2006), pp. 1670-1672.
Yu et al., Contact Printing Beyond Surface Roughness: Liquid Supramolecular Nanostamping, Advanced Materials, vol. 19, (2007), pp. 4338-4342.
Yang et al., Nanoscopic Templates Using Self-assembled Cylindrical Diblock Copolymers for Patterned Media, J. Vac. Sci. Technol. B 22(6), (Nov./Dec. 2004), pp. 3331-3334.
Yang et al., Guided Self-Assembly of Symmetric Diblock Copolymer Films on Chemically Nanopattemed Substrates, Macromolecules 2000, vol. 33, No. 26, (2000), pp. 9575-9582.
Yang et al., Covalently Attached Graft Polymer Monolayer on Organic Polymeric Substrate via Confined Surface Inhibition Reaction, J. Polymer Sci.-A-Polymer Chemistry Ed., vol. 45, Issue 5, (2007), pp. 745-755.
Yan et al., Preparation and Phase Segregation of Block Copolymer Nanotube Multiblocks, J. Am. Chem. Soc., vol. 126, No. 32, (2004), pp. 10059-10066.
Yamaguchi et al., Two-dimensional Arrangement of Vertically Oriented Cylindrical Domains of Diblock Copolymers Using Graphoepitaxy with Artificial Guiding Pattern Layout, Microprocesses and Nanotechnology, 2007, Conference date Nov. 5-8, 2007, pp. 434-435.
Yamaguchi et al., Resist-Pattern Guided Self-Assembly of Symmetric Diblock Copolymer, Journal of Photopolymer Science and Technology, vol. 19, No. 3, (2006), pp. 385-388.
Xu et al., The Influence of Molecular Weight on Nanoporous Polymer Films, Polymer 42, Elsevier Science Ltd., (2001), pp. 9091-9095.
Xu et al., Surface-Initiated Atom Transfer Radical Polymerization from Halogen-Terminated Si(111) (Si-X, X=Cl, Br) Surfaces for the Preparation of Well-Defined Polymer-Si Hybrids, Langmuir, vol. 21, No. 8 (2005), pp. 3221-3225.
Xu et al., Interfacial Interaction Dependence of Microdomain Orientation in Diblock Copolymer Thin Films, Macromolecules, vol. 38, (2005), pp. 2802-2805.
Xu et al., Electric Field Alignment of Symmetric Diblock Copolymer Thin Films, Macromolecules, (2003), 5 pgs.
Xiao et al., Graphoepitaxy of Cylinder-forming Block Copolymers for Use as Templates to Pattern Magnetic Metal Dot Arrays, Nanotechnology 16, IPO Publishing Ltd, UK (2005), pp. S324-S329.
Xia et al., Soft Lithography, Annu. Rev. Mater. Sci., vol. 28, (1998), pp. 153-184.
Xia et al., An Approach to Lithographically Defined Self-Assembled Nanoparticle Films, Advanced Materials, vol. 18, (2006), pp. 930-933.
Wu et al., Self-Assembled Two-Dimensional Block Copolymers on Pre-patterned Templates with Laser Interference Lithography, IEEE, (2007), pp. 153-154.
Wipf, Handbook of Reagents for Organic Synthesis, 2005, John Wiley & Sons Ltd., p. 320.
Winesett et al., Tuning Substrate Surface Energies for Blends of Polystyrene and Poly(methyl methacrylate), Langmuir 2003, vol. 19, (2003), pp. 8526-8535.
Wathier et al., Dendritic Macromers as in Situ Polymerizing Biomaterials for Securing Cataract Incisions, J. Am. Chem. Soc., vol. 126, No. 40, (2004), pp. 12744-12745, abstract only.
Wang et al., One Step Fabrication and characterization of Platinum Nanopore Electrode Ensembles formed via Amphiphilic Block Copolymer Self-assembly, Electrochimica Acta 52, (2006), pp. 704-709.
Van Poll et al., Self-Assembly Approach to Chemical Micropatterning of Poly(dimethylsiloxane), Angew. Chem. Int. Ed. 2007, vol. 46, (2007), pp. 6634-6637.
Tseng et al., Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis, J. of Physical Chemistry, (Jul. 11, 2011), 16 pgs.
Truskett et al., Trends in Imprint Lithography for Biological Applications, TRENDS in Biotechnology, vol. 24, No. 7, Jul. 2006, pp. 312-315.
Trimbach et al., Block Copolymer Thermoplastic Elastomers for Microcontact Printing, Langmuir, vol. 19, (2003), pp. 10957-10961.
Tang et al., Evolution of Block Copolymer Lithography to Highly Ordered Square Arrays, Science, vol. 322, No. 5900, (Sep. 25, 2008), pp. 429-432.
Tadd et al., Spatial Distribution of Cobalt Nanoclusters in Block Copolymers, Langmuir, vol. 18, (2002), pp. 2378-2384.
Sundrani et al., Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement, Langmuir 2004, vol. 20, No. 12, (2004), pp. 5091-5099.
Sundrani et al., Guiding Polymers to Perfection: Macroscopic Alignment of Nanoscale Domains, Nano Lett., vol. 4, No. 2, (2004), pp. 273-276.
Stoykovich, M. P., et al., Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries, ACS Nano, vol. 1, No. 3, (2007), pp. 168-175.
Stoykovich et al., Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures, Science, vol. 308, (Jun. 3, 2005), pp. 1442-1446.
Srinvivasan et al., Scanning Electron Microscopy of Nanoscale Chemical Patterns, ACS Nano, vol. 1, No. 3, (2007), pp. 191-201.
Solak, H. H., Nanolithography with Coherent Extreme Ultraviolet Light, Journal of Physics D: Applied Physics, vol. 39, (2006), pp. R171-R188.
Sohn et al., Fabrication of the Multilayered Nanostructure of Alternating Polymers and Gold Nanoparticles with Thin Films of Self-Assembling Diblock Copolymers, Chem. Mater., vol. 13, (2001), pp. 1752-1757.
Sivaniah et al., Symmetric Diblock Copolymer Thin Films on Rough Substrates, Kinetics and Structure Formation in Pure Block Copolymer Thin Films, Macromolecules 2005, vol. 38, (2005), pp. 1837-1849.
Sivaniah et al., Observation of Perpendicular Orientation in Symmetric Diblock Copolymer Thin Films on Rough Substrates, Macromolecules 2003, vol. 36, (2003), pp. 5894-5896.
Sigma-Aldrich, 312-315 Tutorial regarding Materials for Lilhography/Nanopatterning, http://www.sigmaaldrich.com/Area_of_Interest/Chemistry/Materials_Science/Micro_and_Nanoelectronic website, (retrieved Aug. 27, 2007), 8 pages.
Sharma et al., Ultrathin Poly(ethylene glycol) Films for Silicon-based Microdevices, Applied Surface Science, vol. 206, (2003), pp. 218-229.
Shahrjerdi et al., Fabrication of Ni Nanocrystal Flash Memories Using a Polymeric Self-Assembly Approach, IEEE Electron Device Letters, vol. 28, No. 9, (Sep. 2007), pp. 793-796.
Segalman, R. A., Patterning with Block Copolymer Thin Films, Materials Science and Engineering R 48, (2005), pp. 191-226.
Search Report of the IPO, Taiwanese Application No. 098109253 dated Aug. 22, 2012, 1 page.
Sawhney et al., Bioerodible Hydrogels Based on Photopolymerized Poly(ethylene glycol)-co-poly(a-hydroxy acid) Diacrylate Macromers, Macromolecules 1993, vol. 26, (1993), pp. 581-587, abstract only.
Sato et al., Novel Antireflective Layer Using Polysilane for Deep Ultraviolet Lithography, J. Vac. Sci. Technol. B, vol. 17, No. 6, (Nov./Dec. 1999), pp. 3398-3401.
Saraf et al., Spontaneous Planarization of Nanoscale Phase Separated Thin Film, Applied Physics Letters, vol. 80, No. 23, (Jun. 10, 2002), pp. 4425-4427.
Ryu et a., Surface Modification with Cross-Linked Random Copolymers: Minimum Effective Thickness, Macromolecules, vol. 40, No. 12, (2007), pp. 4296-4300.
Ruiz, Ricardo et al., Science, vol. 321, Aug. 15, 2008, pp. 936-939.
Ruiz et al., Induced Orientational Order in Symmetric Diblock Copolymer Thin-Films, Advanced Materials, vol. 19, No. 4, (2007), pp. 587-591.
Rozkiewicz et al., “Click” Chemistry by Microcontact Printing, Angew. Chem. Int. Ed., vol. 45, No. 32, (Jul. 12, 2006), pp. 5292-5296.
Rogers, J. A., Slice and Dice, Peel and Stick: Emerging Methods for Nanostructure Fabrication, ACS Nano, vol. 1, No. 3, (2007), pp. 151-153.
Resnick et al., Initial Study of the Fabrication of Step and Flash Imprint Lithography Templates for the Printing of Contact Holes, Microlith., Microfab., Microsyst., vol. 3, No. 2, (Apr. 2004), pp. 316-321.
Reed et al., Molecular Random Access Memory Cell, Appl. Phys. Lett., vol. 78, No. 23, (Jun. 4, 2001), pp. 3735-3737.
Potemkin et al., Effect of the Molecular Weight of AB Diblock Copolymers on the Lamellar Orientation in Thin Films: Theory and Experiment, Macromol. Rapid Commun., (2007), 28, pp. 579-584.
Peters et al., Morphology of Thin Films of Diblock Copolymers on Surfaces Micropatterned with Regions of Different Interfacial Energy, Macromolecules, vol. 35, No. 5, (2002), pp. 1822-1834.
Peters et al., Combining Advanced Lithographic Techniques and Self-assembly of Thin Films of Diblock Copolymers to Produce Templates for Nanofabrication, J. Vac. Sci. Technol. B, vol. 18, No. 6, (Nov./Dec. 2000), pp. 3530-3532.
Peng, J., et al., Development of Nanodomain and Fractal Morphologies in Solvent Annealed Block copolymer Thin Films, Macromol. Rapid Commun., vol. 28, (2007), pp. 1422-1428.
Park et al., The Fabrication of Thin Films with Nanopores and Nanogrooves from Block Copolymer Thin Films on the Neutral Surface of Self-assembled Monolayers, Nanotechnology, vol. 18, (2007), pp. 1-7.
Park et al., High-Aspect-Ratio Cylindrical Nanopore Arrays and Their Use for Templating Titania Nanoposts, Advanced Materials, vol. 20, (2008), pp. 738-742.
Park et al., Fabrication of Highly Ordered Silicon Oxide Dots and Stripes from Block Copolymer Thin Films, Advanced Materials, vol. 20, (2008), pp. 681-685.
Park et al., Enabling Nanotechnology with Self Assembled Block Copolymer Patterns, Polymer 44, 2003, pp. 6725-6760.
Park et al., Directed assembly of lamellae-forming block copolymers using chemically and topographically patterned substrates, Advanced Materials, vol. 19, No. 4, (Feb. 2007), pp. 607-611.
Park et al., Controlled Ordering of Block Copolymer Thin Films by the Addition of Hydrophilic Nanoparticles, Macromolecules 2007, vol. 40, No. 22, (2007), pp. 8119-8124.
Park et al., Block Copolymer Multiple Patterning Integrated with Conventional ArF Lithography, Soft Matter, vol. 6, (2010), pp. 120-125.
Park et al., Block Copolymer Lithography: Periodic Arrays of 1011 Holes in 1 Square Centimeter, Science, vol. 276, No. 5317, (May 30, 1997), pp. 1401-1404.
Parejo et al., Highly Efficient UV-absorbing Thin-film Coatings for Protection of Organic Materials Against Photodegradation, J. Mater. Chem., vol. 16, (2006), pp. 2165-2169.
Olayo-Valles et al. Large Area Nanolithographic Templates by Selective Etching of Chemically Stained Block Copolymer Thin Films, J. Mater. Chem., vol. 14, (2004), pp. 2729-2731.
Niu et al., Stability of Order in Solvent-Annealed Block Copolymer Thin Films, Macromolecules, vol. 36, No. 7, 2003, Univ. of Nebraska, USA, pp. 2428-2440, (web release date: Mar. 13, 2003) (http://digitalcommons.uni.edu/cgi/viewcontent.cgi?article+1005&contect=c-hemeng.sub.-nanotechnology).
Niu et al., Selective Assembly of Nanoparticles on Block Copolymer by Surface Modification, Nanotechnology, vol. 18, (2007), pp. 1-4.
Nishikubo, T., Chemical Modification of Polymers via a Phase-Transfer Catalyst or Organic Strong Base, American Chemical Society Symposium Series, (1997), pp. 214-230.
Nguyen et al., Photopolymerizable-Hydrogels, for Tissue Engineering Applications, Biomaterials 23, 2002, pp. 4307-4314.
Nealey et al., Self-Assembling Resists for Nanolithography, IProceedings of the IEEE International Electron Devices Meeting, IEDM Technical Digest, (2005), pp. 356-359.
Naito, et al., IEEE Transactions on Magnetics, vol. 38, No. 5, Sep. 2002, pp. 1949-1951.
Mindel, Joseph., et al., “A Study of Bredig Platinum Sols”, The Chemical Laboratories of New York University, vol. 65 pp. 2112.
Mezzenga et al., On the Role of Block Copolymers in Self-Assembly of Dense Colloidal Polymeric Systems, Langmuir 2003, vol. 19, No. 20, (2003), pp. 8144-8147.
Meyer et al., Controlled Dewetting Processes on Microstructured Surfaces—a New Procedure for Thin Film Microstructuring, Macromollecular Mater. Eng., vol. 276/277, (2000), pp. 44-50.
Metters et al., Network Formation and Degradation Behavior of Hydrogels Formed by Michael-Type Addition Reactions, Biomacromolecules 2005, vol. 6, (2005), pp. 290-301.
Melde et al., Silica Nanostructures Templated by Oriented Block Copolymer Thin Films Using Pore-Filling and Selective-Mineralization Routes, Chem. Mater., vol. 17, No. 18, Aug. 13, 2005, pp. 4743-4749.
Maye, Mathew A., et al., Journal of Chemical Education, vol. 79, No. 2, Feb. 2002, pp. 207-210.
Matsuda et al., Photoinduced Prevention of Tissue Adhesion, ASAIO J, vol. 38, No. 3, (Jul.-Sep. 1992), pp. M154-M157, abstract only.
Martens et al., Characterization of Hydrogels Formed from Acrylate Modified Poly(vinyl alcohol) Macromers, Polymer, vol. 41, Issue 21, (Oct. 2000), pp. 7715-7722, abstract only.
Mansky et al., Controlling Polymer-Surface Interactions with Random Copolymer Brushes, Science, vol. 275, (Mar. 7, 1997), pp. 1458-1460.
Malkoch et al., Synthesis of Well-defined Hydrogel Networks Using Click Chemistry, Chem. Commun., The Royal Society of Chemistry, (2006), pp. 2774-2776.
Malenfant et al., Self-Assembly of an Organic-Inorganic Block Copolymer for Nano-Ordered Ceramics, Nature Nanotechnology, vol. 2, (Jan. 2007) pp. 43-46.
Lutz, 1,3-Dipolar Cycloadditions of Azides and Alkynes: A Universal Ligation Tool in Polymer and Materials Science, Angew. Chem. Int. Ed., vol. 46, (2007), pp. 1018-1025.
Lutolf, M., et al., “Cell-Responsive Synthetic Hydrogels,” Adv. Mater., vol. 15, No. 11, Jun. 2003, pp. 888-892.
Lutolf et al., Synthetic Biomaterials as Instructive Extracellular Microenvironments for Morphogenesis in Tissue Engineering, Nature Biotechnology, vol. 23, (2005), pp. 47-55, abstract only.
Lopes et al., Hierarchical Self-Assembly of Metal Nanostructures on Diblock Copolymer Scaffolds, Nature, vol. 414, (Dec. 13, 2001), pp. 735-738.
Loo et al., Additive, Nanoscale Patterning of Metal Films with a Stamp and a Surface Chemistry Mediated Transfer Process: Applications in Plastic Electronics, Applied Physics Letters, vol. 81, No. 3, (Jul. 15, 2002), pp. 562-564.
Liu et al., Pattern Transfer Using Poly(styrene-block-methyl methacrylate) Copolymer Films and Reactive Ion Etching, J. Vac. Sci. Technol. B, vol. 25, No. 6, (Nov./Dec. 2007), pp. 1963-1968.
Lin-Gibson et al., Structure-Property Relationships of Photopolymerizable Poly(ethylene glycol) Dimethacrylate Hydrogels, Macromolecules 2005, 38, American Chemical Society, (2005), pp. 2897-2902.
Lin et al., A Rapid Route to Arrays of Nanostructures in Thin Films, Adv. Mater. 2002, vol. 14, No. 19, (Oct. 2, 2002), pp. 1373-1376.
Li, H, W. Huck; “Ordered Block-Copolymer Assembly Using Nanoimprint Lithography”. Nano. Lett (2004), vol. 4, No. 9, p. 1633-1636.
Li et al., Morphology Change of Asymmetric Diblock Copolymer Micellar Films During Solvent Annealing, ScienceDirect, Polymer 48, (2007), pp. 2434-2443.
Li et al., Creation of Sub-20-nm Contact Using Diblock Copolymer on a 300 mm Wafer for Complementary Metal Oxide Semiconductor Applications, J. Vac. Sci. Technol., vol. B 25, No. 6, (Nov./Dec. 2007), pp. 1982-1984.
Li et al., Block Copolymer Patterns and Templates, Materials Today, vol. 9, No. 9, (Sep. 2006), pp. 30-39.
Li et al., A Method for Patterning Multiple Types of Cells by Using Electrochemical Desorption of Self-Assembled Monolayers within Microfluidic Channels, Angew. Chem. Int. Ed., vol. 46, (2007), pp. 1094-1096.
Lentz et al., Whole Wafer Imprint Patterning Using Step and Flash Imprint Lithography: A Manufacturing Solution or Sub 100 nm Patterning, SPIE Emerging Lithographic Technologies, vol. 6517, (Mar. 16, 2007), 10 pages.
Laracuente et al., Step Structure and Surface Morphology of Hydrogen-terminated Silicon: (001) to (114), Surface Science 545, (2003), pp. 70-84.
Lacour et al., Stretchable Gold Conductors on Elastomeric Substrates, Applied Physics Letters, vol. 82, No. 15, (Apr. 14, 2003), pp. 2404-2406.
La et al., Pixelated Chemically Amplified Resists: Investigation of Material Structure on the Spatial Distribution of Photoacids and Line Edge Roughness, J. Vac. Sci. Technol. vol. B 25, No. 6, (Nov./Dec. 2007), pp. 2508-2513.
La et al., Directed Assembly of Cylinder-Forming Block Copolymers into Patterned Structures to Fabricate Arrays of Spherical Domains and Nanoparticles, Chem. Mater., vol. 19, No. 18, (2007), pp. 4538-4544.
Kuhnline et al., Detecting Thiols in a Microchip Device Using Micromolded Carbon Ink Electrodes Modified with Cobalt Phthalocyanine, Analyst, vol. 131, (2006), pp. 202-207.
Krishnamoorthy et al., Nanoscale Patterning with Block Copolymers, Materials Today, vol. 9, No. 9, (Sep. 2006), pp. 40-47.
Krishnamoorthy et al., Nanopatterned Self-Assembled Monolayers by Using Diblock Copolymer Micelles as Nanometer-Scale Adsorption and Etch Masks, Advanced Materials, (2008), pp. 1-4.
Krishnamoorthy et al., Block Copolymer Micelles as Switchable Templates for Nanofabrication, Languir, vol. 22, No. 8, (2006), pp. 3450-3452.
Knoll et al. “Phase Behavior in Thin Films of Cylinder-Forming Block Copolymers,” Physical Review Letters vol. 89, No. 3 Jul. 2002.
Kim et al., Synthesis and characterization of Dextran-methacrylate Hydrogels and Structural Study by SEM, J Biomater Res.,vol. 49, No. 4, (Mar. 15, 2000), pp. 517-527, abstract only.
Kim et al., Solvent-Induced Ordering in Thin Film Diblock Copolymer/Homopolymer Mixtures, Advanced Mater., vol. 16, No. 23-24, (Dec. 17, 2004), pp. 2119-2123.
Kim et al., Self-assembled Hydrogel Nanoparticles Composed of Dextran and Poly (ethylene glycol) Macromer, Int J Pharm., vol. 205, No. 1-2, (Sep. 15, 2000), pp. 109-116, abstract only.
Kim et al., Salt Complexation in Block Copolymer Thin Films, Macromolecules 2006, vol. 39, No. 24, (2006), pp. 8473-8479.
Kim et al., Novel Complex Nanostructure from Directed Assembly of Block Copolymers on Incommensurate Surface Patterns, Adv. Mater, vol. 19, (2007), pp. 3271-3275.
Kim et al., In Vitro Release Behavior of Dextran-methacrylate Hydrogels Using Doxorubicin and Other Model Compounds, J Biomater Appl., vol. 15, No. 1, (Jul. 2000), pp. 23-46, abstract only.
Kim et al., Hybrid Nanofabrication Processes Utilizing Diblock Copolymer Nanotemplate Prepared by Self-assembled Monolayer Based Surface Neutralization, J. Vac. Sci. Technol. vol. B26, No. 1, (Jan./Feb. 2008), pp. 189-194.
Kim et al., Highly Oriented and Ordered Arrays from Block Copolymers via Solvent Evaporation, Adv. Mater. 2004, 16, No. 3, (Feb. 3, 2004), pp. 226-231.
Kim et al., Epitaxial Self-assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates, Nature, vol. 424, (Jul. 24, 2003), pp. 411-414.
Kavakli et al., Single and Double-Layer Antireflection Coatings on Silicon, Turk J. Phys., vol. 26, (2002), pp. 349-354.
Karim, Alamgir et al., “Control of Ordering Kinetics and Morphology Using Zone Annealing of Thin Block Copolymer Filmes”, Abstract submitted for the Mar. 2007 Meeting of The American Physical Society, Nov. 20, 2006.
Jun, et al., Langmuir, 2002, 18(9), pp. 3415-3417, Abstract only.
Jun et al., Patterning Protein Molecules on Poly(ethylene glycol) Coated Si(111), Biomaterials, vol. 25, (2004), pp. 3503-3509.
Johnson et al., Probing the Stability of the Disulfide Radical Intermediate of Thioredoxin Using Direct Electrochemistry, Letters in Peptide Sci., vol. 10, (2003), pp. 495-500.
Jiang et al., Electrochemical Desorption of Self-Assembled Monolayers Noninvasively Releases Patterned Cells from Geometrical Confinements, J. Am. Chem. Soc., vol. 125, No. 9, (2003), pp. 2366-2367.
Ji, Shengxiang, et al., Preparation of Neutral Wetting Brushes for Block Copolymer Films from Homopolymer Blends, submitted to Advanced Materials, 20(16): 3054-3060; published online Jul. 7, 2008.
Ji et al., Molecular Transfer Printing Using Block Copolymers, ACS Nano, vol. 4, No. 2, 2010, pp. 599-609.
Ji et al., Generalization of the Use of Random Copolymers to Control the Wetting Behaviors of Block Copolymer Films, Macromolecules, vol. 41, No. 23, (2008), pp. 9098-9103.
International Written Opinion for International Application No. PCT/US2009/035861 dated Jun. 2, 2009, 6 pages.
International Search Report for International Application No. PCT/US2009/035861 dated Jun. 2, 2009, 4 pages.
International Preliminary Report on Patentability for Application No. PCT/US2009/035861 dated Sep. 21, 2010, 7 pages.
In et al., Side-Chain-Grafted Random Copolymer Brushes as Neutral Surfaces for Controlling the Orientation of Block Copolymer Microdomains in Thin Films, Langmuir, Department of Materials Science and Engineering and Chemical and Biological Engineering, Univ. of Wisconsin—Madison, vol. 22, No. 18, (2006), pp. 7855-7860.
Ikeda et al., Control of Orientation of Thin Films of Organic Semiconductors by Graphoepitaxy, NanotechJapan Bulletin—NIMS International Center for Nanotechnology Network., vol. 3, No. 3,(Dec. 17, 2010), pp. 1-23.
Hutchison et al., Polymerizable Living Free Radical Initiators as a Platform to Synthesize Functional Networks, Chem. Mater., vol. 17, No. 19, (2005), pp. 4789-4797.
Hur et al., Nanotransfer Printing by Use of Noncovalent Surface Forces: Applications to Thin-Film Transistors That Use Single-Walled Carbon Nanotube Networks and Semiconducting Polymers, Applied Physics Letters, vol. 85, No. 23, (Dec. 6, 2004), pp. 5730-5732.
Huang et al., Using Surface Active Random Copolymers to Control the Domain Orientation in Diblock Copolymer Thin Films, Macromolecules, vol. 31, (1998), pp. 7641-7650.
Horiuchi et al., Three-Dimensional Nanoscale Alignment of Metal Nanoparticles Using Block Copolymer Films as Nanoreactors, Langmuir, vol. 19, (2003), pp. 2963-2973.
Hermans, Thomas M., et al., “Application of Solvent-Directed Assembly of Block Copolymers to the Synthesis of Nanostructured Materials with Low Dielectric Constants”, Angewandte Chem. Int. Ed. 2006, 45, pp. 6648-6652.
Helmuth et al., High-Speed Microcontact Printing, J. Am. Chem. Soc., vol. 128, No. 29, (2006), pp. 9296-9297.
Helmbold et al., Optical Absorption of Amorphous Hydrogenated Carbon Thin Films, Thin Solid Films, vol. 283, pp. 196-203 (1996).
He et al., Self-Assembly of Block Copolymer Micelles in an Ionic Liquid, J. Am. Chem. Soc., vol. 128, (2006), pp. 2745-2750.
Hayward et al., Crosslinked Poly(styrene)-block-Poly(2-vinylpyridine) Thin Films as Swellable Templates for Mesostructured Silica and Titania, Advanced Materials, vol. 17, (2005), pp. 2591-2595.
Hawker et al., Facile Synthesis of Block Copolymers for Nanolithographic Applications, Polymer Reprints, American Chemical Society, (2005), 2 pages.
Hawker et al., “Improving the manufacturability and structural control of block copolymer lithography,” Abstracts of Papers, 232nd ACS National Meeting, San Francisco, CA, Sep. 10-14, 2006.
Harrison et al., Layer by Layer Imaging of Diblock Copolymer Films with a Scanning Electron Microscope, Polymer, vol. 39, No. 13, (1998), pp. 2733-2744.
Hammond et al. “Temperature Dependence of Order, Disorder, and Defects in Laterally Confined Diblock Copolymer Cylinder Monolayers,” Macromoleculers vol. 38, Jul. 2005; p. 6575-6585.
Hamley, I. W., “Introduction to Block Copolymers”, Developments in Block Copolymers Science and Technology, 2004, John Wiley & Sons, Ltd., pp. 1-29.
Related Publications (1)
Number Date Country
20190115252 A1 Apr 2019 US
Divisions (2)
Number Date Country
Parent 14104304 Dec 2013 US
Child 15090144 US
Parent 13469697 May 2012 US
Child 14104304 US
Continuations (2)
Number Date Country
Parent 15090144 Apr 2016 US
Child 16215242 US
Parent 12052956 Mar 2008 US
Child 13469697 US