The present invention relates generally to extreme ultraviolet (EUV)-active photoresist films, and, in particular embodiments, to methods of forming EUV-active films and methods of using EUV-active films to fill gaps in a patterned layer and to form contact holes in self-aligned multiple patterning (SAMP) processes.
Generally, a semiconductor device, such as an integrated circuit (IC) is fabricated by sequentially depositing and patterning layers of dielectric, conductive, and semiconductor materials over a semiconductor substrate to form a network of electronic components and interconnect elements (e.g., transistors, resistors, capacitors, metal lines, contacts, and vias) integrated in a monolithic structure. At each successive technology node, the minimum feature sizes are shrunk to reduce cost by roughly doubling the component packing density. As geometries continue to shrink, the technical challenges to forming structures on substrates increase. These challenges are particularly evident in the photolithography and etch process areas.
Extreme ultraviolet (EUV) lithography is a lithography technique used to pattern photoresist films with EUV radiation. EUV lithography offers significant advantages in patterning sub-10 nm features with its high optical resolution. However, one major engineering challenge for EUV lithography is that photoresists developed for conventional photolithography systems may not satisfy the cost and/or quality requirements for patterning sub-10 nm features. For example, chemically amplified resist (CAR) or similar polymer resists, which are commonly used in 193 nm lithography, are typically produced using liquid based spin-on techniques that consume a significant amount of complex metal cluster precursors, resulting in very high cost. CARs also tend to have low absorption coefficients at 13.5 nm, and thus, may suffer poor sensitivity. Further, the diffusion of photo-activated species in CARs may cause blurring and increase line-edge roughness (LER) in the subsequently formed pattern.
As an alternative to CARs, vapor-deposited metal oxide-containing films have been investigated for use as EUV-active hardmasks in EUV lithography techniques. For example, U.S. Pat. No. 9,996,004, entitled “EUV Photopatterning of Vapor-Deposited Metal Oxide-Containing Hardmasks”, describes various processes for forming metal oxide-containing hardmasks utilized for EUV patterning. In the '004 Patent, an EUV-sensitive metal oxide-containing film is vapor deposited on a semiconductor substrate by chemical vapor deposition (CVD) or atomic layer deposition (ALD). During the deposition process, an organotin oxide precursor is reacted with a carbon dioxide-containing plasma at a relatively high deposition temperature (in one example, between 250° C. and 350° C.) to deposit the EUV-sensitive metal oxide-containing film on the semiconductor substrate. After CVD/ALD deposition, the metal oxide-containing film is transferred to an EUV patterning tool and patterned via direct EUV exposure (i.e., without the use of a photoresist), followed by pattern development, to form a metal oxide-containing hardmask. The processes described in the '004 Patent suffer from various disadvantages. For example, the deposition processes described in the '004 Patent react various organotin oxide precursors with an oxidizer (e.g., carbon dioxide or carbon monoxide) in a typical CVD/ALD process to form a solid metal oxide-containing film on the semiconductor substrate. The oxidizer utilized within the CVD/ALD deposition process increases the density of the metal oxide-containing film and decomposes the Sn—R bonds (where —R is —CxHy, —OCxHy, —Cl or —NCxHy) in organo tin precursors, which creates weak and unstable bonds (for example, Sn—OH and Sn—O—Sn bonds), which deteriorate EUV photosensitivity of the subsequently formed hardmask.
Self-aligned multiple patterning (SAMP) processes, such as self-aligned double patterning (SADP), self-aligned triple patterning (SATP) and self-aligned quadruple patterning (SAQP), have been developed to reduce feature sizes beyond what is directly achievable by conventional lithography techniques, including EUV lithography. SAMP processes utilize mandrels, sidewall spacers and selective etching to form structures on a substrate surface at pitches that are significantly less than the original photolithography pitch. As such, SAMP processes utilize sidewall spacers to increase the structure density on the substrate surface.
In SADP processes, spacers are typically formed as side wall structures adjacent to core structures or “mandrels” on a substrate being processed. The mandrels may be formed on the substrate through known photolithography techniques. Sidewall spacers are then formed adjacent to the mandrels. The core material (or mandrels) may then be removed, leaving two sidewall spacers for each mandrel. The core removal process is typically called a mandrel pull and is often performed by a plasma etch process, such as a reactive ion etch (RIE) process. The sidewall spacers left on the substrate surface after the mandrel pull process form a pattern on the substrate surface having a smaller pitch than the pitch of the originally formed mandrels. In SATP and SAQP processes, additional sidewall spacers of differing materials may be formed adjacent the first sidewall spacers to further reduce the pitch of the subsequently formed pattern.
The patterned photo resist layer 120 is used as a mask to etch the adhesion layer 115 and the core material layer 110 to form the mandrels 125, as shown in
Unfortunately, problems arise when conventional gap fill materials are used to fill narrow gaps or patterned layers with pitch less than 28 nm. For example, voids or bubbles may be created within the gap fill material 145 when spin-on materials are used to fill narrow gaps, as shown in
Embodiments of the present disclosure provide improved process flows and methods of forming a photosensitive organometallic oxide polymer film on a semiconductor substrate. Further embodiments utilize the photosensitive organometallic oxide polymer film in subsequent processing steps to form an EUV-active photoresist on a substrate, fill gaps within a patterned layer and/or create features (such as, e.g., holes, trenches, etc.) within a patterned layer.
In various embodiments of the present disclosure, chemical vapor polymerization (CVP) is utilized to deposit a non-solid, organometallic oxide polymer on a patterned layer formed on a semiconductor substrate. The patterned layer may have a plurality of structures, which are spaced apart and separated by narrow gaps. The non-solid organometallic oxide polymer layer is deposited onto the patterned layer using a low temperature, low ion energy plasma process, which exposes the semiconductor substrate to a plasma-excited vapor comprising a metal precursor having carbon-carbon double bonds. The low temperature, low ion energy plasma process forms a non-solid, organometallic oxide polymer layer (containing liquid-like oligomer units) having carbon-carbon bonds on the patterned layer and within the gaps formed between the plurality of structures. The semiconductor substrate is then subjected to a heat treatment (for example, a thermal bake) to further polymerize the non-solid organometallic oxide polymer layer and form a photosensitive organometallic oxide polymer film with carbon-carbon bonds on the patterned layer and within the gaps.
The methods disclosed herein provide various advantages over conventional gap fill materials and methods for filling narrow gaps within a patterned layer provided on a semiconductor substrate. Unlike conventional methods that utilize spin-on materials, the methods disclosed herein utilize a low temperature, low ion energy plasma process to form a non-solid, organometallic oxide polymer on the patterned layer that completely fills the gaps between the plurality of structures. Upon subsequent heat treatment, the non-solid, organometallic oxide polymer deposited on the patterned layer and within the gaps is further polymerized to form a photosensitive organometallic oxide polymer film, which can be selectively exposed to extreme ultraviolet (EUV) radiation and selectively etched to create one or more features (such as, e.g., holes, trenches, etc.) within the patterned layer.
According to one embodiment, a method is provided herein for filling gaps within a patterned layer formed on a semiconductor substrate. The method may generally begin by providing the semiconductor substrate, wherein the patterned layer formed on the semiconductor substrate comprises a plurality of structures, which are spaced apart and separated by gaps. The method may further include depositing a non-solid organometallic oxide polymer containing liquid-like oligomer units on the patterned layer via chemical vapor polymerization (CVP), wherein the liquid-like oligomer units flow into the gaps between the plurality of structures during said depositing, and wherein a capillary effect within the gaps causes the liquid-like oligomer units to completely fill the gaps with the non-solid organometallic oxide polymer. The method may further include heat-treating the semiconductor substrate to further polymerize the non-solid organometallic oxide polymer and form a photosensitive organometallic oxide polymer film on the patterned layer and within the gaps between the plurality of structures. In some embodiments, the photosensitive organometallic oxide polymer film may contain a central metal atom of tin (Sn), zirconium (Zr), indium (In), antimony (Sb), bismuth (Bi), zinc (Zn), hafnium (Hf), or aluminum (Al), or combinations thereof.
According to another embodiment, a method utilized in a self-aligned multi-patterning (SAMP) process flow may generally begin by forming a patterned layer on one or more underlying layers formed on a semiconductor substrate. The patterned layer may comprise a plurality of structures, which are formed during the SAMP process flow and separated by gaps. The method may further include depositing a non-solid organometallic oxide polymer containing liquid-like oligomer units on the patterned layer via chemical vapor polymerization (CVP), wherein the liquid-like oligomer units flow into the gaps between the plurality of structures during said depositing, and wherein a capillary effect within the gaps causes the liquid-like oligomer units to completely fill the gaps with the non-solid organometallic oxide polymer. The method may further include heat-treating the semiconductor substrate to further polymerize the non-solid organometallic oxide polymer and form a photosensitive organometallic oxide polymer film on the patterned layer and within the gaps between the plurality of structures. In some embodiments, the photosensitive organometallic oxide polymer film may contain a central metal atom of tin (Sn), zirconium (Zr), indium (In), antimony (Sb), bismuth (Bi), zinc (Zn), hafnium (Hf), or aluminum (Al), or combinations thereof.
After forming the photosensitive organometallic oxide polymer film on the patterned layer and within the gaps between the plurality of structures, the method may further include selectively exposing the photosensitive organometallic oxide polymer film to extreme ultraviolet (EUV) radiation, wherein said selectively exposing changes an etch selectivity of a portion of the photosensitive organometallic oxide polymer film formed within one or more of the gaps. The method may further include selectively etching the photosensitive organometallic oxide polymer film, wherein said selectively etching removes the portion of the photosensitive organometallic oxide polymer film from the one or more of the gaps to create one or more features on the one or more underlying layers.
In some embodiments, said selectively etching may remove the portion of the photosensitive organometallic oxide polymer film from the one or more of the gaps to create a contact hole pattern on the one or more underlying layers. In such embodiments, the method may further include utilizing the contact hole pattern to form contact holes within the one or more underlying layers.
In the methods disclosed above, said depositing the non-solid organometallic oxide polymer may include exposing the semiconductor substrate to a plasma-excited vapor comprising a metal precursor having carbon-carbon double bonds to form the non-solid organometallic oxide polymer on the patterned layer and within the gaps between the plurality of structures. In some embodiments, said exposing the semiconductor substrate to the plasma-excited vapor may include maintaining an ion energy of less than 50 eV in the plasma-excited vapor and maintaining a substrate temperature of less than 150° C. during the exposing. In other embodiments, said exposing the semiconductor substrate to the plasma-excited vapor may include maintaining an ion energy of between 0 eV and 5 eV in the plasma-excited vapor, and maintaining a substrate temperature within a range between −50° C. and 0° C. during the exposing.
A wide variety of metal precursors may be utilized in the methods disclosed above to form the non-solid organometallic oxide polymer on the patterned layer and within the gaps between the plurality of structures. The metal precursor used within the plasma-excited vapor may generally include a metal alkoxide.
In some embodiments, the metal precursor may contain tin (Sn). In one example, the metal precursor may have the formula SnαOβ (O—CmHn)ΓCxHy, where m, n, and α are arbitrary integers of 1 or more, β, Γ, x, and y are arbitrary integers of 0 or more, and β and Γ are not 0 at the same time. For example, the metal precursor may include SnR1(O—R2)3, SnR12(O—R2)2, or SnHR1(O—R2)2, where R1: CH3, C2H3, C3H5, C4H7, or C6H6, and R2: CH3, C2H5, C3H7, or C4H9. In another example, the metal precursor may include SnCH3tBu(O—tBu)2, SntBu(O—tBu)3, SntBu(O—C3H7)3, SntBu(O—C2H5)3, SntBu(O—CH3)3, SnCH3C2H3(O—tBu)2, or SnCH3(C2H3)(O—CH3)2. In yet another example, the metal precursor may have the formula SnxCyHz, where x, y, and z are arbitrary integers of 1 or more. For example, the metal precursor may be selected from the group consisting of Sn(CH3)4, Sn(C2H5)4, SnH(CH3)3, and SnH(C2H5)3. In further examples, the metal precursor may include Sn(C2H4O2) or Sn(OR)2, where R may be selected from CH3, C2H5 and C4H9, or a mixture of Sn(N(CH3)2)4 and HOCH2CH2OH.
In other embodiments, the metal precursor may contain a metal (M) and have the formula MαOβ (O—CmHn)ΓCxHy, where m, n, and a are arbitrary integers of 1 or more, β, Γ, x, and y are arbitrary integers of 0 or more, and β and Γ are not 0 at the same time. Examples of metals (M) having a high EUV absorption coefficient include, but are not limited to, tin (Sn), zirconium (Zr), antimony (Sb), indium (In), bismuth (Bi), zinc (Zn), hafnium (Hf), and aluminum (Al).
In some embodiments, the plasma-excited vapor may further include an additive precursor. For example, when the metal precursor contains tin (Sn) and has the formula SnαOβ (O—CmHn)ΓCxHy, the additive precursor added to the plasma-excited vapor may contain tin (Sn) and have a formula SnαCxHy, where m, n, and α are arbitrary integers of 1 or more. When the metal precursor contains a metal (M) and has the formula MαOβ (O—CmHn)ΓCxHy, the additive precursor added to the plasma-excited vapor may be: (a) a precursor containing a metal (M) and having a formula MαCxHy, where m, n, and α are arbitrary integers of 1 or more, and/or (b) a nitrogen containing precursor, such as nitrogen or an amine.
In the methods disclosed above, said heat-treating the semiconductor substrate may include heat-treating the semiconductor substrate to a substrate temperature between about 0° C. and about 400° C. to further polymerize the non-solid organometallic oxide polymer and form the photosensitive organometallic oxide polymer film with polymerized carbon-carbon bonds on the patterned layer and within the gaps between the plurality of structures. A wide variety of methods may be utilized to heat treat the semiconductor substrate. In one embodiment, said heat-treating the semiconductor substrate may utilize a plasma.
Various embodiments of methods are provided herein for filling narrow gaps within a patterned layer provided on the semiconductor substrate. Further embodiments of methods are provided herein for forming contact holes in a self-aligned multi-patterning process flow. Of course, the order of discussion of the different steps as described herein has been presented for clarity sake. In general, these steps can be performed in any suitable order. Additionally, although each of the different features, techniques, configurations, etc. herein may be discussed in different places of this disclosure, it is intended that each of the concepts can be executed independently of each other or in combination with each other. Accordingly, the present invention can be embodied and viewed in many different ways.
Note that this summary section does not specify every embodiment and/or incrementally novel aspect of the present disclosure or claimed inventions. Instead, this summary only provides a preliminary discussion of different embodiments and corresponding points of novelty over conventional techniques. For additional details and/or possible perspectives of the invention and embodiments, the reader is directed to the Detailed Description section and corresponding figures of the present disclosure as further discussed below.
A more complete understanding of the present inventions and advantages thereof may be acquired by referring to the following description taken in conjunction with the accompanying drawings, in which like reference numbers indicate like features. It is to be noted, however, that the accompanying drawings illustrate only exemplary embodiments of the disclosed concepts and are therefore not to be considered limiting of the scope, for the disclosed concepts may admit to other equally effective embodiments.
Embodiments of the present disclosure provide improved process flows and methods of forming a photosensitive organometallic oxide polymer film on a semiconductor substrate. Further embodiments utilize the photosensitive organometallic oxide polymer film in subsequent processing steps to form an EUV-active photoresist on a substrate, fill gaps within a patterned layer and/or create features (such as, e.g., holes, trenches, etc.) within a patterned layer.
In the present disclosure, chemical vapor polymerization (CVP) is utilized to deposit a non-solid, organometallic oxide polymer onto a surface of a semiconductor substrate (or onto a patterned layer formed above the substrate surface). The non-solid organometallic oxide polymer layer is deposited onto the substrate surface using a low temperature, low ion energy plasma process, which exposes the substrate surface to a plasma-excited vapor comprising a metal precursor having carbon-carbon double bonds. The low temperature, low ion energy plasma process forms a non-solid, organometallic oxide polymer (containing liquid-like oligomer units) having carbon-carbon bonds on the substrate surface. The semiconductor substrate is then subjected to a heat treatment (e.g., a thermal bake) to further polymerize the non-solid organometallic oxide polymer and form a photosensitive organometallic oxide polymer film with carbon-carbon bonds. The photosensitive organometallic oxide polymer film disclosed herein can be exposed to extreme ultraviolet (EUV) radiation to induce changes in material properties that enable portions of the photosensitive organometallic oxide polymer film to be selectively removed during subsequent processing steps. This enables the photosensitive organometallic oxide polymer film disclosed herein to be utilized in a wide range of semiconductor processes.
In some embodiments, the techniques disclosed herein can be used to create an EUV-active photoresist comprising an organometallic moiety for use in EUV photolithographic processes. As discussed in more detail below, an EUV-active photoresist formed in accordance with the present disclosure provides numerous advantages over conventional photoresists typically used for EUV lithography, such as CARs and vapor-deposited metal oxide-containing films, such as those described in the '004 Patent.
In other embodiments, the techniques disclosed herein can be used to create a photosensitive organometallic oxide polymer film with improved gap filling properties, and may be particularly useful when filling narrow gaps between structures in a patterned layer. Unlike conventional spin-on materials, the low temperature, low ion energy plasma process disclosed herein can be used to deposit a non-solid, organometallic oxide polymer (containing liquid-like oligomer units) on the patterned layer in a manner that completely fills the gaps between the structures. Subsequent heat treatment further polymerizes the non-solid, organometallic oxide polymer deposited on the patterned layer and within the gaps to form a photosensitive organometallic oxide polymer film, which can be selectively exposed to EUV radiation and selectively etched to create features (such as, e.g., holes, trenches, etc.) within the patterned layer.
In some embodiments, the techniques disclosed herein can be used to improve contact hole patterning in a self-aligned multi-patterning (SAMP) process flow. For example, the low temperature, low ion energy plasma process disclosed herein can be used to deposit liquid-like oligomer units on a patterned layer and within the gaps between the plurality of structures (e.g., the mandrels, spacers, etc.) formed during the SAMP process flow. The liquid-like oligomer units deposited on and within the patterned layer are photosensitive and offer excellent gap fill properties, especially in the narrow gaps formed during the SAMP process flow. Subsequent heat treatment further polymerizes the liquid-like oligomer units to form a new organometallic compound (e.g., a photosensitive organometallic oxide polymer film) in the SAMP process flow. Upon EUV exposure, the material properties of the photosensitive organometallic oxide polymer film can be tuned to change the etch selectivity within select portions of the photosensitive organometallic oxide polymer film and enable grid patterning.
Turning now to the Drawings,
As shown in
Once the non-solid organometallic oxide polymer layer 235 is deposited onto the substrate surface, the semiconductor substrate 210 is subjected to a heat treatment 240 (for example, a thermal bake) to further polymerize the non-solid organometallic oxide polymer layer 235 and form a photosensitive organometallic oxide polymer film 245 having carbon-carbon bonds on the substrate surface. The organometallic oxide polymer film 245 formed in accordance with the process flow 200 is an EUV-active photoresist film that can be patterned with EUV lithography and developed as shown, for example, in
As noted above, the plasma process 220 shown in
The plasma process 220 shown in
In other embodiments, a plasma processing system containing a remote plasma source can be used to perform the plasma process 220 shown in
The heat treatment 240 shown in
A wide variety of methods may be utilized to heat treat the semiconductor substrate 210. According to one embodiment, the heat treatment 240 step may be performed within a vacuum chamber at an elevated substrate temperature. In such embodiments, heat-treating may be performed under reduced pressure in the presence of an additive gas that can, for example, include hydrogen bromide (HBr), hydrogen (H2), helium (He), argon (Ar), neon (Ne), xenon (Xe), nitrogen (N2), and/or carbon monoxide (CO). In one example, the heat-treating may be performed using a substrate holder that acts as a hot-plate. Further, the heat-treating may be performed in the absence of plasma excitation, or by using plasma excitation of the additive gas and/or a high density plasma. In another example, the heat-treating may be performed by optical means such laser heating. Other methods for performing the polymerization shown in
A wide variety of metal precursors may be used during the plasma process 220 shown in
According to one embodiment, the metal precursor contains tin (Sn) and has the formula SnαOβ (O—CmHn)ΓCxHy, where m, n, and α are arbitrary integers of 1 or more, β, Γ, x, and y are arbitrary integers of 0 or more, and β and Γ are not 0 at the same time. Examples include SnR1(O—R2)3, SnR12(O—R2)2, SnHR1(O—R2)2, where R1: CH3, C2H3, C3H5, C4H7, or C6H6, and R2: CH3, C2H5, C3H7, or C4H9. Additional examples of a metal precursor containing tin (Sn) include SnCH3tBu(O—tBu)2, SntBu(O—tBu)3, SntBu(O—C3H7)3, SntBu(O—C2H5)3, SntBu(O—CH3)3, SnCH3C2H3(O—tBu)2, and SnCH3(C2H3)(O—CH3)2. Other examples of a metal precursor containing tin (Sn) include Sn(C2H4O2) and Sn(OR)2, where R may be selected from CH3, C2H5 and C4H9. Still other examples include a mixture of Sn(N(CH3)2)4 and HOCH2CH2OH.
According to another embodiment, the metal precursor contains tin (Sn) and has the formula SnxCyHz, where x, y, and z are arbitrary integers of 1 or more. In one example, the metal precursor is selected from the group consisting of Sn(CH3)4, Sn(C2H5)4, SnH(CH3)3, and SnH(C2H5)3. In such an embodiment, the plasma-excited vapor 225 containing the metal precursor can further include an additive gas such as, but not limited to, hydrogen (H2), helium (He), argon (Ar), neon (Ne), krypton (Kr), nitrogen (N2) or acetylene (C2H2).
According to yet another embodiment, the metal precursor contains a metal (M) and has the formula MαOβ (O—CmHn)ΓCxHy, where m, n, and α are arbitrary integers of 1 or more, β, Γ, x, and y are arbitrary integers of 0 or more, and β and Γ are not 0 at the same time. Examples of metals (M) having a high EUV absorption coefficient include, but are not limited to, tin (Sn), zirconium (Zr), antimony (Sb), indium (In), bismuth (Bi), zinc (Zn), hafnium (Hf), and aluminum (Al).
In some embodiments, the plasma-excited vapor 225 may include a metal precursor and an additive precursor. For example, when the metal precursor contains tin (Sn) and has the formula SnαOβ (O—CmHn)ΓCxHy, the additive precursor added to the plasma-excited vapor 225 may contain tin (Sn) and have a formula SnαCxHy, where m, n, and α are arbitrary integers of 1 or more. When the metal precursor contains a metal (M) and has the formula MαOβ (O—CmHn)ΓCxHy, the additive precursor added to the plasma-excited vapor 225 may contain a metal (M) and have a formula MαCxHy, where m, n, and α are arbitrary integers of 1 or more. In some embodiments, the plasma-excited vapor 225 may include a combination of precursors such as, for example, MαOβ (O—CmHn)ΓCxHy, MαCxHy, and/or a nitrogen containing precursor, where M is a metal. In another embodiment, the additive precursor added to the plasma-excited vapor 225 may contain nitrogen (N2) or an amine.
According to one embodiment, the photo-sensitivity of the EUV-active photoresist film to EUV radiation may be amplified with an additive monomer by introducing species with carbon-oxygen double bonds (C═O) that surround the organometallic oxide. According to one embodiment, the plasma-excited vapor 225 can further contain an additive monomer, such as for example, a hydrocarbon containing C═O bonds. For example, the plasma-excited vapor 225 can further contain an additive monomer, such as a ketone, an aldehyde, or an ester, each of which contains a carbonyl group with a carbon-oxygen double bond (C═O). The ketone may be selected from the group consisting of acetone, methyl ethyl ketone, methyl propyl ketone, and methyl isopropyl ketone. The aldehyde may be selected from the group consisting of formaldehyde, acetaldehyde, and propionaldehyde. The ester may be selected from the group consisting of ethyl methanoate, methyl acetate, ethyl acetate, methyl acrylate, methyl butanoate, and methyl salicylate.
According to one embodiment, the plasma-excited vapor 225 can include a metal precursor containing tin (Sn) and the additive monomer can contain a ketone, an aldehyde, or an ester. According to one embodiment, the plasma-excited vapor 225 can further include an additive gas such as, but not limited to, hydrogen (H2), helium (He), argon (Ar), neon (Ne), xenon (Xe), nitrogen (N2), carbon monoxide (CO), ammonia (NH3), or hydrogen sulfide (H2S).
The chemical vapor polymerization (CVP) shown in
In the example chemistry shown in
As shown in
After completing the EUV exposure 250 and the optional post-exposure bake (PEB) 260, a developing step 270 may be performed to remove a portion of the EUV-active photoresist for patterning, thereby providing a patterned photoresist 275 on the substrate surface. The developing step 270 may be a wet or dry process. Conventionally, a portion of the EUV-active photoresist may be removed by treating the substrate with a developing solution to dissolve the reacted (in case of a positive tone resist) or unreacted (in case of a negative tone resist) regions of the EUV-active photoresist. A similar wet process may be applied in various embodiments. Alternately, a dry process may be used to remove the reacted or unreacted regions of the EUV-active photoresist in other embodiments. The dry process may comprise, for example, a selective plasma etch process or a thermal process, advantageously eliminating the use of a developing solution. In certain embodiments, the dry process may be performed using reactive ion etching (RIE) process or atomic layer etching (ALE).
The EUV-active photoresist disclosed herein provides various advantages over conventional photoresists used for EUV lithography. For example, the EUV-active photoresist formed in the process flow 200 shown in
Further, the EUV-active photoresist disclosed herein may be formed over a substrate and developed by dry or wet processes. While conventional techniques used to apply and develop CARs are based on wet processes, dry processes for the formation and developing of the EUV-active photoresist disclosed herein provide better process control at the nanoscale than a wet process (e.g., when forming features that are a few nanometers or sub-nanometer in critical dimension). Although dry processes are preferred, conventional spin-on processes for deposition and wet processes using developing solutions are also available for the methods of this disclosure.
In addition to CARs, the EUV-active photoresist disclosed herein provides various advantages over conventional vapor-deposited metal oxide-containing films, such as those described in the '004 Patent. Unlike the conventional processes disclosed in the '004 Patent, which react various organotin oxide precursors with an oxidizer (for example, carbon dioxide or carbon monoxide) in a typical CVD/ALD process to form a solid metal oxide-containing film on the semiconductor substrate, the improved process flows and methods disclosed herein use a low temperature, low ion energy plasma process, which exposes the substrate surface to a plasma-excited vapor comprising a metal precursor having carbon-carbon double bonds to deposit a non-solid, organometallic oxide polymer layer (containing liquid-like oligomer units) having carbon-carbon bonds onto the substrate surface. The carbon-carbon double bonds provided within the metal precursor enhance polymerization during the subsequently performed heat treatment step to form the organometallic oxide polymer film with carbon-carbon bonds. The presence of carbon-carbon bonds in the organometallic oxide polymer film increases the mechanical strength and stability of the EUV-active photoresist disclosed herein compared to conventional vapor-deposited metal oxide-containing films containing Sn—OH and Sn—O—Sn bonds.
As noted above in the Background Section, incomplete filling may occur when spin-on materials (such as, e.g., spin-on glass (SOG), spin-on carbon (SOC) or other spin-on materials) are used to fill narrow gaps within a patterned layer. For example, voids or bubbles may be created within the gap fill material 145 when spin-on materials are used to fill the gaps shown in
In addition to forming EUV-active photoresists, the techniques disclosed herein can be used, in some embodiments, to provide new gap fill materials and methods for filling narrow gaps within a patterned layer provided on a semiconductor substrate.
As shown in
The method 400 further includes depositing a non-solid organometallic oxide polymer 535 containing liquid-like oligomer units on the patterned layer 512 via chemical vapor polymerization (in step 420). The non-solid organometallic oxide polymer 535 is preferably deposited in step 420 by performing a low temperature, low ion energy plasma process 520 that exposes the semiconductor substrate 510 to a plasma-excited vapor 525 comprising a metal precursor having carbon-carbon double bonds. In some embodiments, an additive precursor may also be included within the plasma-excited vapor 525. Examples of suitable metal precursors and additive precursors are discussed in more detail below.
The low temperature, low ion energy plasma process 520 performed in step 420 may be similar to the plasma process 220 shown in
When exposed to a plasma-excited vapor comprising a metal precursor having carbon-carbon double bonds under low temperature, low ion energy conditions, a non-solid organometallic oxide polymer 535 containing liquid-like oligomer units is deposited on the patterned layer 512 and within the gaps (g) between the plurality of structures 515 via chemical vapor polymerization (CVP) 530. The liquid-like oligomer units within the non-solid organometallic oxide polymer 535 flow into the gaps (g) between the plurality of structures 515. A capillary effect within the gaps (g) causes the liquid-like oligomer units to completely fill the gaps with the non-solid organometallic oxide polymer 535 as shown, for example, in
After forming the non-solid organometallic oxide polymer 535 on the patterned layer 512 and within the gaps (g) between the plurality of structures 515, the semiconductor substrate 510 is subjected to a heat treatment 540 (in step 430) to further polymerize the non-solid organometallic oxide polymer 535 and form a photosensitive organometallic oxide polymer film 545 having carbon-carbon bonds on the patterned layer 512 and within the gaps (g) between the plurality of structures 515. Depending on the metal precursor used during the plasma deposition step 420, the photosensitive organometallic oxide polymer film 545 formed in step 430 may contain a central metal atom of tin (Sn), zirconium (Zr), indium (In), antimony (Sb), bismuth (Bi), zinc (Zn), hafnium (Hf), or aluminum (Al), or combinations thereof.
A wide variety of methods may be utilized to heat-treat the semiconductor substrate 510 (in step 430) as discussed above in reference to
A wide variety of metal precursors may be utilized within the method 400 and process flow 500 shown in
In other embodiments, the metal precursor contains tin (Sn) and has the formula SnxCyHz, where x, y, and z are arbitrary integers of 1 or more. For example, the metal precursor may be selected from the group consisting of Sn(CH3)4, Sn(C2H5)4, SnH(CH3)3, and SnH(C2H5)3. In such an embodiment, the plasma-excited vapor 525 containing the metal precursor can further include an additive gas such as, but not limited to, hydrogen (H2), helium (He), argon (Ar), neon (Ne), krypton (Kr), nitrogen (N2) or acetylene (C2H2).
In further embodiments, the metal precursor contains a metal (M) and has the formula MαOβ (O—CmHn)ΓCxHy, where m, n, and α are arbitrary integers of 1 or more, β, Γ, x, and y are arbitrary integers of 0 or more, and β and Γ are not 0 at the same time. Examples of metals (M) having a high EUV absorption coefficient include, but are not limited to, tin (Sn), zirconium (Zr), antimony (Sb), indium (In), bismuth (Bi), zinc (Zn), hafnium (Hf), and aluminum (Al).
In some embodiments, the plasma-excited vapor 525 may include a metal precursor and an additive precursor. For example, when the metal precursor contains tin (Sn) and has the formula SnαOβ (O—CmHn)ΓCxHy, the additive precursor added to the plasma-excited vapor 525 may contain tin (Sn) and have a formula SnαCxHy, where m, n, and α are arbitrary integers of 1 or more. When the metal precursor contains a metal (M) and has the formula MαOβ (O—CmHn)ΓCxHy, the additive precursor added to the plasma-excited vapor 525 may contain a metal (M) and have a formula MαCxHy, where m, n, and α are arbitrary integers of 1 or more. In some embodiments, the plasma-excited vapor 525 may include a combination of precursors such as, for example, MαOβ (O—CmHn)ΓCxHy, MαCxHy, and/or a nitrogen containing precursor, where M is a metal. In another embodiment, the additive precursor added to the plasma-excited vapor 525 may contain nitrogen (N2) or an amine.
In some embodiments, the plasma-excited vapor 525 may further include an additive monomer to increase a photo-sensitivity of the photosensitive organometallic oxide polymer film 545 to EUV radiation. In some embodiments, the additive monomer may include a hydrocarbon containing carbon-oxygen double bonds. For example, the additive monomer may include a ketone, an aldehyde, or an ester.
In some embodiments, the step of exposing the surface of the semiconductor substrate to the plasma-excited vapor 525 may be performed at relatively low ion energies (e.g., less than 50 eV, and more specifically, between about 0 eV and about 5 eV) and relatively low substrate temperatures (e.g., less than about 150° C., and more specifically, between about −50° C. and about 0° C.). In such embodiments, the non-solid organometallic oxide polymer 535 formed on the patterned layer 512 and within the gaps (g) between the plurality of structures 515 may include liquid-like oligomer units having carbon-carbon bonds.
In some embodiments, the step of exposing the surface of the semiconductor substrate to the plasma-excited vapor 525 may be performed at relatively high reactive power. When using an organometal precursor of saturated hydrocarbon ligands such as, for example SnαOβ (O—CmHn)ΓCxHy, (where y=2x+1), reducing the hydrogen partial pressure in the plasma-excited vapor 525 enhances polymerization of the carbon-carbon (C—C) bonds to form the organic film. The reactivity of the plasma-excited vapor 525 is controlled by the RF power. Precursor molecules of SnαOβ (O—CmHn)ΓCxHy break with high reactive plasma-excited vapor, creating Sn—O—Sn bonds in the photoresist polymer with C—C bonds. The ratio of (—Sn—O—Sn—)/(—C—C—) improves stability and mechanical strength of the organic resist film.
The processes and methods disclosed herein provide various advantages over conventional gap fill materials and methods for filling narrow gaps within a patterned layer provided on a semiconductor substrate. Unlike conventional methods that utilize spin-on materials, the processes and methods disclosed herein utilize a low temperature, low ion energy plasma process 520 to form a non-solid, organometallic oxide polymer 535 on the patterned layer 512 that completely fills the gaps (g) between the plurality of structures 515. Upon subsequent heat treatment, the non-solid, organometallic oxide polymer 535 deposited on the patterned layer 512 and within the gaps (g) is further polymerized to form a photosensitive organometallic oxide polymer film 545 with improved photosensitivity, mechanical strength and stability compared to conventional photoresist materials.
In some embodiments, the photosensitive organometallic oxide polymer film 545 can be selectively exposed to EUV radiation and selectively etched to create features (such as, e.g., holes, trenches, etc.) within the patterned layer 512. As noted above, exposing the photosensitive organometallic oxide polymer film 545 to EUV radiation induce changes in material properties of the polymer film. During EUV exposure, the metal atoms (e.g., tin (Sn) atoms) in the photosensitive organometallic oxide polymer film 545 absorb EUV photons and expose secondary electrons to surrounding —C bondings to break Sn—C and O—C bonds, thus forming Sn—H and Sn—OH bonds, as shown in the example provided in
In some embodiments, the material changes induced within photosensitive organometallic oxide polymer film 545 after EUV exposure (and optional PEB) may enable portions of the photosensitive organometallic oxide polymer film 545 to be selectively removed during subsequent processing steps. In some embodiments, the photosensitive organometallic oxide polymer film 545 may be selectively exposed to EUV radiation to change an etch selectivity of a portion of the photosensitive organometallic oxide polymer film 545 formed within one or more of the gaps. After EUV exposure (and optional PEB), the photosensitive organometallic oxide polymer film 545 can be selectively etched to remove the portion of the photosensitive organometallic oxide polymer film 545 from the one or more of the gaps to create one or more features (such as, e.g., holes, trenches, etc.) within the patterned layer 512.
As feature scale size and pitch shrink in self-aligned multiple patterning (SAMP) processes, new gap fill materials are needed to increase etch selectivity and improve contact hole patterning. In some embodiments, the techniques disclosed herein can be used to improve contact hole patterning in a SAMP process flow. For example, the low temperature, low ion energy plasma process disclosed herein can be used to deposit liquid-like oligomer units on a patterned layer and within the gaps between the plurality of structures (e.g., the mandrels, spacers, etc.) formed during the SAMP process flow. The liquid-like oligomer units deposited on and within the patterned layer are photosensitive and offer excellent gap fill properties, especially in the narrow gaps formed during the SAMP process flow. Subsequent heat treatment further polymerizes the liquid-like oligomer units to form a new organometallic compound (e.g., a photosensitive organometallic oxide polymer film) in the SAMP process flow. Upon EUV exposure, the material properties of the photosensitive organometallic oxide polymer film can be tuned to change the etch selectivity within select portions of the photosensitive organometallic oxide polymer film and enable grid patterning.
The method 600 shown in
As shown in
In the embodiment shown in
In some embodiments, the substrate 700 may be exposed to EUV radiation 740, as shown in
In some embodiments, a second spacer deposition layer 750 may be deposited over the substrate 700, as shown in
After forming a patterned layer 760 on a semiconductor substrate (in step 610), as shown for example in
The low temperature, low ion energy plasma process performed in step 620 may be similar to the plasma process 520 shown in
When exposed to a plasma-excited vapor comprising a metal precursor having carbon-carbon double bonds under low temperature, low ion energy conditions, a non-solid organometallic oxide polymer containing liquid-like oligomer units is deposited on the patterned layer 760 and within the gaps (g) between the plurality of structures (e.g., spacers 755) via chemical vapor polymerization (CVP). The liquid-like oligomer units within the non-solid organometallic oxide polymer flow into the gaps (g) between the plurality of structures. A capillary effect within the gaps (g) causes the liquid-like oligomer units to completely fill the gaps with the non-solid organometallic oxide polymer as shown, for example, in
Once the non-solid organometallic oxide polymer is deposited on the patterned layer 760 and within the gaps (g) between the plurality of structures (e.g., spacers 755), the semiconductor substrate 700 is subjected to a heat treatment (in step 630) to further polymerize the non-solid organometallic oxide polymer and form a photosensitive organometallic oxide polymer film 765 having carbon-carbon bonds on the patterned layer 760 and within the gaps (g) between the plurality of structures, as shown for example in
A wide variety of methods may be utilized to heat-treat the semiconductor substrate 700 (in step 630) as discussed above in reference to
Unlike conventional processing methods that utilize spin-on materials, the low temperature, low ion energy plasma process and subsequent heat treatment described above can be used to form a photosensitive organometallic oxide polymer film 765 on a patterned layer 760 in a manner that completely fills the gaps (g) within the patterned layer. In addition to excellent gap fill properties, the photosensitive organometallic oxide polymer film 765 can be exposed to EUV light to change the etch selectivity of the polymer film and enable grid patterning.
After forming the photosensitive organometallic oxide polymer film 765 on the patterned layer 760 and within the gaps (g) between the plurality of structures (e.g., spacers 755), the method 600 may selectively expose the photosensitive organometallic oxide polymer film 765 to EUV radiation 770 to change an etch selectivity of a portion of the photosensitive organometallic oxide polymer film 765 formed within one or more of the gaps (in step 640). After EUV exposure, the photosensitive organometallic oxide polymer film 765 may be selectively etched to remove the portion of the photosensitive organometallic oxide polymer film 765 from the one or more of the gaps to create one or more features (such as, e.g., holes, trenches, etc.) on the one or more underlying layers 705 (in step 650).
When a SADP process flow as shown in
As shown in
In some embodiments, the method 600 may selectively etch the photosensitive organometallic oxide polymer film 765 (in step 650) by removing the exposed portion 780 of the photosensitive organometallic oxide polymer film 765 from the one or more of the gaps to create one or more features 785 on the one or more underlying layers 705, as shown in
Embodiments of the present disclosure provide improved process flows and methods of forming a photosensitive organometallic oxide polymer film on a semiconductor substrate. Further embodiments utilize the photosensitive organometallic oxide polymer film in subsequent processing steps to form an EUV-active photoresist on a substrate, fill gaps within a patterned layer and/or create features (such as, e.g., holes, trenches, etc.) within a patterned layer.
The process flows and methods disclosed herein improve upon conventional methods of forming EUV-active photoresists and photosensitive materials by utilizing chemical vapor polymerization (CVP) to deposit metal oxide resist complexes on a substrate surface (or a patterned layer) using a low temperature, low ion energy plasma process. The low temperature, low ion energy plasma process uses a variety of metal precursors having carbon-carbon double bounds to form liquid-like oligomer units on the substrate surface (or patterned layer) which further polymerize upon heat treatment to form new organometallic compounds with improved photosensitivity, mechanical strength and stability compared to conventional EUV-active photoresists.
Using the process flows and methods disclosed herein, the new organometallic compounds are formed with excellent uniformity and better nucleation on the underlying surfaces (even hydrophobic surfaces). The process flows and methods disclosed herein also provide faster deposition on hydrophobic surfaces by using CVP to deposit liquid-like oligomer units on the substrate surface (or patterned layer), instead of depositing a rigid metal oxide film using traditional CVD or ALD. Although the new organometallic compounds described herein can be deposited at a wide variety of thicknesses (e.g., less than 10 nm up to several hundred nm), the process flows and methods disclosed herein may enable a thinner, more uniform photoresist coating to be deposited onto the substrate surface, which in turn, can be used to transfer sub-10 nm features to underlying layers of the substrate.
The term “substrate” as used herein means and includes a base material or construction upon which materials are formed. It will be appreciated that the substrate may include a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or different structures in them, etc. These materials may include semiconductors, insulators, conductors, or combinations thereof. For example, the substrate may be a semiconductor substrate, a base semiconductor layer on a supporting structure, a metal electrode or a semiconductor substrate having one or more layers, structures or regions formed thereon. The substrate may be a conventional silicon substrate or other bulk substrate comprising a layer of semi-conductive material. As used herein, the term “bulk substrate” means and includes not only silicon wafers, but also silicon-on-insulator (“SOI”) substrates, such as silicon-on-sapphire (“SOS”) substrates and silicon-on-glass (“SOG”) substrates, epitaxial layers of silicon on a base semiconductor foundation, and other semiconductor or optoelectronic materials, such as silicon-germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide. The substrate may be doped or undoped.
The substrate may also include any material portion or structure of a device, particularly a semiconductor or other electronics device, and may, for example, be a base substrate structure, such as a semiconductor substrate or a layer on or overlying a base substrate structure. Thus, the term “substrate” is not intended to be limited to any particular base structure, underlying layer or overlying layer, patterned layer or unpatterned layer, but rather, is contemplated to include any such layer or base structure, and any combination of layers and/or base structures.
It is noted that reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but do not denote that they are present in every embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments.
One skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Nevertheless, the invention may be practiced without specific details. Furthermore, it is understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
Further modifications and alternative embodiments of the methods described herein will be apparent to those skilled in the art in view of this description. It will be recognized, therefore, that the described methods are not limited by these example arrangements. It is to be understood that the forms of the methods herein shown and described are to be taken as example embodiments. Various changes may be made in the implementations. Thus, although the inventions are described herein with reference to specific embodiments, various modifications and changes can be made without departing from the scope of the present inventions. Accordingly, the specification and figures are to be regarded in an illustrative rather than a restrictive sense, and such modifications are intended to be included within the scope of the present inventions. Further, any benefits, advantages, or solutions to problems that are described herein with regard to specific embodiments are not intended to be construed as a critical, required, or essential feature or element of any or all the claims.
This application is a Continuation-in-Part (CIP) of U.S. patent application Ser. No. 18/216,168, filed Jun. 29, 2023, which claims priority to U.S. Provisional Patent Application Ser. No. 63/394,471, filed Aug. 2, 2022, U.S. Provisional Patent Application Ser. No. 63/442,079, filed Jan. 30, 2023, and U.S. Provisional Patent Application Ser. No. 63/456,343, filed Mar. 31, 2023, each of which is entitled “Method of Forming Photosensitive Organometallic Oxides by Chemical Vapor Polymerization”; the disclosures of which are expressly incorporated herein, in their entirety, by reference.
| Number | Date | Country | |
|---|---|---|---|
| 63394471 | Aug 2022 | US | |
| 63442079 | Jan 2023 | US | |
| 63456343 | Mar 2023 | US |
| Number | Date | Country | |
|---|---|---|---|
| Parent | 18216168 | Jun 2023 | US |
| Child | 19048395 | US |