Resist composition and patterning process

Information

  • Patent Grant
  • 10126647
  • Patent Number
    10,126,647
  • Date Filed
    Tuesday, August 30, 2016
    7 years ago
  • Date Issued
    Tuesday, November 13, 2018
    5 years ago
Abstract
A resist composition comprising a base polymer and a sulfonium salt of amino-containing carboxylic acid offers dimensional stability on PPD and a satisfactory resolution.
Description
CROSS-REFERENCE TO RELATED APPLICATION

This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2015-181793 filed in Japan on Sep. 15, 2015, the entire contents of which are hereby incorporated by reference.


TECHNICAL FIELD

This invention relates to a resist composition and a pattern forming process.


BACKGROUND ART

To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. The wide-spreading flash memory market and the demand for increased storage capacities drive forward the miniaturization technology. As the advanced miniaturization technology, manufacturing of microelectronic devices at the 65-nm node by the ArF lithography has been implemented in a mass scale. Manufacturing of 45-nm node devices by the next generation ArF immersion lithography is approaching to the verge of high-volume application. The candidates for the next generation 32-nm node include ultra-high NA lens immersion lithography using a liquid having a higher refractive index than water in combination with a high refractive index lens and a high refractive index resist film, EUV lithography of wavelength 13.5 nm, and double patterning version of the ArF lithography, on which active research efforts have been made.


Chemically amplified resist compositions comprising an acid generator capable of generating an acid upon exposure to light or EB include chemically amplified positive resist compositions wherein deprotection reaction takes place under the action of acid and chemically amplified negative resist compositions wherein crosslinking reaction takes place under the action of acid. Quenchers are often added to these resist compositions for the purpose of controlling the diffusion of the acid to unexposed areas to improve the contrast. The addition of quenchers is fully effective to this purpose. A number of amine quenchers were proposed as disclosed in Patent Documents 1 to 3.


As the pattern feature size is reduced, approaching to the diffraction limit of light, light contrast lowers. In the case of positive resist film, a lowering of light contrast leads to reductions of resolution and focus margin of hole and trench patterns.


For mitigating the influence of reduced resolution of resist pattern due to a lowering of light contrast, an attempt is made to enhance the dissolution contrast of resist film. One such attempt is a chemically amplified resist material utilizing an acid amplifying mechanism that a compound is decomposed with an acid to generate another acid. In general, the concentration of acid creeps up linearly with an increase of exposure dose. In the case of the acid amplifying mechanism, the concentration of acid jumps up non-linearly as the exposure dose increases. The acid amplifying system is beneficial for further enhancing the advantages of chemically amplified resist film including high contrast and high sensitivity, but worsens the drawbacks of chemically amplified resist film that environmental resistance is degraded by amine contamination and maximum resolution is reduced by an increase of acid diffusion distance. The acid amplifying system is very difficult to control when implemented in practice.


Another approach for enhanced contrast is by reducing the concentration of amine with an increasing exposure dose. This may be achieved by applying a compound which loses the quencher function upon light exposure.


With respect to the acid labile group used in methacrylate polymers for the ArF lithography, deprotection reaction takes place when a photoacid generator capable of generating a sulfonic acid having fluorine substituted at α-position (referred to “α-fluorinated sulfonic acid”) is used, but not when an acid generator capable of generating a sulfonic acid not having fluorine substituted at α-position (referred to “α-non-fluorinated sulfonic acid”) or carboxylic acid is used. If a sulfonium or iodonium salt capable of generating an α-fluorinated sulfonic acid is combined with a sulfonium or iodonium salt capable of generating an α-non-fluorinated sulfonic acid, the sulfonium or iodonium salt capable of generating an α-non-fluorinated sulfonic acid undergoes ion exchange with the α-fluorinated sulfonic acid. Through the ion exchange, the α-fluorinated sulfonic acid thus generated by light exposure is converted back to the sulfonium or iodonium salt while the sulfonium or iodonium salt of an α-non-fluorinated sulfonic acid or carboxylic acid functions as a quencher.


Further, the sulfonium or iodonium salt capable of generating an α-non-fluorinated sulfonic acid also functions as a photodegradable quencher since it loses the quencher function by photodegradation. Non-Patent Document 3 points out that the addition of a photodegradable quencher expands the margin of a trench pattern although the structural formula is not illustrated. However, it has only a little influence on performance improvement. There is a desire to have a quencher for further improving contrast.


Patent Document 4 discloses a quencher of onium salt type which reduces its basicity through a mechanism that it generates an amino-containing carboxylic acid upon light exposure, which in turn forms a lactam in the presence of acid. Due to the mechanism that basicity is reduced under the action of acid, acid diffusion is controlled by high basicity in the unexposed region where the amount of acid generated is minimal, whereas acid diffusion is promoted due to reduced basicity of the quencher in the overexposed region where the amount of acid generated is large. This expands the difference in acid amount between the exposed and unexposed regions, from which an improvement in contrast is expected.


Attention is now paid to the negative tone pattern forming process via organic solvent development. In an attempt to form a hole pattern by light exposure, a hole pattern having the minimum pitch can be formed by a combination of a bright-pattern mask with a negative tone resist. There is the problem that the pattern as developed varies in size due to a lapse of time, known as post exposure bake to development delay (PEBDD) or post PEB delay (PPD). The reason is that during storage of the resist film at room temperature after PEB, the acid gradually diffuses into the unexposed region where deprotection reaction takes place. One solution to the PPD problem is to use a protective group having a high level of activation energy and to effect PEB at high temperature. Since PPD is a reaction at room temperature, the influence of PPD is mitigated as the temperature gap between PEB and PPD is greater. Use of an acid generator capable of generating an acid having a bulky anion is also effective for mitigating the influence of PPD. While a proton serving as acid pairs with an anion, the hopping of proton is reduced as the size of anion becomes larger.


Another component that is expected effective for mitigating the influence of PPD is a quencher. Conventional quenchers were developed for the purpose of suppressing acid diffusion during PEB at high temperature for thereby enhancing the contrast of deprotection reaction. For mitigating the influence of PPD, it is desired from a different viewpoint to develop a quencher capable of suppressing acid diffusion at room temperature.


CITATION LIST



  • Patent Document 1: JP-A 2001-194776

  • Patent Document 2: JP-A 2002-226470

  • Patent Document 3: JP-A 2002-363148

  • Patent Document 4: JP-A 2015-090382

  • Non-Patent Document 1: SPIE Vol. 5039 p1 (2003)

  • Non-Patent Document 2: SPIE Vol. 6520 p65203L-1 (2007)

  • Non-Patent Document 3: SPIE Vol. 7639 p76390W (2010)



DISCLOSURE OF INVENTION

Desired are quenchers capable of suppressing acid diffusion at room temperature, providing a high dissolution contrast, and reducing edge roughness (LWR) rather than such quenchers as amine quenchers, sulfonium and iodonium salts of sulfonic acid and carboxylic acid.


An object of the invention is to provide a resist composition which exhibits a high dissolution contrast, a reduced LWR, and no dimensional changes on PPD, independent of whether it is of positive tone or negative tone; and a pattern forming process using the same.


The inventors have found that using a sulfonium salt of carboxylic acid containing a substituted or unsubstituted amino group as the quencher, a resist film having a reduced LWR, a high dissolution contrast, and no dimensional changes on PPD is obtainable.


In one aspect, the invention provides a resist composition comprising a base polymer and a sulfonium salt having the formula (A).




embedded image



Herein R1 is hydrogen or a straight, branched or cyclic C1-C6 alkyl group; R2 is selected from the group consisting of hydrogen, straight, branched or cyclic C1-C6 alkyl, acetyl, methoxycarbonyl, ethoxycarbonyl, n-propyloxycarbonyl, isopropyloxycarbonyl, t-butoxycarbonyl, t-pentyloxycarbonyl, methylcyclopentyloxycarbonyl, ethylcyclopentyloxycarbonyl, methylcyclohexyloxycarbonyl, ethylcyclohexyloxycarbonyl, 9-fluorenylmethyloxycarbonyl, allyloxycarbonyl, phenyl, benzyl, naphthyl, naphthylmethyl, methoxymethyl, ethoxymethyl, propoxymethyl, and butoxymethyl; R3 and R4 are each independently hydrogen, or a straight, branched or cyclic C1-C12 alkyl group, C6-C20 aryl group, C2-C12 alkenyl group or C2-C12 alkynyl group which may contain an ester, ether, sulfide, sulfoxide, sulfone, halogen, amino, amide, hydroxy, thiol or nitro moiety, R3 and R4, taken together, may form a double bond, with the proviso that the total number of carbon atoms in R1 to R4 is at least 7 when R3 and R4 are free of fluorine, and the total number of carbon atoms in R1 to R4 is at least 1 when R3 and R4 contain fluorine; R5, R6 and R7 are each independently a straight, branched or cyclic C1-C12 alkyl or oxoalkyl group, a straight, branched or cyclic C2-C12 alkenyl or oxoalkenyl group, C6-C20 aryl group, or C7-C12 aralkyl or aryloxoalkyl group, in which at least one hydrogen may be substituted by a substituent containing an ether, ester, carbonyl, carbonate, hydroxyl, carboxyl, halogen, cyano, amide, nitro, sultone, sulfonic acid ester, sulfone moiety or sulfonium salt, or R5 and R6 may bond together to form a ring with the sulfur atom to which they are attached.


The resist composition may further comprise an acid generator capable of generating sulfonic acid, imide acid or methide acid, and an organic solvent.


In a preferred embodiment, the base polymer comprises recurring units having the general formula (a1) or recurring units having the general formula (a2).




embedded image



Herein R11 and R13 are each independently hydrogen or methyl, R12 and R14 are each independently an acid labile group, X is a single bond, ester group, phenylene group, naphthylene group or a C1-C12 linking group containing lactone ring, and Y is a single bond or ester group.


The resist composition may further comprise a dissolution inhibitor.


Typically the resist composition is a chemically amplified positive resist composition.


In another preferred embodiment, the resist composition is a chemically amplified negative resist composition; the base polymer is free of an acid labile group; and the resist composition may further comprise a crosslinker.


In a preferred embodiment, the base polymer further comprises recurring units of at least one type selected from the formulae (f1) to (f3).




embedded image



Herein R51, R55 and R59 each are hydrogen or methyl; R52 is a single bond, phenylene, —O—R63—, or —C(═O)—Y1—R3—, Y1 is —O— or —NH—, R63 is a straight, branched or cyclic C3-C6 alkylene or C2-C6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group; R53, R54, R56, R57, R58, R60, R61, and R62 are each independently a straight, branched or cyclic C1-C12 alkyl group which may contain a carbonyl, ester or ether moiety, or a C6-C12 aryl group, C7-C20 aralkyl group or mercaptophenyl group; A1 is a single bond, -A0-C(═O)—O—, -A0-O— or -A-O—C(═O)—, A0 is a straight, branched or cyclic C1-C12 alkylene group which may contain a carbonyl, ester or ether moiety; A2 is hydrogen or trifluoromethyl; Z1 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—R64—, or —C(═O)—Z2—R64—, Z2 is —O— or —NH—, R64 is a straight, branched or cyclic C1-C6 alkylene or C2-C6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group; M is a non-nucleophilic counter ion; and f1, f2 and f3 are numbers in the range: 0≤f1≤0.5, 0≤f2≤0.5, 0≤f3≤0.5, and 0<f1+f2+f3≤0.5.


The resist composition may further comprise a surfactant.


In another aspect, the invention provides a process for forming a pattern comprising the steps of applying the resist composition defined above onto a substrate, baking to form a resist film, exposing the resist film to high-energy radiation, and developing the exposed film in a developer.


Typically, the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm, KrF excimer laser radiation of wavelength 248 nm, EB, or EUV of wavelength 3 to 15 nm.


Advantageous Effects of Invention

Since a resist film containing a sulfonium salt of formula (A) exhibits a high dissolution contrast, it offers improved resolution, a wide focus margin, a reduced LWR, and no dimensional changes on PPD as a positive or negative tone resist film subject to alkaline development and as a negative tone resist film subject to organic solvent development.







DESCRIPTION OF EMBODIMENTS

As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. “Optional” or “optionally” means that the subsequently described event or circumstances may or may not occur, and that description includes instances where the event or circumstance occurs and instances where it does not. The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. Me stands for methyl, Ac for acetyl, and Ph for phenyl.


The abbreviations and acronyms have the following meaning.


EB: electron beam


EUV: extreme ultraviolet


Mw: weight average molecular weight


Mn: number average molecular weight


Mw/Mn: molecular weight distribution or dispersity


GPC: gel permeation chromatography


PEB: post-exposure bake


PPD: post PEB delay


PAG: photoacid generator


LWR: line width roughness


Resist Composition


The resist composition of the invention is defined as comprising a base polymer and a sulfonium salt of carboxylic acid containing a substituted or unsubstituted amino group. Although the sulfonium salt is an acid generator capable of generating carboxylic acid of specific structure containing a substituted or unsubstituted amino group upon light exposure, it functions as a quencher because of inclusion of amino group. Since the carboxylic acid does not possess a sufficient acidity to induce deprotection reaction of an acid labile group, it is recommended to separately add an acid generator capable of generating a strong acid such as sulfonic acid, imide acid or methide acid, as will be described later, in order to induce deprotection reaction of an acid labile group. The acid generator capable of generating sulfonic acid, imide acid or methide acid may be either of separate type which is added to the base polymer or of bound type which is bound in the base polymer.


When a resist composition containing the sulfonium salt of carboxylic acid containing a substituted or unsubstituted amino group in admixture with an acid generator capable of generating a perfluoroalkylsulfonic acid or superstrong acid is exposed to radiation, carboxylic acid containing a substituted or unsubstituted amino group and perfluoroalkylsulfonic acid generate. Since the acid generator is not entirely decomposed, the undecomposed acid generator is present nearby. When the sulfonium salt capable of generating carboxylic acid containing a substituted or unsubstituted amino group co-exists with the perfluoroalkylsulfonic acid, ion exchange takes place whereby a sulfonium salt of perfluoroalkylsulfonic acid is created and carboxylic acid containing a substituted or unsubstituted amino group is released. This is because the salt of perfluoroalkylsulfonic acid having a high acid strength is more stable. In contrast, when a sulfonium salt of perfluoroalkylsulfonic acid co-exists with a carboxylic acid containing a substituted or unsubstituted amino group, no ion exchange takes place. The ion exchange reaction according to the acid strength series occurs not only with sulfonium salts, but also similarly with iodonium salts. Likewise, ion exchange takes place not only with the perfluoroalkylsulfonic acid, but also similarly with arylsulfonic acid, alkylsulfonic acid, imide acid and methide acid having a higher acid strength than the carboxylic acid containing a substituted or unsubstituted amino group.


While the resist composition of the invention should essentially contain the sulfonium salt of carboxylic acid containing a substituted or unsubstituted amino group, another sulfonium or iodonium salt may be separately added as the quencher. Examples of the sulfonium or iodonium salt to be added as the quencher include sulfonium or iodonium salts of carboxylic acid, sulfonic acid, imide acid and saccharin. The carboxylic acid used herein may or may not be fluorinated at α-position.


The sulfonium salt of carboxylic acid containing an optionally substituted amino group exerts a contrast enhancing effect, which may stand good either in positive and negative tone pattern formation by alkaline development or in negative tone pattern formation by organic solvent development.


Sulfonium Salt of Amino Containing Carboxylic Acid


The sulfonium salt of carboxylic acid containing a substituted or unsubstituted amino group has the following formula (A).




embedded image


Herein R1 is hydrogen or a straight, branched or cyclic C1-C6 alkyl group. R2 is hydrogen, a straight, branched or cyclic C1-C6 alkyl group, acetyl, methoxycarbonyl, ethoxycarbonyl, n-propyloxycarbonyl, isopropyloxycarbonyl, t-butoxycarbonyl, t-pentyloxycarbonyl, methylcyclopentyloxycarbonyl, ethylcyclopentyloxycarbonyl, methylcyclohexyloxycarbonyl, ethylcyclohexyloxycarbonyl, 9-fluorenylmethyloxycarbonyl, allyloxycarbonyl, phenyl, benzyl, naphthyl, naphthylmethyl, methoxymethyl, ethoxymethyl, propoxymethyl, or butoxymethyl.


R3 and R4 are each independently hydrogen, or a straight, branched or cyclic C1-C12 alkyl group, C6-C20 aryl group, C2-C12 alkenyl group or C2-C12 alkynyl group which may contain an ester, ether, sulfide, sulfoxide, sulfone, halogen (e.g., fluorine, chlorine, bromine or iodine), amino, amide, hydroxy, thiol or nitro moiety, R3 and R4, taken together, may form a double bond.


When R3 and R4 are free of fluorine, the total number of carbon atoms in R1 to R4 is at least 7, preferably at least 8, more preferably at least 9. If the total number of carbon atoms in R1 to R4 is less than 7 in the case of fluorine-free R3 and R4, then the acid diffusion suppressing effect is reduced. When R3 and R4 contain fluorine, the total number of carbon atoms in R1 to R4 is at least 1. When R3 and R4 have many carbon atoms, contain a cyclic structure, and further contain oxygen, nitrogen, sulfur, halogen or another atom, acid diffusion is effectively suppressed.


Examples of the anion in the sulfonium salt having formula (A) are given below, but not limited thereto. R1 and R2 are as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In formula (A), R5, R6 and R7 are each independently a straight, branched or cyclic C1-C12 alkyl or oxoalkyl group, a straight, branched or cyclic C2-C12 alkenyl or oxoalkenyl group, C6-C20 aryl group, or C7-C12 aralkyl or aryloxoalkyl group, in which one or more or even all hydrogen may be substituted by a substituent containing an ether, ester, carbonyl, carbonate, hydroxyl, carboxyl, halogen, cyano, amide, nitro, sultone, sulfonic acid ester, sulfone moiety or sulfonium salt, or R5 and R6 may bond together to form a ring with the sulfur atom to which they are attached.


Examples of the cation in the sulfonium salt having formula (A) are given below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The sulfonium salt having formula (A) may be synthesized, for example, by ion exchange of a carboxylic acid having formula (A′) with a sulfonium salt of weaker acid than the carboxylic acid. Typical of the weaker acid than the carboxylic acid is carbonic acid. Alternatively, the sulfonium salt may be synthesized by ion exchange of a sodium salt of a carboxylic acid having formula (A′) with a sulfonium chloride.




embedded image



Herein R1 to R4 are as defined above. As the optionally substituted amino-containing carboxylic acid having formula (A′), any of commercially available acids may be used.


In the resist composition, the sulfonium salt having formula (A) is preferably used in an amount of 0.001 to 50 parts, more preferably 0.01 to 20 parts by weight per 100 parts by weight of the base polymer, as viewed from sensitivity and acid diffusion suppressing effect.


Base Polymer


Where the resist composition is of positive tone, the base polymer comprises recurring units containing an acid labile group, preferably recurring units having the general formula (a1) or recurring units having the general formula (a2). These units are simply referred to as recurring units (a1) and (a2).




embedded image


Herein R11 and R13 are each independently hydrogen or methyl. R12 and R14 are each independently an acid labile group. X is a single bond, ester group, phenylene group, naphthylene group or a C1-C12 linking group containing lactone ring, with a single bond, phenylene or naphthylene being preferred. Y is a single bond or ester group, with a single bond being preferred.


Examples of the recurring units (a1) are shown below, but not limited thereto. R11 and R12 are as defined above.




embedded image


embedded image


The acid labile groups represented by R12 and R14 in the recurring units (a1) and (a2) may be selected from a variety of such groups. The acid labile groups may be the same or different and include those groups described in JP-A 2013-080033 (U.S. Pat. No. 8,574,817) and JP-A 2013-083821 (U.S. Pat. No. 8,846,303), for example. The preferred acid labile groups include groups of the following formulae (AL-1) to (AL-3).




embedded image


In formulae (AL-1) and (AL-2), R15 and R18 are each independently a monovalent hydrocarbon group of 1 to 40 carbon atoms, preferably 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. R16 and R17 are each independently hydrogen or a monovalent hydrocarbon group of 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. A1 is an integer of 0 to 10, especially 1 to 5. A pair of R16 and R17, R16 and R18, or R17 and R18 may bond together to form a ring, typically alicyclic, with the carbon atom or carbon and oxygen atoms to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.


In formula (AL-3), R19, R20 and R21 are each independently a monovalent hydrocarbon group of 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. A pair of R19 and R20, R19 and R21, or R20 and R21 may bond together to form a ring, typically alicyclic, with the carbon atom to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.


The base polymer may further comprise recurring units (b) having a phenolic hydroxyl group as an adhesive group. Examples of suitable monomers from which recurring units (b) are derived are given below, but not limited thereto.




embedded image


embedded image


Further, recurring units (c) having another adhesive group selected from hydroxyl (other than the foregoing phenolic hydroxyl), lactone ring, ether, ester, carbonyl and cyano groups may also be incorporated in the base polymer. Examples of suitable monomers from which recurring units (c) are derived are given below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


In the case of a monomer having a hydroxyl group, the hydroxyl group may be replaced by an acetal group susceptible to deprotection with acid, typically ethoxyethoxy, prior to polymerization, and the polymerization be followed by deprotection with weak acid and water. Alternatively, the hydroxyl group may be replaced by an acetyl, formyl, pivaloyl or similar group prior to polymerization, and the polymerization be followed by alkaline hydrolysis.


In another preferred embodiment, the base polymer may further comprise recurring units (d) selected from units of indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, and norbornadiene, or derivatives thereof. Suitable monomers are exemplified below.




embedded image


Besides the recurring units described above, further recurring units (e) may be incorporated in the base polymer, examples of which include styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, methyleneindene, vinylpyridine, and vinylcarbazole.


In a further embodiment, recurring units (f) derived from an onium salt having polymerizable olefin may be incorporated in the base polymer. JP-A 2005-084365 discloses sulfonium and iodonium salts having polymerizable olefin capable of generating a sulfonic acid. JP-A 2006-178317 discloses a sulfonium salt having sulfonic acid directly attached to the main chain.


In a preferred embodiment, the base polymer may further comprise recurring units of at least one type selected from formulae (f1), (f2) and (f3). These units are simply referred to as recurring units (f1), (f2) and (f3), which may be used alone or in combination of two or more types.




embedded image


Herein R51, R55 and R59 each are hydrogen or methyl. R52 is a single bond, phenylene, —O—R63—, or —C(═O)—Y1—R63—, wherein Y1 is —O— or —NH—, and R63 is a straight, branched or cyclic C1-C6 alkylene or C2-C6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group. R53, R54, R56, R57, R58, R60, R61, and R62 are each independently a straight, branched or cyclic C1-C12 alkyl group which may contain a carbonyl, ester or ether moiety, or a C6-C12 aryl group, C7-C20 aralkyl group or mercaptophenyl group. A1 is a single bond, -A0-C(═O)—O—, -A0-O— or -A0-O—C(═O)—, wherein A0 is a straight, branched or cyclic C1-C12 alkylene group which may contain a carbonyl, ester or ether moiety. A2 is hydrogen or trifluoromethyl. Z1 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—R64—, or —C(═O)—Z2—R64—, wherein Z2 is —O— or —NH—, and R64 is a straight, branched or cyclic C1-C6 alkylene or C2-C6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group. M is a non-nucleophilic counter ion, and f1, f2 and f3 are numbers in the range: 0≤f1≤0.5, 0≤f2≤0.5, 0≤f3≤0.5, and 0<f1+f2+f3≤0.5.


Examples of the monomer from which recurring unit (f1) is derived are shown below, but not limited thereto. M is as defined above.




embedded image


embedded image


embedded image


embedded image


Examples of the non-nucleophilic counter ion M include halide ions such as chloride and bromide ions; fluoroalkylsulfonate ions such as triflate, 1,1,1-trifluoroethanesulfonate, and nonafluorobutanesulfonate; arylsulfonate ions such as tosylate, benzenesulfonate, 4-fluorobenzenesulfonate, and 1,2,3,4,5-pentafluorobenzenesulfonate; alkylsulfonate ions such as mesylate and butanesulfonate; imidates such as bis(trifluoromethylsulfonyl)imide, bis(perfluoroethylsulfonyl)imide and bis(perfluorobutylsulfonyl)imide; methidates such as tris(trifluoromethylsulfonyl)methide and tris(perfluoroethylsulfonyl)methide.


Also included are sulfonates having fluorine substituted at α-position as represented by the formula (K-1) and sulfonates having fluorine substituted at α- and β-positions as represented by of the formula (K-2).




embedded image



In formula (K-1), R65 is hydrogen, or a straight, branched or cyclic C1-C20, alkyl group, C2-C20 alkenyl group, or C6-C20 aryl group, which may have an ether, ester, carbonyl moiety, lactone ring, or fluorine atom. In formula (K-2), R66 is hydrogen, or a straight, branched or cyclic C1-C30, alkyl or acyl group, C2-C20 alkenyl group, or C6-C12 aryl or aryloxy group, which may have an ether, ester, carbonyl moiety or lactone ring.


Examples of the monomer from which recurring unit (f2) is derived are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the monomer from which recurring unit (f3) is derived are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


The attachment of an acid generator to the polymer main chain is effective in restraining acid diffusion, thereby preventing a reduction of resolution due to blur by acid diffusion. Also roughness (LWR) is improved since the acid generator is uniformly distributed. Where a base polymer containing recurring units of at least one type selected from recurring units (f1) to (f3) is used, the addition of a separate PAG may be omitted.


The base polymer for formulating the positive resist composition comprises recurring units (a1) or (a2) having an acid labile group as essential component and additional recurring units (b), (c), (d), (e), (f1), (f2) and (f3) as optional components. A fraction of units (a1), (a2), (b), (c), (d), (e), (f1), (f2) and (f3) is: preferably 0≤a1<1.0, 0≤a2<1.0, 0<a1+a2<1.0, 0≤b≤0.9, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, 0≤f1≤0.5, 0≤f2≤0.5, and 0≤f3≤0.5; more preferably 0≤a1≤0.9, 0≤a2≤0.9, 0.1≤a1+a2≤0.9, 0≤b≤0.8, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, 0≤f1≤0.4, 0≤f2≤0.4, and 0≤f3≤0.4; and even more preferably 0≤a1≤0.8, 0≤a2≤0.8, 0.1≤a1+a2≤0.8, 0≤b≤0.75, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, 0≤f1≤0.3, 0≤f2≤0.3, and 0≤f3≤0.3. Note a1+a2+b+c+d+e+f1+f2+f3=1.0.


For the base polymer for formulating the negative resist composition, an acid labile group is not necessarily essential. The base polymer comprises recurring units (b), and optionally recurring units (c), (d), (e), (f1), (f2) and/or (f3). A fraction of these units is: 0<b≤1.0, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, 0≤f1≤0.5, 0≤f2≤0.5, and 0≤f3≤0.5; preferably 0.2≤b≤1.0, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, 0≤f1≤0.4, 0≤f2≤0.4, and 0≤f3≤0.4; and more preferably 0.3≤b≤1.0, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, 0≤f1≤0.3, 0≤f2≤0.3, and 0≤f3≤0.3. Note b+c+d+e+f1+f2+f3=1.0.


The base polymer may be synthesized by any desired methods, for example, by dissolving one or more monomers selected from the monomers corresponding to the foregoing recurring units in an organic solvent, adding a radical polymerization initiator thereto, and effecting heat polymerization. Examples of the organic solvent which can be used for polymerization include toluene, benzene, tetrahydrofuran, diethyl ether and dioxane. Examples of the polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. Preferably the system is heated at 50 to 80° C. for polymerization to take place. The reaction time is 2 to 100 hours, preferably 5 to 20 hours.


When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, an alternative method is possible. Specifically, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis as mentioned above, for thereby converting the polymer product to hydroxystyrene or hydroxyvinylnaphthalene. For alkaline hydrolysis, a base such as aqueous ammonia or triethylamine may be used. The reaction temperature is −20° C. to 100° C., preferably 0° C. to 60° C., and the reaction time is 0.2 to 100 hours, preferably 0.5 to 20 hours.


The base polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000 to 500,000, and more preferably 2,000 to 30,000, as measured by GPC versus polystyrene standards using tetrahydrofuran as a solvent. With too low a Mw, the resist composition may become less heat resistant. A polymer with too high a Mw may lose alkaline solubility and give rise to a footing phenomenon after pattern formation.


If a base polymer has a wide molecular weight distribution or dispersity (Mw/Mn), which indicates the presence of lower and higher molecular weight polymer fractions, there is a possibility that foreign matter is left on the pattern or the pattern profile is degraded. The influences of molecular weight and dispersity become stronger as the pattern rule becomes finer. Therefore, the base polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0, especially 1.0 to 1.5, in order to provide a resist composition suitable for micropatterning to a small feature size.


It is understood that a blend of two or more polymers which differ in compositional ratio, Mw or Mw/Mn is acceptable.


Acid Generator


To the resist composition comprising the base polymer and the sulfonium salt having formula (A), an acid generator may be added so that the composition may function as a chemically amplified positive resist composition or chemically amplified negative resist composition. The acid generator is typically a compound (PAG) capable of generating an acid upon exposure to actinic ray or radiation. Although the PAG used herein may be any compound capable of generating an acid upon exposure to high-energy radiation, those compounds capable of generating sulfonic acid, imide acid (imidic acid) or methide acid are preferred. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators. Exemplary PAGs are described in JP-A 2008-111103, paragraphs [0122]-[0142] (U.S. Pat. No. 7,537,880).


As the PAG used herein, those having the formulae (1) and (2) are preferred.




embedded image


In formula (1), R101, R102 and R103 are each independently a straight, branched or cyclic C1-C20 monovalent hydrocarbon group which may contain a heteroatom. Any two or more of R101, R102 and R103 may bond together to form a ring with the sulfur atom to which they are attached.


In formula (1), X is an anion of the following formula (1A), (1B), (1C) or (1D).




embedded image


In formula (1A), Rfa is fluorine or a straight, branched or cyclic C1-C40 monovalent hydrocarbon group which may contain a heteroatom.


Of the anions of formula (1A), an anion having the formula (1A′) is preferred.




embedded image


In formula (1A′), R104 is hydrogen or trifluoromethyl, preferably trifluoromethyl. R105 is a straight, branched or cyclic C1-C38 monovalent hydrocarbon group which may contain a heteroatom. As the heteroatom, oxygen, nitrogen, sulfur and halogen atoms are preferred, with oxygen being most preferred. Of the monovalent hydrocarbon groups represented by R105 those groups of 6 to 30 carbon atoms are preferred from the aspect of achieving a high resolution in forming patterns of fine feature size. Suitable monovalent hydrocarbon groups include, but are not limited to, methyl, ethyl, propyl, isopropyl, butyl, isobutyl, s-butyl, t-butyl, pentyl, neopentyl, cyclopentyl, hexyl, cyclohexyl, 3-cyclohexenyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbornyl, norbornylmethyl, tricyclodecanyl, tetracyclododecanyl, tetracyclododecanylmethyl, dicyclohexylmethyl, eicosanyl, allyl, benzyl, diphenylmethyl, tetrahydrofuryl, methoxymethyl, ethoxymethyl, methylthiomethyl, acetamidomethyl, trifluoromethyl, (2-methoxyethoxy)methyl, acetoxymethyl, 2-carboxy-1-cyclohexyl, 2-oxopropyl, 4-oxo-1-adamantyl, and 3-oxocyclohexyl. In these groups, one or more hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms, so that the group may contain a hydroxyl, cyano, carbonyl, ether bond, ester bond, sulfonic acid ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.


With respect to the synthesis of the sulfonium salt having an anion of formula (1A′), reference may be made to JP-A 2007-145797, JP-A 2008-106045, JP-A 2009-007327, and JP-A 2009-258695. Also useful are the sulfonium salts described in JP-A 2010-215608, JP-A 2012-041320, JP-A 2012-106986, and JP-A 2012-153644.


Examples of the sulfonium salt having an anion of formula (1A) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


In formula (1B), Rfb1 and Rfb2 are each independently fluorine or a straight, branched or cyclic C1-C40 monovalent hydrocarbon group which may contain a heteroatom. Illustrative examples of the monovalent hydrocarbon group are as exemplified for R105. Preferably Rfb1 and Rfb2 are fluorine or C1-C4 straight fluorinated alkyl groups. Also, Rfb1 and Rfb2 may bond together to form a ring with the linkage: —CF2—SO2—N—SO—CF2— to which they are attached. It is preferred to form a ring structure via a fluorinated ethylene or fluorinated propylene group.


In formula (1C), Rfc1, Rfc2 and Rfc3 are each independently fluorine or a straight, branched or cyclic C1-C40 monovalent hydrocarbon group which may contain a heteroatom. Illustrative examples of the monovalent hydrocarbon group are as exemplified for R105. Preferably Rfc1, Rfc2 and Rfc3 are fluorine or C1-C4 straight fluorinated alkyl groups. Also, Rfc1 and Rfc2 may bond together to form a ring with the linkage: —CF2—SO2—C—SO2—CF2— to which they are attached. It is preferred to form a ring structure via a fluorinated ethylene or fluorinated propylene group.


In formula (1D), Rfd is a straight, branched or cyclic C1-C40 monovalent hydrocarbon group which may contain a heteroatom. Illustrative examples of the monovalent hydrocarbon group are as exemplified for R105.


With respect to the synthesis of the sulfonium salt having an anion of formula (1D), reference may be made to JP-A 2010-215608 and JP-A 2014-133723.


Examples of the sulfonium salt having an anion of formula (1D) are shown below, but not limited thereto.




embedded image


embedded image


Notably, the compound having the anion of formula (1D) does not have fluorine at the α-position relative to the sulfo group, but two trifluoromethyl groups at the β-position. For this reason, it has a sufficient acidity to sever the acid labile groups in the resist polymer. Thus the compound is an effective PAG.


In formula (2), R201 and R202 are each independently a straight, branched or cyclic C1-C30 monovalent hydrocarbon group which may contain a heteroatom. R203 is a straight, branched or cyclic C1-C30 divalent hydrocarbon group which may contain a heteroatom. Any two or more of R201, R202 and R203 may bond together to form a ring with the sulfur atom to which they are attached. LA is a single bond, ether bond or a straight, branched or cyclic C1-C20 divalent hydrocarbon group which may contain a heteroatom. XA, XB, XC and XD are each independently hydrogen, fluorine or trifluoromethyl, with the proviso that at least one of XA, XB, XC and XD is fluorine or trifluoromethyl, and k is an integer of 0 to 3.


Examples of the monovalent hydrocarbon group include methyl, ethyl, propyl, isopropyl, n-butyl, s-butyl, t-butyl, t-pentyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, oxanorbornyl, tricyclo[5.2.1.02,6]decanyl, adamantyl, phenyl, naphthyl and anthracenyl. In these groups, one or more hydrogen atoms may be substituted by a heteroatom such as oxygen, sulfur, nitrogen or halogen, or one or more carbon atoms may be substituted by a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl, cyano, carbonyl, ether bond, ester bond, sulfonic acid ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.


Suitable divalent hydrocarbon groups include straight alkane-diyl groups such as methylene, ethylene, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tridecane-1,13-diyl, tetradecane-1,14-diyl, pentadecane-1,15-diyl, hexadecane-1,16-diyl, and heptadecane-1,17-diyl; saturated cyclic divalent hydrocarbon groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl; and unsaturated cyclic divalent hydrocarbon groups such as phenylene and naphthylene. In these groups, one or more hydrogen atom may be replaced by an alkyl moiety such as methyl, ethyl, propyl, n-butyl or t-butyl; one or more hydrogen atom may be replaced by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen; or a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms, so that the group may contain a hydroxyl, cyano, carbonyl, ether bond, ester bond, sulfonic acid ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety. Of the heteroatoms, oxygen is preferred.


Of the PAGs having formula (2), those having formula (2′) are preferred.




embedded image


In formula (2′). LA is as defined above. R is hydrogen or trifluoromethyl, preferably trifluoromethyl. R301, R302 and R303 are each independently hydrogen or a straight, branched or cyclic C1-C20 monovalent hydrocarbon group which may contain a heteroatom. Suitable monovalent hydrocarbon groups are as described above for R105. The subscripts x and y are each independently an integer of 0 to 5, and z is an integer of 0 to 4.


Examples of the PAG having formula (2) are shown below, but not limited thereto. Notably, R is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Of the foregoing PAGs, those having an anion of formula (1A′) or (1D) are especially preferred because of reduced acid diffusion and high solubility in the resist solvent. Also those having an anion of formula (2′) are especially preferred because of extremely reduced acid diffusion.


The PAG is preferably added in an amount of 0.1 to 50 parts, and more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.


Other Components


With the sulfonium salt having formula (A), the base polymer, and the acid generator, all defined above, other components such as an organic solvent, surfactant, dissolution inhibitor, and crosslinker may be blended in any desired combination to formulate a chemically amplified positive or negative resist composition. This positive or negative resist composition has a very high sensitivity in that the dissolution rate in developer of the base polymer in exposed areas is accelerated by catalytic reaction. In addition, the resist film has a high dissolution contrast, resolution, exposure latitude, and process adaptability, and provides a good pattern profile after exposure, and minimal proximity bias because of restrained acid diffusion. By virtue of these advantages, the composition is fully useful in commercial application and suited as a pattern-forming material for the fabrication of VLSIs. Particularly when an acid generator is incorporated to formulate a chemically amplified positive resist composition capable of utilizing acid catalyzed reaction, the composition has a higher sensitivity and is further improved in the properties described above.


In the case of positive resist compositions, inclusion of a dissolution inhibitor may lead to an increased difference in dissolution rate between exposed and unexposed areas and a further improvement in resolution. In the case of negative resist compositions, a negative pattern may be formed by adding a crosslinker to reduce the dissolution rate of exposed area.


Examples of the organic solvent used herein are described in JP-A 2008-111103, paragraphs [0144]-[0145] (U.S. Pat. No. 7,537,880). Exemplary solvents include ketones such as cyclohexanone, cyclopentanone and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, and propylene glycol mono-t-butyl ether acetate; and lactones such as γ-butyrolactone, which may be used alone or in admixture.


The organic solvent is preferably added in an amount of 100 to 10,000 parts, and more preferably 200 to 8,000 parts by weight per 100 parts by weight of the base polymer.


Exemplary surfactants are described in JP-A 2008-111103, paragraphs [0165]-[0166]. Inclusion of a surfactant may improve or control the coating characteristics of the resist composition. The surfactant is preferably added in an amount of 0.0001 to 10 parts by weight per 100 parts by weight of the base polymer.


The dissolution inhibitor which can be used herein is a compound having at least two phenolic hydroxyl groups on the molecule, in which an average of from 0 to 100 mol % of all the hydrogen atoms on the phenolic hydroxyl groups are replaced by acid labile groups or a compound having at least one carboxyl group on the molecule, in which an average of 50 to 100 mol % of all the hydrogen atoms on the carboxyl groups are replaced by acid labile groups, both the compounds having a molecular weight of 100 to 1,000, and preferably 150 to 800. Typical are bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalenecarboxylic acid, adamantanecarboxylic acid, and cholic acid derivatives in which the hydrogen atom on the hydroxyl or carboxyl group is replaced by an acid labile group, as described in U.S. Pat. No. 7,771,914 (JP-A 2008-122932, paragraphs [0155]-[0178]).


In the positive resist composition, the dissolution inhibitor is preferably added in an amount of 0 to 50 parts, more preferably 5 to 40 parts by weight per 100 parts by weight of the base polymer.


Suitable crosslinkers which can be used herein include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds and urea compounds having substituted thereon at least one group selected from among methylol, alkoxymethyl and acyloxymethyl groups, isocyanate compounds, azide compounds, and compounds having a double bond such as an alkenyl ether group. These compounds may be used as an additive or introduced into a polymer side chain as a pendant. Hydroxy-containing compounds may also be used as the crosslinker.


Of the foregoing crosslinkers, examples of suitable epoxy compounds include tris(2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether. Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups methoxymethylated and mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups acyloxymethylated and mixtures thereof. Examples of the guanamine compound include tetramethylol guanamine, tetramethoxymethyl guanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the glycoluril compound include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethyl glycoluril, tetramethylol glycoluril compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethylol glycoluril compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, and tetramethoxyethyl urea.


Suitable isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate and cyclohexane diisocyanate. Suitable azide compounds include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide. Examples of the alkenyl ether group-containing compound include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylol propane trivinyl ether.


In the negative resist composition, the crosslinker is preferably added in an amount of 0.1 to 50 parts, more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.


In the resist composition of the invention, a quencher other than the sulfonium salt having formula (A) may be blended. The other quencher is typically selected from conventional basic compounds. Conventional basic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxyl group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxyl group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, and carbamate derivatives. Also included are primary, secondary, and tertiary amine compounds, specifically amine compounds having a hydroxyl, ether, ester, lactone ring, cyano, or sulfonic acid ester group as described in JP-A 2008-111103, paragraphs [0146]-[0164], and compounds having a carbamate group as described in JP 3790649. Addition of a basic compound may be effective for further suppressing the diffusion rate of acid in the resist film or correcting the pattern profile.


Onium salts such as sulfonium salts, iodonium salts and ammonium salts of sulfonic acids which are not fluorinated at α-position as described in US 2008153030 (JP-A 2008-158339) and similar onium salts of carboxylic acid may also be used as the other quencher. While an α-fluorinated sulfonic acid, imide acid, and methide acid are necessary to deprotect the acid labile group of carboxylic acid ester, an α-non-fluorinated sulfonic acid and a carboxylic acid are released by salt exchange with an α-non-fluorinated onium salt. An α-non-fluorinated sulfonic acid and a carboxylic acid function as a quencher because they do not induce deprotection reaction.


Also useful are quenchers of polymer type as described in U.S. Pat. No. 7,598,016 (JP-A 2008-239918). The polymeric quencher segregates at the resist surface after coating and thus enhances the rectangularity of resist pattern. When a protective film is applied as is often the case in the immersion lithography, the polymeric quencher is also effective for preventing a film thickness loss of resist pattern or rounding of pattern top.


The other quencher is preferably added in an amount of 0 to 5 parts, more preferably 0 to 4 parts by weight per 100 parts by weight of the base polymer.


To the resist composition, a polymeric additive (or water repellency improver) may also be added for improving the water repellency on surface of a resist film as spin coated. The water repellency improver may be used in the topcoatless immersion lithography. Suitable water repellency improvers include polymers having a fluoroalkyl group and polymers having a specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue and are described in JP-A 2007-297590 and JP-A 2008-111103, for example. The water repellency improver to be added to the resist composition should be soluble in the organic solvent as the developer. The water repellency improver of specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue is well soluble in the developer. A polymer having an amino group or amine salt copolymerized as recurring units may serve as the water repellent additive and is effective for preventing evaporation of acid during PEB, thus preventing any hole pattern opening failure after development. An appropriate amount of the water repellency improver is 0 to 20 parts, preferably 0.5 to 10 parts by weight per 100 parts by weight of the base polymer.


Also, an acetylene alcohol may be blended in the resist composition. Suitable acetylene alcohols are described in JP-A 2008-122932, paragraphs [0179]-[0182]. An appropriate amount of the acetylene alcohol blended is 0 to 5 parts by weight per 100 parts by weight of the base polymer.


Process


The resist composition is used in the fabrication of various integrated circuits. Pattern formation using the resist composition may be performed by well-known lithography processes. The process generally involves coating, prebaking, exposure, post-exposure baking (PEB), and development. If necessary, any additional steps may be added.


For example, the positive resist composition is first applied onto a substrate on which an integrated circuit is to be formed (e.g., Si, SiO2, SiN, SION, TiN, WSi, BPSG, SOG, or organic antireflective coating) or a substrate on which a mask circuit is to be formed (e.g., Cr, CrO, CrON, MoSi, or SiO2) by a suitable coating technique such as spin coating, roll coating, flow coating, dipping, spraying or doctor coating. The coating is prebaked on a hot plate at a temperature of 60 to 150° C. for 10 seconds to 30 minutes, preferably 80 to 120° C. for 30 seconds to 20 minutes. The resulting resist film is generally 0.1 to 2.0 μm thick.


The resist film is then exposed to a desired pattern of high-energy radiation such as UV, deep-UV, EB, EUV, x-ray, soft x-ray, excimer laser light, γ-ray or synchrotron radiation, directly or through a mask. The exposure dose is preferably about 1 to 200 mJ/cm2, more preferably about 10 to 100 mJ/cm2, or about 0.1 to 100 μC/cm2, more preferably about 0.5 to 50 μC/cm2. The resist film is further baked (PEB) on a hot plate at 60 to 150° C. for 10 seconds to 30 minutes, preferably 80 to 120° C. for 30 seconds to 20 minutes.


Thereafter the resist film is developed with a developer in the form of an aqueous base solution for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes by conventional techniques such as dip, puddle and spray techniques. A typical developer is a 0.1 to 10 wt %, preferably 2 to 5 wt % aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), or tetrabutylammonium hydroxide (TBAH). The resist film in the exposed area is dissolved in the developer whereas the resist film in the unexposed area is not dissolved. In this way, the desired positive pattern is formed on the substrate. Inversely in the case of negative resist, the exposed area of resist film is insolubilized and the unexposed area is dissolved in the developer. It is appreciated that the resist composition of the invention is best suited for micro-patterning using such high-energy radiation as KrF and ArF excimer laser, EB, EUV, x-ray, soft x-ray, γ-ray and synchrotron radiation.


In an alternative embodiment, a negative pattern may be formed via organic solvent development using a positive resist composition comprising a base polymer having an acid labile group. The developer used herein is preferably selected from among 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate, and mixtures thereof.


At the end of development, the resist film is rinsed. As the rinsing liquid, a solvent which is miscible with the developer and does not dissolve the resist film is preferred. Suitable solvents include alcohols of 3 to 10 carbon atoms, ether compounds of 8 to 12 carbon atoms, alkanes, alkenes, and alkynes of 6 to 12 carbon atoms, and aromatic solvents. Specifically, suitable alcohols of 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, t-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol. Suitable ether compounds of 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di-s-pentyl ether, di-t-pentyl ether, and di-n-hexyl ether. Suitable alkanes of 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, and cyclononane. Suitable alkenes of 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene. Suitable alkynes of 6 to 12 carbon atoms include hexyne, heptyne, and octyne. The solvents may be used alone or in admixture. Besides the foregoing solvents, aromatic solvents may be used, for example, toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene and mesitylene.


Rinsing is effective for minimizing the risks of resist pattern collapse and defect formation. However, rinsing is not essential. If rinsing is omitted, the amount of solvent used may be reduced.


A hole or trench pattern after development may be shrunk by the thermal flow, RELACS® or DSA process. A hole pattern is shrunk by coating a shrink agent thereto, and baking such that the shrink agent may undergo crosslinking at the resist surface as a result of the acid catalyst diffusing from the resist layer during bake, and the shrink agent may attach to the sidewall of the hole pattern. The bake is preferably at a temperature of 70 to 180° C., more preferably 80 to 170° C., for a time of 10 to 300 seconds. The extra shrink agent is stripped and the hole pattern is shrunk.


EXAMPLE

Examples of the invention are given below by way of illustration and not by way of limitation. The abbreviation “pbw” is parts by weight. For all polymers, Mw and Mn are determined by GPC versus polystyrene standards using tetrahydrofuran solvent, and dispersity Mw/Mn is computed therefrom.


Sulfonium salts having formula (A) (designated Quenchers 1 to 17) used herein have the following structure.




embedded image


embedded image


embedded image


embedded image


Synthesis Example
Synthesis of Polymers 1 to 6

Base polymers were prepared by combining suitable monomers, effecting copolymerization reaction thereof in tetrahydrofuran solvent, pouring the reaction solution into methanol for crystallization, repeatedly washing with hexane, isolation, and drying. The resulting polymers, designated Polymers 1 to 6, were analyzed for composition by 1H-NMR, and for Mw and Mw/Mn by GPC.




embedded image


embedded image


Examples and Comparative Examples

Positive or negative resist compositions were prepared by dissolving each of the polymers synthesized above and selected components in a solvent in accordance with the recipe shown in Tables 1 and 2, and filtering through a filter having a pore size of 0.2 pin. The solvent contained 100 ppm of a surfactant FC-4430 (3M-Sumitomo Co., Ltd.). The components in Tables 1 and 2 are as identified below.

  • Polymers: Polymers 1 to 6 as identified above
  • Organic solvents:
    • propylene glycol monomethyl ether acetate (PGMEA)
    • propylene glycol monomethyl ether (PGME)
    • γ-butyrolactone (GBL)
    • cyclohexanone (CyH)
    • cyclopentanone (CyP)
  • Acid generators: PAG1 to PAG3




embedded image


  • Quenchers: Quenchers 1 to 17 as identified above, Comparative Amines 1 and 2. Comparative Quenchers 1 to 3





embedded image


  • Water-Repellent Polymer:





embedded image


ArF Immersion Lithography Patterning Test
Examples 1-1 to 1-18 and Comparative Examples 1-1 to 1-4

On a substrate (silicon wafer), a spin-on carbon film ODL-102 (Shin-Etsu Chemical Co., Ltd.) having a carbon content of 80 wt % was deposited to a thickness of 200 nm and a silicon-containing spin-on hard mask SHB-A940 having a silicon content of 43 wt % was deposited thereon to a thickness of 35 nm. On this substrate for trilayer process, each of the resist compositions in Table 1 was spin coated, then baked on a hot plate at 100° C. for 60 seconds to form a resist film of 80 nm thick.


Using an ArF excimer laser immersion lithography scanner NSR-S610C (Nikon Corp., NA 1.30, σ 0.98/0.78, 35° cross-pole illumination, azimuthally polarized illumination), the resist film was exposed through a 6% halftone phase shift mask bearing a pattern having a line of 60 nm and a pitch of 200 nm (on-wafer size). The resist film was baked (PEB) at the temperature shown in Table 1 for 60 seconds and immediately developed in n-butyl acetate for 30 seconds, yielding a negative trench pattern having a space of 60 nm and a pitch of 200 nm.


In another run, the same procedure as above was followed until the exposure and PEB steps. The resist film was stored in a FOUP at 23′C for 24 hours before it was developed in n-butyl acetate for 30 seconds, yielding a negative trench pattern at a pitch of 200 nm.


Trench pattern size was measured under a scanning electron microscope (SEM) CG-4000 (Hitachi High-Technologies Corp.). The difference between the size of the trench pattern printed by the continuous procedure from coating to development and the size of the trench pattern printed through 24-hour storage (or delay) after PEB is reported as PPD size.


The results are shown in Table 1.


















TABLE 1








Acid

Water-repellent
Organic
PEB

PPD



Polymer
generator
Quencher
polymer
solvent
temp.
Sensitivity
size



(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(° C.)
(mJ/cm1)
(nm)

























Example
1-1
Polymer 1
PAG1
Quencher 1
Water-repellent
PGMEA(2,200)
95
33
0.1




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-2
Polymer 1
PAG1
Quencher 2
Water-repellent
PGMEA(2,200)
95
37
0.2




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-3
Polymer 1
PAG1
Quencher 3
Water-repellent
PGMEA(2,200)
95
39
0.1




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-4
Polymer 1
PAG1
Quencher 4
Water-repellent
PGMEA(2,200)
95
35
0




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-5
Polymer 1
PAG1
Quencher 5
Water-repellent
PGMEA(2,200)
95
34
0.1




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-6
Polymer 1
PAG1
Quencher 6
Water-repellent
PGMEA(2,200)
95
38
0.2




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-7
Polymer 1
PAG1
Quencher 7
Water-repellent
PGMEA(2,200)
95
42
0.1




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-8
Polymer 1
PAG1
Quencher 8
Water-repellent
PGMEA(2,200)
95
38
0.1




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-9
Polymer 1
PAG1
Quencher 9
Water-repellent
PGMEA(2,200)
95
33
0.4




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-10
Polymer 1
PAG1
Quencher 10
Water-repellent
PGMEA (2,200)
95
33
0.1




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-11
Polymer 1
PAG1
Quencher 11
Water-repellent
PGMEA(2,200)
95
35
0.2




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-12
Polymer 2

Quencher 11
Water-repellent
PGMEA(2,200)
100
38
0




(100)

(4.50)
polymer 1
GBL(300)







(4.0)



1-13
Polymer 1
PAG1
Quencher 12
Water-repellent
PGMEA(2,200)
95
35
0.2




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-14
Polymer 1
PAG1
Quencher 13
Water-repellent
PGMEA(2,200)
95
35
0.2




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-15
Polymer 1
PAG1
Quencher 14
Water-repellent
PGMEA(2,200)
95
36
0.2




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-16
Polymer 1
PAG1
Quencher 15
Water-repellent
PGMEA(2,200)
95
34
0.1




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-17
Polymer 1
PAG1
Quencher 16
Water-repellent
PGMEA(2,200)
95
38
0.2




(100)
(8.0)
(4.50)
polymer 1
GBL(300)







(4.0)



1-18
Polymer 3
PAG1
Quencher 17
Water-repellent
PGMEA(2,200)
95
36
0.2




(100)
(4.0)
(4.50)
polymer 1
GBL(300)





PAG2

(4.0)





(4.0)


Comparative Example
1-1
Polymer 1
PAG1
Comparative
Water-repellent
PGMEA(2,200)
95
55
1.3




(100)
(8.0)
Amine 1
polymer 1
GBL(300)






(3.13)
(4.0)



1-2
Polymer 1
PAG1
Comparative
Water-repellent
PGMEA(2,200)
95
56
1.5




(100)
(8.0)
Amine 2
polymer 1
GBL(300)






(3.13)
(4.0)



1-3
Polymer 1
PAG1
Comparative
Water-repellent
PGMEA(2,200)
95
45
0.8




(100)
(8.0)
Quencher 1
polymer 1
GBL(300)






(4.50)
(4.0)



1-4
Polymer 1
PAG1
Comparative
Water-repellent
PGMEA(2,200)
95
44
0.6




(100)
(8.0)
Quencher 2
polymer 1
GBL(300)






(4.50)
(4.0)









EB Writing Test
Examples 2-1 to 2-5 and Comparative Examples 2-1 to 2-4

Each of the resist compositions in Table 2 was spin coated onto a silicon substrate, which had been vapor primed with hexamethyldisilazane (HMDS), and pre-baked on a hot plate at 110° C. for 60 seconds to form a resist film of 80 nm thick. Using a system HL-800D (Hitachi Ltd.) at an accelerating voltage of 50 kV, the resist film was exposed imagewise to EB in a vacuum chamber. Immediately after the image writing, the resist film was baked (PEB) on a hot plate at 90° C. for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a pattern. The resist pattern was evaluated as follows.


In the case of positive resist film, the resolution is a minimum trench size at the exposure dose that provides a resolution as designed of a 120-nm trench pattern. In the case of negative resist film, the resolution is a minimum isolated line size at the exposure dose that provides a resolution as designed of a 120-nm isolated line pattern. It is noted that Examples 2-1 to 2-4 and Comparative Examples 2-1 to 2-3 are positive resist compositions, and Example 2-5 and Comparative Example 2-4 are negative resist compositions.


The results are shown in Table 2.
















TABLE 2








Acid

Organic





Polymer
generator
Quencher
solvent
Sensitivity
Resolution



(pbw)
(pbw)
(pbw)
(pbw)
(μC/cm2)
(nm)























Example
2-1
Polymer 4

Quencher 8
PGMEA(400)
33
80




(100)

(2.50)
CyH(2,000)







PGME(100)



2-2
Polymer 4

Quencher 9
PGMEA(400)
32
80




(100)

(2.50)
CyH(2,000)







PGME(100)



2-3
Polymer 4

Quencher 10
PGMEA(400)
35
80




(100)

(2.50)
CyH(2,000)







PGME(100)



2-4
Polymer 5
PAG3
Quencher 8
PGMEA(400)
38
85




(100)
(15.0)
(2.50)
CyH(1,600)







CyP(500)



2-5
Polymer 6
PAG1
Quencher 8
PGMEA(2,000)
38
75




(100)
(10.0)
(2.50)
CyH(500)


Comparative Example
2-1
Polymer 4

Comparative
PGMEA(400)
38
90




(100)

Quencher 1
CyH(2,000)






(2.50)
PGME(100)



2-2
Polymer 4

Comparative
PGMEA(400)
38
90




(100)

Quencher 2
CyH(2,000)






(2.50)
PGME(100)



2-3
Polymer 4

Comparative
PGMEA(400)
38
90




(100)

Quencher 3
CyH(2,000)






(2.50)
PGME(100)



2-4
Polymer 6
PAG1
Comparative
PGMEA(2,000)
38
85




(100)
(10.0)
Quencher 3
CyH(500)






(2.50)









It is demonstrated in Tables 1 and 2 that resist compositions comprising a sulfonium salt of carboxylic acid containing an optionally substituted amino group offer dimensional stability on PPD and a satisfactory resolution.


Japanese Patent Application No. 2015-181793 is incorporated herein by reference.


Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

Claims
  • 1. A resist composition comprising a base polymer, a photoacid generator, and a sulfonium salt having the formula (A):
  • 2. The resist composition of claim 1, wherein the photoacid generators an acid generator capable of generating sulfonic acid, imide acid or methide acid.
  • 3. The resist composition of claim 1, further comprising an organic solvent.
  • 4. The resist composition of claim 1 wherein the base polymer comprises recurring units having the general formula (a1) or recurring units having the general formula (a2):
  • 5. The resist composition of claim 4, further comprising a dissolution inhibitor.
  • 6. The resist composition of claim 4 which is a chemically amplified positive resist composition.
  • 7. The resist composition of claim 1 wherein the base polymer is free of an acid labile group.
  • 8. The resist composition of claim 7, further comprising a crosslinker.
  • 9. The resist composition of claim 7 which is a chemically amplified negative resist composition.
  • 10. The resist composition of claim 1 wherein the base polymer comprises recurring units of at least one type selected from the formulae (f1) to (f3):
  • 11. The resist composition of claim 1, further comprising a surfactant.
  • 12. A process for forming a pattern comprising the steps of applying the resist composition of claim 1 onto a substrate, baking to form a resist film, exposing the resist film to high-energy radiation, and developing the exposed film in a developer.
  • 13. The process of claim 12 wherein the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm or KrF excimer laser radiation of wavelength 248 nm.
  • 14. The process of claim 12 wherein the high-energy radiation is electron beam or extreme ultraviolet radiation of wavelength 3 to 15 nm.
  • 15. A resist composition comprising a base polymer comprising recurring units of at least one type selected from the formulae (f1) to (f3), and a sulfonium salt having the formula (A):
  • 16. The resist composition of claim 15 wherein the base polymer comprises recurring units having the general formula (a1) or recurring units having the general formula (a2):
  • 17. The resist composition of claim 16, which is a chemically amplified positive resist composition.
  • 18. The resist composition of claim 15, wherein the base polymer is free of an acid labile group.
  • 19. The resist composition of claim 18, which is a chemically amplified negative resist composition.
  • 20. A process for forming a pattern comprising the steps of applying the resist composition of claim 15 onto a substrate, baking to form a resist film, exposing the resist film to high-energy radiation, and developing the exposed film in a developer.
Priority Claims (1)
Number Date Country Kind
2015-181793 Sep 2015 JP national
US Referenced Citations (9)
Number Name Date Kind
6673511 Hatakeyama et al. Jan 2004 B1
6749988 Hatakeyama et al. Jun 2004 B2
6916593 Hatakeyama et al. Jul 2005 B2
9017922 Hatakeyama Apr 2015 B2
9250518 Hatakeyama Feb 2016 B2
20040029037 Kamabuchi Feb 2004 A1
20120141938 Hatakeyama Jun 2012 A1
20150125794 Hatakeyama May 2015 A1
20170075218 Hatakeyama Mar 2017 A1
Foreign Referenced Citations (5)
Number Date Country
2001-194776 Jul 2001 JP
2002-226470 Aug 2002 JP
2002-363148 Dec 2002 JP
2006258980 Sep 2006 JP
2015-90382 May 2015 JP
Non-Patent Literature Citations (3)
Entry
Hinsberg et al., “Extendibility of Chemically Amplified Resists : Another Brick Wall?”, Advances in Resists Technology and Processing XX, Proceedings of SPIE (2003), vol. 5039, (14 pages).
Kishikawa et al., “Assessment of trade-off between resist resolution and sensitivity for optimization of hyper-NA immersion lithography”, Optical Microlithography XX, Proc. of SPIE (2007), vol. 6520, (9 pages).
Wang et al., “Photobase generator and photo decomposable quencher for high-resolution photoresist applications”, Advances in Resist Materials and Processing Technology XXVII, Proc. of SPIE (2010), vol. 7639, (15 pages).
Related Publications (1)
Number Date Country
20170075217 A1 Mar 2017 US