PHOTORESISTS CONTAINING TANTALUM

Abstract
The present disclosure relates to a film formed with a tantalum-based precursor, as well as methods for forming and employing such films. The film can be employed as a photopatternable film or a radiation-sensitive film. In non-limiting embodiments, the radiation can include extreme ultraviolet (EUV) or deep ultraviolet (DUV) radiation.
Description
FIELD

The present disclosure relates to a film formed with a tantalum-based precursor, as well as methods for forming and employing such films. The film can be employed as a photopatternable film or a radiation-sensitive film. In non-limiting embodiments, the radiation can include extreme ultraviolet (EUV) or deep ultraviolet (DUV) radiation.


BACKGROUND

The background description provided herein is for the purpose of generally presenting the context of the present technology. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present technology.


Patterning of thin films in semiconductor processing is often an important step in the fabrication of semiconductors. Patterning involves lithography. In photolithography, such as 193 nm photolithography, patterns are printed by emitting photons from a photon source onto a mask and printing the pattern onto a photosensitive photoresist, thereby causing a chemical reaction in the photoresist that, after development, removes certain portions of the photoresist to form the pattern.


Advanced technology nodes (as defined by the International Technology Roadmap for Semiconductors) include nodes 22 nm, 16 nm, and beyond. In the 16 nm node, for example, the width of a typical via or line in a Damascene structure is typically no greater than about 30 nm. Scaling of features on advanced semiconductor integrated circuits (ICs) and other devices is driving lithography to improve resolution.


Extreme ultraviolet (EUV) lithography can extend lithography technology by moving to smaller imaging source wavelengths than would be achievable with other photolithography methods. EUV light sources at approximately 10-20 nm, or 11-14 nm wavelength, for example 13.5 nm wavelength, can be used for leading-edge lithography tools, also referred to as scanners. EUV radiation is strongly absorbed in a wide range of solid and fluid materials including quartz and water vapor, and so operates in a vacuum.


SUMMARY

The present disclosure relates to use of a tantalum (Ta)-based precursor to provide a patterning radiation-sensitive film (e.g., an EUV-sensitive film). In one embodiment, the Ta-based precursor is an EUV-active organotantalum compound, which can be used alone to deposit a photoresist (PR) film. Alternatively, the Ta-based precursor is used in conjunction with another organometal compound (e.g., an organotin compound) to provide a mixed metal EUV-sensitive PR film. Such films can be vapor deposited and capable of wet or dry development.


Tantalum nitride (TaN) is a widely used hardmask during semiconductor processing, largely due to its mechanical stability while its high EUV absorptivity allows for use as an absorber in EUV lithography masks. As used herein, TaN refers to any useful stoichiometric amount in the composition, including TaN, Ta2N, Ta3N5, Ta4N5, Ta4N, Ta5N6, and Ta6N2.5, as well as mixtures thereof.


Accordingly, in one non-limiting instance, the Ta-based precursor herein can be an organotantalum nitrogen-containing compound, which in turn can provide a TaN-based PR film. Such TaN-based PRs could exhibit enhanced stability, which can provide thicker PR films; withstand harsh development chemistries that might otherwise damage tin (Sn)-only based PR films; and/or resist etch chemistries that might otherwise damage Sn-only based PR films. Furthermore, such Ta-based precursors allow for films capable of being photopatterned, thus facilitating use of such Ta-based films as a patterned hardmask.


In a first aspect, the present invention features a stack including: a semiconductor substrate having a top surface; and a patterning radiation-sensitive film disposed on the top surface of the semiconductor substrate, wherein the film includes Ta. In other embodiments, the film further includes Sn. In yet other embodiments, the film further includes nitrogen (N). In some embodiments, the film includes tantalum nitride and/or tin oxide.


In some embodiments, the patterning radiation-sensitive film includes a mixed organometal film including Ta and Sn. In other embodiments, the film includes a tantalum-containing layer disposed on a top surface or a bottom surface of a Sn-containing layer. In yet other embodiments, the film includes a plurality of alternating Ta-containing layers and tin-containing layers. Non-limiting Ta-containing layer can include tantalum nitride, and non-limiting Sn-containing layers can include organotin oxide.


In a second aspect, the present disclosure features a method (e.g., of forming a film) including: depositing a Ta-based precursor on a surface of a substrate to provide a patterning radiation-sensitive film, wherein the Ta-based precursor includes a patterning radiation-sensitive moiety. In some embodiments, the patterning radiation-sensitive moiety of the Ta-based precursor includes an EUV labile group. In other embodiments, the patterning radiation-sensitive moiety of the Ta-based precursor includes an imido group.


In some embodiments, the Ta-based precursor includes a structure having formula (I):




embedded image - (I)


wherein: each R is, independently, an EUV labile group, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted imino, or optionally substituted alkylene; each L is, independently, a ligand or other moiety that is reactive with a reducing gas or an alkyne; b ≥ 0; and c ≥ 1.


In other embodiments, the Ta-based precursor includes a structure having formula (I-A):




embedded image - (I-A)


wherein: R is ═NRi or ═CRiRii; each L is, independently, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or a bivalent ligand that is bound to Ta and the bivalent ligand is —NRi—Ak—NRii—; each Ri and Rii is, independently, H, optionally substituted linear alkyl, optionally substituted branched alkyl, or optionally substituted cycloalkyl; Ak is optionally substituted alkylene or optionally substituted alkenylene; and b ≥ 1.


In some embodiments, said depositing further includes an organometal compound. In other embodiments, the Ta-based precursor and the organometal compound can be deposited together or deposited sequentially in a sequence (e.g., in alternating cycles). In some embodiments, said depositing further includes adjusting a relative amount of the Ta-based precursor and the organometal compound to be deposited in the film. In other embodiments, said adjusting includes changing a flow rate and/or a deposition time of the tantalum-based precursor and the organometal compound.


In some embodiments, said depositing further includes the Ta-based precursor and the organometal compound to be deposited sequentially in a sequence. In particular embodiments, the sequence includes depositing the Ta-based precursor followed by or preceded by the organometal compound. In other embodiments, said depositing further includes adjusting a number or order of sequence of the Ta-based precursor followed by or preceded by the organometal compound.


In particular embodiments, said depositing includes: depositing the Ta-based precursor and the organometal compound in the optional presence of a reducing gas or an alkyne, thereby providing the patterning radiation-sensitive film including a mixed organometal film having two or more different metals. In some embodiments, the organometal compound includes a Sn-based precursor, and the mixed organometal film includes Ta and Sn. In particular embodiments, said depositing includes depositing by way of chemical vapor deposition (CVD) at a temperature less than about 250° C. or less than about 100° C. or from 0° C. to about 250° C. (e.g., from about 0° C. to 50° C., 0° C. to 80° C., 0° C. to 90° C., 0° C. to 95° C., 10° C. to 50° C., 10° C. to 80° C., 10° C. to 90° C., 10° C. to 95° C., 10° C. to 100° C., 10° C. to 130° C., 10° C. to 150° C., 10° C. to 180° C., 10° C. to 200° C., 20° C. to 50° C., 20° C. to 80° C., 20° C. to 90° C., 20° C. to 95° C., 20° C. to 100° C., 20° C. to 130° C., 20° C. to 150° C., 20° C. to 180° C., 20° C. to 200° C., 20° C. to 230° C., 20° C. to 250° C., 25° C. to 50° C., 25° C. to 80° C., 25° C. to 90° C., 25° C. to 95° C., 25° C. to 100° C., 25° C. to 130° C., 25° C. to 150° C., 25° C. to 180° C., 25° C. to 200° C., 25° C. to 230° C., 25° C. to 250° C., 30° C. to 50° C., 30° C. to 80° C., 30° C. to 90° C., 30° C. to 95° C., 30° C. to 100° C., 30° C. to 130° C., 30° C. to 150° C., 30° C. to 180° C., 30° C. to 200° C., 30° C. to 230° C., or 30° C. to 250° C.). In particular embodiments, deposition by CVD is performed at a lower temperature to ensure retaining of the EUV-sensitive moiety in the film.


In other embodiments, said depositing includes: depositing the organometal compound in the optional presence of a counter-reactant in a chamber, thereby providing an organometal-containing layer; purging the chamber with a purge gas (e.g., an inert gas, such as any described herein); depositing the Ta-based precursor in the chamber, thereby providing a Ta-containing layer disposed on a top surface of the organometal-containing layer; purging the chamber with another purge gas (e.g., an inert gas, such as any described herein); and exposing the Ta-containing layer to a reducing gas or an alkyne. In some embodiments, the organometal compound include a Sn-based precursor, and the organometal-containing layer includes Sn. In particular embodiments, said depositing includes depositing by way of atomic layer deposition.


In some embodiments, the organometal compound includes a structure having formula (II):




embedded image - (II)


wherein: M is a metal (e.g., any described herein), each R is, independently, an EUV labile ligand, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or L; each L is, independently, a ligand, ion, or other moiety that is reactive with a counter-reactant, in which R and L with M, taken together, can optionally form a heterocyclyl group or in which R and L, taken together, can optionally form a heterocyclyl group; a ≥ 1; b ≥ 1; and c ≥ 1. In other embodiments, R is optionally substituted alkyl, and M is tin. In yet other embodiments, each L is, independently, H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or optionally substituted alkoxy.


In other embodiments, said depositing further includes one or more counter-reactants. Non-limiting counter-reactants include an oxygen-containing counter-reactant, including O2, O3, water, a peroxide, hydrogen peroxide, oxygen plasma, water plasma, an alcohol, a dihydroxy alcohol, a polyhydroxy alcohol, a fluorinated dihydroxy alcohol, a fluorinated polyhydroxy alcohol, a fluorinated glycol, formic acid, and other sources of hydroxyl moieties, as well as combinations thereof.


In some embodiments, said depositing further includes a reducing gas, a hydrogen gas, or an alkyne. Non-limiting reducing gases and alkynes include hydrogen (H2), amine (NH3), a trialkylamine (e.g., NR3, in which each R is, independently, optionally substituted alkyl), and acetylene.


In a third aspect, the present disclosure encompasses a method (e.g., of employing a resist) including: depositing a Ta-based precursor on a surface of a substrate to provide a patterning radiation-sensitive film as a resist film; patterning the resist film by a patterning radiation exposure, thereby providing an exposed film having radiation exposed areas and radiation unexposed areas; and developing the exposed film, thereby removing the radiation exposed areas to provide a pattern within a positive tone resist film or removing the radiation unexposed areas to provide a pattern within a negative tone resist. In some embodiments, said depositing includes use of a counter-reactant (e.g., an oxygen-containing counter-reactant, such as any described herein).


In some embodiments, the method includes (e.g., after said depositing): patterning the photoresist layer by an EUV exposure, thereby providing an exposed film having EUV exposed areas and EUV unexposed areas. In some embodiments, the photoresist layer underlies the capping layer. In other embodiments, the EUV radiation has a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient.


In some embodiments, said developing includes dry developing chemistry or wet developing chemistry. In particular embodiments, the dry developing chemistry includes one or more halides or other gases (e.g., HCl, HBr, HI, HF, Cl2, Br2, BCl3, BF3, NF3, NH3, SOCl2, SF6, CF4, CHF3, CH2F2, CH3F, and the like, as well as combinations thereof, such as with N2, O2, and the like) optionally provided as a plasma. In other embodiments, the wet developing chemistry includes an organic developer, such as a ketone (e.g., 2-heptanone, cyclohexanone, or acetone), an ester (e.g., γ-butyrolactone, n-butyl acetate, or ethyl 3-ethoxypropionate (EEP)), an alcohol (e.g., isopropyl alcohol (IPA)), or an ether, such as a glycol ether (e.g., propylene glycol methyl ether (PGME) or propylene glycol methyl ether acetate (PGMEA)), as well as combinations thereof.


In a fourth aspect, the present disclosure features an apparatus for forming a resist film. In some embodiments, the apparatus includes: a deposition module; a patterning module; a development module; and a controller including one or more memory devices, one or more processors, and system control software coded with instructions including machine-readable instructions.


In some embodiments, the deposition module includes a chamber for depositing a patterning radiation-sensitive film (e.g., an EUV-sensitive film). In other embodiments, the patterning module includes a photolithography tool with a source of sub-300 nm wavelength radiation (e.g., in which the source can be a source of sub-30 nm wavelength radiation). In yet other embodiments, the development module includes a chamber for developing the resist film.


In some embodiments, the instructions include machine-readable instructions for (e.g., in the deposition module) causing deposition of a Ta-based precursor on a top surface of a semiconductor substrate to form the patterning radiation-sensitive film as a resist film, wherein the Ta-based precursor includes a patterning radiation-sensitive moiety.


In further embodiments, the instructions include machine-readable instructions for (e.g., in the deposition module) causing further deposition of an organometal compound in the optional presence of a reducing gas, an alkyne, and/or a counter-reactant. In particular embodiments, the Ta-based precursor and the organometal compound are deposited together to provide a mixed organometal film having two or more different metals. In some embodiments, the resist film includes a mixed organometal film including both Ta and Sn. In other embodiments, the Ta-based precursor and the organometal compound are deposited in alternating cycles to provide an organometal-containing layer and a Ta-containing layer disposed on a top surface of the organometal-containing layer. In some embodiments, the resist film includes a plurality of Ta-containing layers and Sn-containing layer.


In some embodiments, the instructions include machine-readable instructions for (e.g., in the patterning module) causing patterning of the resist film with sub-300 nm resolution (e.g., or with sub-30 nm resolution) directly by patterning radiation exposure (e.g., by EUV exposure), thereby forming an exposed film having radiation exposed areas and radiation unexposed areas. In other embodiments, the exposed film has EUV exposed areas and EUV unexposed areas. In yet other embodiments, the instructions include machine-readable instructions for (e.g., in the development module) causing development of the exposed film to remove the radiation exposed areas or the radiation unexposed areas to provide a pattern within the resist film. In particular embodiments, the machine-readable instructions include instructions for causing removal of the EUV exposed areas or the EUV unexposed areas.


In any embodiment herein, the patterning radiation-sensitive film includes an extreme ultraviolet (EUV)-sensitive film, a deep-ultraviolet (DUV)-sensitive film, a photoresist film, or a photopatternable film.


In any embodiment herein, the patterning radiation-sensitive film includes an organometallic material, an organometal oxide material, a tantalum nitride material, a tin oxide material, and/or an organotin oxide material.


In any embodiment herein, the patterning radiation-sensitive film has a thickness of from about 5 nm to about 50 nm (e.g., about 5 nm to 10 nm, 5 nm to 20 nm, 5 nm to 30 nm, 5 nm to 40 nm, 8 nm to 20 nm, 8 nm to 30 nm, 8 nm to 40 nm, 8 nm to 50 nm, 10 nm to 20 nm, 10 nm to 30 nm, 10 nm to 40 nm, or 10 nm to 50 nm).


In any embodiment herein, the Ta-based precursor includes a structure having formula (I) or (I-A), as described herein.


In any embodiment herein, the organometal compound includes a structure having formula (II), (II-A), (III), (IV), (V), (VI), (VII), (VIII), or (IX), as described herein.


In any embodiment herein, depositing includes providing or depositing the Ta-based precursor and/or the organometal compound in vapor form. In other embodiments, depositing includes providing a reducing gas, a hydrocarbon, an alkyne, and/or a counter-reactant in vapor form. In particular embodiments, depositing includes chemical vapor deposition (CVD), atomic layer deposition (ALD), or molecular layer deposition (MLD), and plasma-enhanced forms thereof.


In any embodiment herein, depositing of the Ta-based precursor further includes providing a reducing gas, a hydrocarbon, or an alkyne. In some embodiments, the alkyne is acetylene.


In any embodiment herein, depositing of the organometal compound further includes providing a counter-reactant. Non-limiting counter-reactants include an oxygen-containing counter-reactant, including O2, O3, water, a peroxide, hydrogen peroxide, oxygen plasma, water plasma, an alcohol, a dihydroxy alcohol, a polyhydroxy alcohol, a fluorinated dihydroxy alcohol, a fluorinated polyhydroxy alcohol, a fluorinated glycol, formic acid, and other sources of hydroxyl moieties, as well as combinations thereof.


In any embodiment herein, after depositing of the Ta-based precursor or the organometal compound, the method further includes purging a chamber with a purge gas (e.g., an inert gas or a carrier gas, such as argon (Ar), nitrogen (N2), oxygen (O2), ambient air, or mixture thereof). Additional details follow.


Definitions

By “acyloxy” or “alkanoyloxy,” as used interchangeably herein, is meant an acyl or alkanoyl group, as defined herein, attached to the parent molecular group through an oxy group. In particular embodiments, the alkanoyloxy is —O—C(O)—Ak, in which Ak is an alkyl group, as defined herein. In some embodiments, an unsubstituted alkanoyloxy is a C2-7 alkanoyloxy group. Exemplary alkanoyloxy groups include acetoxy.


By “alkenyl” is meant an optionally substituted C2-24 alkyl group having one or more double bonds. The alkenyl group can be cyclic (e.g., C3-14 cycloalkenyl) or acyclic. The alkenyl group can also be substituted or unsubstituted. For example, the alkenyl group can be substituted with one or more substitution groups, as described herein for alkyl.


By “alkenylene” is meant a multivalent (e.g., bivalent) form of an alkenyl group, which is an optionally substituted C2-24 alkyl group having one or more double bonds. The alkenylene group can be cyclic (e.g., C3-24 cycloalkenyl) or acyclic. The alkenylene group can be substituted or unsubstituted. For example, the alkenylene group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary, non-limiting alkenylene groups include —CH═CH— or —CH═CHCH2—.


By “alkoxy” is meant —OR, where R is an optionally substituted alkyl group, as described herein. Exemplary alkoxy groups include methoxy, ethoxy, butoxy, trihaloalkoxy, such as trifluoromethoxy, etc. The alkoxy group can be substituted or unsubstituted. For example, the alkoxy group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary unsubstituted alkoxy groups include C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, or C1-24 alkoxy groups.


By “alkyl” and the prefix “alk” is meant a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl (Me), ethyl (Et), n-propyl (n-Pr), isopropyl (i-Pr), cyclopropyl, n-butyl (n-Bu), isobutyl (i-Bu), s-butyl (s-Bu), t-butyl (t-Bu), cyclobutyl, n-pentyl, isopentyl, s-pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl, and the like. The alkyl group can be cyclic (e.g., C3-24 cycloalkyl) or acyclic. The alkyl group can be branched or unbranched. The alkyl group can also be substituted or unsubstituted. For example, the alkyl group can include haloalkyl, in which the alkyl group is substituted by one or more halo groups, as described herein. In another example, the alkyl group can be substituted with one, two, three or, in the case of alkyl groups of two carbons or more, four substituents independently selected from the group consisting of: (1) C1-6 alkoxy (e.g., —O—Ak, wherein Ak is optionally substituted C1-6 alkyl); (2) amino (e.g., —NRN1RN2, where each of RN1 and RN2 is, independently, H or optionally substituted alkyl, or RN1 and RN2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group); (3) aryl; (4) arylalkoxy (e.g., —O—Lk—Ar, wherein Lk is a bivalent form of optionally substituted alkyl and Ar is optionally substituted aryl); (5) aryloyl (e.g., —C(O)—Ar, wherein Ar is optionally substituted aryl); (6) cyano (e.g., —CN); (7) carboxyaldehyde (e.g., —C(O)H); (8) carboxyl (e.g., —CO2H); (9) C3-8 cycloalkyl (e.g., a monovalent saturated or unsaturated non-aromatic cyclic C3-8 hydrocarbon group); (10) halo (e.g., F, Cl, Br, or I); (11) heterocyclyl (e.g., a 5-, 6- or 7-membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms, such as nitrogen, oxygen, phosphorous, sulfur, or halo); (12) heterocyclyloxy (e.g., —O—Het, wherein Het is heterocyclyl, as described herein); (13) heterocyclyloyl (e.g., —C(O)—Het, wherein Het is heterocyclyl, as described herein); (14) hydroxyl (e.g., —OH); (15) N-protected amino; (16) nitro (e.g., —NO2); (17) oxo (e.g., ═O); (18) —CO2RA, where RA is selected from the group consisting of (a) C1-6 alkyl, (b) C4-18 aryl, and (c) (C4-18 aryl) C1-6 alkyl (e.g., —Lk—Ar, wherein Lk is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); (19) —C(O)NRBRC, where each of RB and RC is, independently, selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) (C4-18 aryl) C1-6 alkyl (e.g., —Lk—Ar, wherein Lk is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); and (20) -NRGRH, where each of RG and RH is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) C1-6 alkyl, (d) C2-6 alkenyl (e.g., optionally substituted alkyl having one or more double bonds), (e) C2-6 alkynyl (e.g., optionally substituted alkyl having one or more triple bonds), (f) C4-18 aryl, (g) (C4-18 aryl) C1-6 alkyl (e.g., Lk-Ar, wherein Lk is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl), (h) C3-8 cycloalkyl, and (i) (C3-8 cycloalkyl) C1-6 alkyl (e.g., —Lk—Cy, wherein Lk is a bivalent form of optionally substituted alkyl group and Cy is optionally substituted cycloalkyl, as described herein), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group. The alkyl group can be a primary, secondary, or tertiary alkyl group substituted with one or more substituents (e.g., one or more halo or alkoxy). In some embodiments, the unsubstituted alkyl group is a C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, or C1-24 alkyl group.


By “alkylene” is meant a multivalent (e.g., bivalent) form of an alkyl group, as described herein. Exemplary alkylene groups include methylene, ethylene, propylene, butylene, etc. In some embodiments, the alkylene group is a C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, or C2-24 alkylene group. The alkylene group can be branched or unbranched. The alkylene group can also be substituted or unsubstituted. For example, the alkylene group can be substituted with one or more substitution groups, as described herein for alkyl.


By “alkynyl” is meant an optionally substituted C2-24 alkyl group having one or more triple bonds. The alkynyl group can be cyclic or acyclic and is exemplified by ethynyl, 1-propynyl, and the like. The alkynyl group can also be substituted or unsubstituted. For example, the alkynyl group can be substituted with one or more substitution groups, as described herein for alkyl.


By “amino” is meant —NRN1RN2, where each of RN1 and RN2 is, independently, H, optionally substituted alkyl, or optionally substituted aryl, or RN1 and RN2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein.


By “aryl” is meant a group that contains any carbon-based aromatic group including, but not limited to, phenyl, benzyl, anthracenyl, anthryl, benzocyclobutenyl, benzocyclooctenyl, biphenylyl, chrysenyl, dihydroindenyl, fluoranthenyl, indacenyl, indenyl, naphthyl, phenanthryl, phenoxybenzyl, picenyl, pyrenyl, terphenyl, and the like, including fused benzo-C4-8 cycloalkyl radicals (e.g., as defined herein) such as, for instance, indanyl, tetrahydronaphthyl, fluorenyl, and the like. The term aryl also includes heteroaryl, which is defined as a group that contains an aromatic group that has at least one heteroatom incorporated within the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Likewise, the term non-heteroaryl, which is also included in the term aryl, defines a group that contains an aromatic group that does not contain a heteroatom. The aryl group can be substituted or unsubstituted. The aryl group can be substituted with one, two, three, four, or five substituents, such as any described herein for alkyl.


By “arylene” is meant a multivalent (e.g., bivalent) form of an aryl group, as described herein. Exemplary arylene groups include phenylene, naphthylene, biphenylene, triphenylene, diphenyl ether, acenaphthenylene, anthrylene, or phenanthrylene. In some embodiments, the arylene group is a C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, or C6-10 arylene group. The arylene group can be branched or unbranched. The arylene group can also be substituted or unsubstituted. For example, the arylene group can be substituted with one or more substitution groups, as described herein for alkyl or aryl.


By “carbonyl” is meant a —C(O)— group, which can also be represented as >C═O.


By “cycloalkenyl” is meant a monovalent unsaturated non-aromatic or aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, having one or more double bonds. The cycloalkenyl group can also be substituted or unsubstituted. For example, the cycloalkenyl group can be substituted with one or more groups including those described herein for alkyl.


By “cycloalkyl” is meant a monovalent saturated or unsaturated non-aromatic or aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclopentadienyl, cyclohexyl, cycloheptyl, bicyclo[2.2.1.]heptyl, and the like. The cycloalkyl group can also be substituted or unsubstituted. For example, the cycloalkyl group can be substituted with one or more groups including those described herein for alkyl.


By “halo” is meant F, Cl, Br, or I.


By “haloalkyl” is meant an alkyl group, as defined herein, substituted with one or more halo.


By “heteroalkyl” is meant an alkyl group, as defined herein, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo).


By “heteroalkylene” is meant a bivalent form of an alkylene group, as defined herein, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo). The heteroalkylene group can be substituted or unsubstituted. For example, the heteroalkylene group can be substituted with one or more substitution groups, as described herein for alkyl.


By “heterocyclyl” is meant a 3-, 4-, 5-, 6- or 7-membered ring (e.g., a 5-, 6- or 7-membered ring), unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo). The 3-membered ring has zero to one double bonds, the 4- and 5-membered ring has zero to two double bonds, and the 6- and 7-membered rings have zero to three double bonds. The term “heterocyclyl” also includes bicyclic, tricyclic and tetracyclic groups in which any of the above heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl, quinolyl, isoquinolyl, tetrahydroquinolyl, benzofuryl, benzothienyl and the like. Heterocyclics include acridinyl, adenyl, alloxazinyl, azaadamantanyl, azabenzimidazolyl, azabicyclononyl, azacycloheptyl, azacyclooctyl, azacyclononyl, azahypoxanthinyl, azaindazolyl, azaindolyl, azecinyl, azepanyl, azepinyl, azetidinyl, azetyl, aziridinyl, azirinyl, azocanyl, azocinyl, azonanyl, benzimidazolyl, benzisothiazolyl, benzisoxazolyl, benzodiazepinyl, benzodiazocinyl, benzodihydrofuryl, benzodioxepinyl, benzodioxinyl, benzodioxanyl, benzodioxocinyl, benzodioxolyl, benzodithiepinyl, benzodithiinyl, benzodioxocinyl, benzofuranyl, benzophenazinyl, benzopyranonyl, benzopyranyl, benzopyrenyl, benzopyronyl, benzoquinolinyl, benzoquinolizinyl, benzothiadiazepinyl, benzothiadiazolyl, benzothiazepinyl, benzothiazocinyl, benzothiazolyl, benzothienyl, benzothiophenyl, benzothiazinonyl, benzothiazinyl, benzothiopyranyl, benzothiopyronyl, benzotriazepinyl, benzotriazinonyl, benzotriazinyl, benzotriazolyl, benzoxathiinyl, benzotrioxepinyl, benzoxadiazepinyl, benzoxathiazepinyl, benzoxathiepinyl, benzoxathiocinyl, benzoxazepinyl, benzoxazinyl, benzoxazocinyl, benzoxazolinonyl, benzoxazolinyl, benzoxazolyl, benzylsultamyl benzylsultimyl, bipyrazinyl, bipyridinyl, carbazolyl (e.g., 4H-carbazolyl), carbolinyl (e.g., β-carbolinyl), chromanonyl, chromanyl, chromenyl, cinnolinyl, coumarinyl, cytdinyl, cytosinyl, decahydroisoquinolinyl, decahydroquinolinyl, diazabicyclooctyl, diazetyl, diaziridinethionyl, diaziridinonyl, diaziridinyl, diazirinyl, dibenzisoquinolinyl, dibenzoacridinyl, dibenzocarbazolyl, dibenzofuranyl, dibenzophenazinyl, dibenzopyranonyl, dibenzopyronyl (xanthonyl), dibenzoquinoxalinyl, dibenzothiazepinyl, dibenzothiepinyl, dibenzothiophenyl, dibenzoxepinyl, dihydroazepinyl, dihydroazetyl, dihydrofuranyl, dihydrofuryl, dihydroisoquinolinyl, dihydropyranyl, dihydropyridinyl, dihydroypyridyl, dihydroquinolinyl, dihydrothienyl, dihydroindolyl, dioxanyl, dioxazinyl, dioxindolyl, dioxiranyl, dioxenyl, dioxinyl, dioxobenzofuranyl, dioxolyl, dioxotetrahydrofuranyl, dioxothiomorpholinyl, dithianyl, dithiazolyl, dithienyl, dithiinyl, furanyl, furazanyl, furoyl, furyl, guaninyl, homopiperazinyl, homopiperidinyl, hypoxanthinyl, hydantoinyl, imidazolidinyl, imidazolinyl, imidazolyl, indazolyl (e.g., 1H-indazolyl), indolenyl, indolinyl, indolizinyl, indolyl (e.g., 1H-indolyl or 3H-indolyl), isatinyl, isatyl, isobenzofuranyl, isochromanyl, isochromenyl, isoindazoyl, isoindolinyl, isoindolyl, isopyrazolonyl, isopyrazolyl, isoxazolidiniyl, isoxazolyl, isoquinolinyl, isoquinolinyl, isothiazolidinyl, isothiazolyl, morpholinyl, naphthindazolyl, naphthindolyl, naphthiridinyl, naphthopyranyl, naphthothiazolyl, naphthothioxolyl, naphthotriazolyl, naphthoxindolyl, naphthyridinyl, octahydroisoquinolinyl, oxabicycloheptyl, oxauracil, oxadiazolyl, oxazinyl, oxaziridinyl, oxazolidinyl, oxazolidonyl, oxazolinyl, oxazolonyl, oxazolyl, oxepanyl, oxetanonyl, oxetanyl, oxetyl, oxtenayl, oxindolyl, oxiranyl, oxobenzoisothiazolyl, oxochromenyl, oxoisoquinolinyl, oxoquinolinyl, oxothiolanyl, phenanthridinyl, phenanthrolinyl, phenazinyl, phenothiazinyl, phenothienyl (benzothiofuranyl), phenoxathiinyl, phenoxazinyl, phthalazinyl, phthalazonyl, phthalidyl, phthalimidinyl, piperazinyl, piperidinyl, piperidonyl (e.g., 4-piperidonyl), pteridinyl, purinyl, pyranyl, pyrazinyl, pyrazolidinyl, pyrazolinyl, pyrazolopyrimidinyl, pyrazolyl, pyridazinyl, pyridinyl, pyridopyrazinyl, pyridopyrimidinyl, pyridyl, pyrimidinyl, pyrimidyl, pyronyl, pyrrolidinyl, pyrrolidonyl (e.g., 2-pyrrolidonyl), pyrrolinyl, pyrrolizidinyl, pyrrolyl (e.g., 2H-pyrrolyl), pyrylium, quinazolinyl, quinolinyl, quinolizinyl (e.g., 4H-quinolizinyl), quinoxalinyl, quinuclidinyl, selenazinyl, selenazolyl, selenophenyl, succinimidyl, sulfolanyl, tetrahydrofuranyl, tetrahydrofuryl, tetrahydroisoquinolinyl, tetrahydroisoquinolyl, tetrahydropyridinyl, tetrahydropyridyl (piperidyl), tetrahydropyranyl, tetrahydropyronyl, tetrahydroquinolinyl, tetrahydroquinolyl, tetrahydrothienyl, tetrahydrothiophenyl, tetrazinyl, tetrazolyl, thiadiazinyl (e.g., 6H-1,2,5-thiadiazinyl or 2H,6H-1,5,2-dithiazinyl), thiadiazolyl, thianthrenyl, thianyl, thianaphthenyl, thiazepinyl, thiazinyl, thiazolidinedionyl, thiazolidinyl, thiazolyl, thienyl, thiepanyl, thiepinyl, thietanyl, thietyl, thiiranyl, thiocanyl, thiochromanonyl, thiochromanyl, thiochromenyl, thiodiazinyl, thiodiazolyl, thioindoxyl, thiomorpholinyl, thiophenyl, thiopyranyl, thiopyronyl, thiotriazolyl, thiourazolyl, thioxanyl, thioxolyl, thymidinyl, thyminyl, triazinyl, triazolyl, trithianyl, urazinyl, urazolyl, uretidinyl, uretinyl, uricyl, uridinyl, xanthenyl, xanthinyl, xanthionyl, and the like, as well as modified forms thereof (e.g., including one or more oxo and/or amino) and salts thereof. The heterocyclyl group can be substituted or unsubstituted. For example, the heterocyclyl group can be substituted with one or more substitution groups, as described herein for aryl.


By “hydroxyl” is meant —OH.


By “imino” is meant —NR—, in which R can be H or optionally substituted alkyl.


By “oxo” is meant an ═O group.


As used herein, the term “about” means +/-10% of any recited value. As used herein, this term modifies any recited value, range of values, or endpoints of one or more ranges.


As used herein, the terms “top,” “bottom,” “upper,” “lower,” “above,” and “below” are used to provide a relative relationship between structures. The use of these terms does not indicate or require that a particular structure must be located at a particular location in the apparatus.


Other features and advantages of the invention will be apparent from the following description and the claims.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1A-1B presents schematic diagrams of illustrative precursors and other reagents for deposition. Provided are (A) reactions including a non-limiting Ta-based precursor (Ta(═N—t—Bu)(NMe2)3) with a reducing gas (e.g., H2 or NH3) to provide a TaN-based PR film; and (B) further reactions in the presence of a non-limiting Sn-based precursor (Sn(iPr)(NMe2)3) to provide a mixed organometal film including Ta and Sn.



FIG. 2 presents a schematic diagram of illustrative precursors and other reagents for providing a layered film. Provided are reactions including a non-limiting Sn-based precursor (Sn(iPr)(NMe2)3) with a counter-reactant (e.g., H2O) to provide a SnO-based layer in cycle A, as well as a non-limiting Ta-based precursor (Ta(═N—t—Bu)(NMe2)3) with a reducing gas (e.g., H2 or NH3) to provide a TaN-based layer in cycle B. By alternating cycles A and B, a layered film can be formed.



FIGS. 3A-3C presents diagrams of non-limiting methods that employ a Ta-based precursor during deposition. Provided are (A) a block diagram of an illustrative method 300 including deposition of a Ta-based precursor; (B) a block diagram of another illustrative method 320 including deposition of a Ta-based precursor with a Sn-based precursor; and (C) a block diagram of yet another illustrative method 340 including deposition of a Ta-based precursor and a Sn-based precursor in alternating cycles.



FIG. 4 presents a schematic illustration of an embodiment of a process station 400 for dry development.



FIG. 5 presents a schematic illustration of an embodiment of a multi-station processing tool 500.



FIG. 6 presents a schematic illustration of an embodiment of an inductively coupled plasma apparatus 600.



FIG. 7 presents a schematic illustration of an embodiment of a semiconductor process cluster tool architecture 700.





DETAILED DESCRIPTION

This disclosure relates generally to the field of semiconductor processing. In particular, the disclosure is directed to the use of Ta-based precursors during deposition. Such Ta-based precursors can provide a deposited film including Ta, which can exhibit EUV-sensitivity and/or enhanced mechanical stability.


Current CVD-processable EUV PRs include low-density Sn-based films with limited mechanical stability. The soft chemical nature of such Sn-based PR films can lead to reduced mechanical stability, which limits how thick PR layers before development leads to line collapse of printed features. Furthermore, the mechanical instability of Sn-based PR films can limit wet or dry development to less aggressive chemistries, which could limit opportunities for patterning optimization. By incorporating Ta-based precursors into such films, enhanced structural stability can be observed in pure Ta films or mixed Ta/Sn films. Furthermore, EUV sensitivity can be enhanced by increasing the density of EUV-absorptive Ta atoms within the film.


Reference is made herein in detail to specific embodiments of the disclosure. Examples of the specific embodiments are illustrated in the accompanying drawings. While the disclosure will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the disclosure to such specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. The present disclosure may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the present disclosure.


EUV lithography makes use of EUV resists that are patterned to form masks for use in etching underlying layers. EUV resists may be polymer-based chemically amplified resists (CARs) produced by liquid-based spin-on techniques. An alternative to CARs is directly photopatternable metal oxide-containing films, such as those available from Inpria Corp. (Corvallis, OR), and described, for example, in U.S. Pat. Pub. Nos. US 2017/0102612, US 2016/0216606, and US 2016/0116839, incorporated by reference herein at least for their disclosure of photopatternable metal oxide-containing films. Such films may be produced by spin-on techniques or dry vapor-deposited. The metal oxide-containing film can be patterned directly (i.e., without the use of a separate photoresist) by EUV exposure in a vacuum ambient providing sub-30 nm patterning resolution, for example as described in U.S. Pat. No. 9,996,004, issued Jun. 12, 2018 and titled EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS, and/or in International Appl. No. PCT/US19/31618, published as International Pub. No. WO 2019/217749, filed May 9, 2019, and titled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS, the disclosures of which at least relating to the composition, deposition, and patterning of directly photopatternable metal oxide films to form EUV resist masks is incorporated by reference herein. Generally, the patterning involves exposure of the EUV resist with EUV radiation to form a photo pattern in the resist, followed by development to remove a portion of the resist according to the photo pattern to form the mask.


Directly photopatternable EUV or DUV resists may be composed of or contain metals and/or metal oxides mixed within organic components. The metals/metal oxides are highly promising in that they can enhance the EUV or DUV photon adsorption, generate secondary electrons, and/or show increased etch selectivity to an underlying film stack and device layers.


Generally, resists can be employed as a positive tone resist or a negative tone resist by controlling the chemistry of the resist and/or the solubility or reactivity of the developer. It would be beneficial to have a EUV or DUV resist that can serve as either a negative tone resist or a positive tone resist, and the present disclosure encompasses use and development of films as either a negative or positive tone resist.


Methods Employing Ta-Based Precursor(s)

The present disclosure generally includes any useful method that employs a Ta-based precursor, as described herein. Such methods can include any useful lithography processes, deposition processes, radiation exposure processes, development processes, and post-application processes, as described herein.


In particular, the tantalum-based precursor can include a patterning radiation-sensitive moiety. Such a moiety can be a doubly-bonded ligand, which can serve as an EUV labile group. As seen in FIG. 1A, a non-limiting Ta-based precursor (Ta(═N—t—Bu)(NMe2)3) is provided in the presence of a reducing gas (e.g., H2 or NH3) to provide a TaN-based PR film, which can be further exposed to EUV and developed (e.g., by way of dry development with Cl2 and plasma).


In particular embodiments, deposition of Ta-based PR films using only a single precursor can be performed by CVD. Such films may exhibit particular characteristics, such as an improved mechanical stability of the resultant PR, allowing for more aggressive wet and dry development chemistries and, thus, leading to improved patterning quality. Such films may also allow for similar EUV sensitivity to Sn-based PRs. Furthermore, such films can be patterned and developed with negative tone chemistries to yield a TaN hard mask, which could reduce the number of etch steps for full stack processing.


Mixed metal films can also be formed by incorporation other metal precursors. As seen, in FIG. 1B, a non-limiting Ta-based precursor (Ta(═N—t—Bu)(NMe2)3) is provided in the presence of a reducing gas (e.g., H2 or NH3) and an organometal compound, e.g., a Sn-based precursor (Sn(i-Pr)(NMe2)3). Deposition yields a mixed metal (Ta/Sn) film having Ta-N bonds and EUV labile ligands, provided by the doubly bonded ligand in the Ta-based precursor and the i-Pr group in the Sn-based precursor. This mixed metal film can be further exposed to EUV and developed (e.g., by way of dry development with HBr and then with Cl2 plasma). Further non-limiting Ta-based precursors and other metal precursors are described herein.


Deposition can be performed simultaneously or sequentially. As seen in FIG. 1B, the Ta-based precursor and Sn-based precursor can be deposited at the same time to provide a mixed metal film. Alternatively, the precursors can be provided in cycles, as in FIG. 2, thereby depositing alternating Sn-containing layers and Ta-containing layers by performing cycle A and then performing cycle B. Optionally, a purge step can be conducted between cycles A and B.


In particular embodiments, co-deposition of mixed metal Sn- and Ta-based PR films can be performed by CVD or ALD. Such films may exhibit particular characteristics, such as a reduced density of EUV-sensitive moieties in the PR, resulting in increased PR EUV sensitivity; an improved mechanical stability of the resultant PR, allowing for more aggressive wet and dry development chemistries and, thus, leading to improved patterning quality. Such films may also allow for thicker PR layers, thereby allowing the patterned and developed PR to serve as an etch hard mask, which would reduce the number of etch steps for full stack processing. Such mixed metal films can have any useful combination and arrangement of Ta-containing layer(s), Sn-containing layer(s), and mixed Ta/Sn-containing layers within a stack, as well as gradient films having increased EUV absorption closer to the substrate. In one instance, the Ta-containing layer is employed as a capping layer, and/or the Sn-containing layer is closer to the substrate. In another instance, the stack includes a lower Sn-containing layer, an upper Ta-containing layer, and an intermediate Ta/Sn-containing layer disposed between the lower and upper layers. In yet another instance, any of the films and layers in FIGS. 1A-1B and FIG. 2 can be combined within a stack.



FIGS. 3A-3C provides flow charts of exemplary methods having various operations, including optional operations. Optional steps may be conducted to further modulate, modify, or treat the EUV-sensitive film(s), substrate, photoresist layer(s), and/or capping layer(s) in any method herein.



FIG. 3A shows an exemplary method 302 employing a Ta-based precursor. As can be seen, in operation 302, a film is deposited employing the Ta-based precursor, which can optionally include the presence of a reducing gas, a hydrocarbon, an alkyne, or some combination thereof.


When only a Ta-based precursor is employed, then the resultant film can include a pure Ta-based PR film. Such a film can form TaN upon exposure to EUV photons and would serve as a negative tone PR that yields patterns with high mechanical stability and resilience to development chemistries. Ta-based PRs can be prepared using reducing gases (e.g., H2, NH3, NRN1RN2RN3, in which each of RN1, RN2, and RN3 is, independently, optionally substituted alkyl, such as methyl, ethyl, n-propyl, isopropyl, t-butyl, n-butyl, etc.) in CVD or ALD methods to partially react the Ta precursor, such that the resultant Ta-based film contains some EUV labile organic moieties.


In optional operation 304, the backside surface or bevel of the substrate can be cleaned, and/or an edge bead of the photoresist that was deposited in the prior step can be removed. Such cleaning or removing steps can be useful for removing particles that may be present after depositing a photoresist layer. The removing step can include processing the wafer with a wet metal oxide (MeOx) edge bead removal (EBR) step.


In another instance, the method can include optional operation 306 of performing a post application bake (PAB) of the deposited photoresist layer, thereby removing residual moisture from the layer to form a film; or pretreating the photoresist layer in any useful manner. The optional PAB can occur after film deposition and prior to EUV exposure; and the PAB can involve a combination of thermal treatment, chemical exposure, and moisture to increase the EUV sensitivity of the film, thereby reducing the EUV dose to develop a pattern in the film. In particular embodiments, the PAB step is conducted at a temperature greater than about 100° C. or at a temperature of from about 100° C. to about 200° C. or from about 100° C. to about 250° C. In some instances, a PAB is not performed within the method.


In operation 308, the film is exposed to EUV radiation to develop a pattern. Generally, the EUV exposure causes a change in the chemical composition of the film, creating a contrast in etch selectivity that can be used to remove a portion of the film. Such a contrast can provide a positive tone resist or a negative tone resist, as described herein. EUV exposure can include, e.g., an exposure having a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient (e.g., about 13.5 nm in a vacuum ambient).


Operation 310 is an optional post exposure bake (PEB) of the exposed film, thereby further removing residual moisture, promoting chemical condensation within the film, or increasing contrast in etch selectivity of the exposed film; or post-treating the film in any useful manner. Non-limiting examples of temperature for PEB include, for example from about 90° C. to 600° C., 100° C. to 400° C., 125° C. to 300° C., 170° C. to 250° C. or more, 190° C. to 240°, as well as others described herein. In one instance, the exposed film can be thermally treated (e.g., optionally in the presence of various chemical species) to promote reactivity within the EUV exposed portions of the resist upon exposure to a stripping agent (e.g., a halide-based etchant, such as HCl, HBr, H2, Cl2, Br2, BCl3, or combinations thereof, as well as any halide-based development process described herein; an aqueous alkali development solution; or an organic development solution) or a positive tone developer. In another instance, the exposed film can be thermally treated to further cross-link ligands within the EUV exposed portions of the resist, thereby providing EUV unexposed portions that can be selectively removed upon exposure to a stripping agent (e.g., a negative tone developer).


Then, in operation 312, the PR pattern is developed. In various embodiments of development, the exposed regions are removed (to provide a pattern within a positive tone resist) or the unexposed regions are removed (to provide a pattern in a negative tone resist). In various embodiments, these steps may be dry processes or wet processes. In particular embodiments, the development step is a dry process (e.g., with a gaseous etchant, such as HBr, HCl, HBr, HI, HF, Cl2, Br2, BCl3, BF3, NF3, NH3, SOCl2, SF6, CF4, CHF3, CH2F2, and/or CH3F, as well as other halides described herein, and in the optional presence of plasma). In other embodiments, the development step is a wet process (e.g., with an organic solvent, as described herein).


For pure Ta-based PR films, wet development can be accomplished with nonpolar solvents that discern between the nonpolar, small molecular weight species in unexposed areas of the PR versus the dense high molecular weight species in printed areas of the lithographically-exposed material. Non-limiting solvents include, e.g., alcohol (e.g., isopropyl alcohol (IPA)), a ketone (e.g., 2-heptanone, cyclohexanone, or acetone), or a glycol ether (e.g., propylene glycol methyl ether (PGME) or propylene glycol methyl ether acetate (PGMEA)), as well as others described herein and combinations thereof. Dry development can include halide etch chemistry (e.g., Cl2, NF3, SOCl2, SF6, CF4, CHF3, CH2F2, and/or CH3F etch, or any described herein).


For mixed Ta- and Sn-based PR films, wet development can be accomplished with nonpolar solvents (e.g., as described herein for pure Ta-based films). Dry developments can include halide etch chemistry, including mixtures of halides (e.g., HBr, BCl3, Cl2, and/or NF3 etch in a single step or in a series of steps).


Developing steps can include use of halide chemistry (e.g., HBr chemistry) in a gas phase or use of aqueous or organic solvents in a liquid phase. Developing steps can include any useful experimental conditions, such as a low pressure condition (e.g., of from about 1 mTorr to about 100 mTorr), a plasma exposure (e.g., in the presence of vacuum), and/or a thermal condition (e.g., of from about -10° C. to about 100° C.) that may be combined with any useful chemistry (e.g., halide chemistry or aqueous chemistry). Development can include, e.g., a halide-based etchant, such as HCl, HBr, H2, Cl2, Br2, BCl3, NF3, or combinations thereof, as well as any halide-based development process described herein; an aqueous alkali development solution; or an organic development solution. In particular embodiments, development can include more aggressive conditions, such as extended development times, higher pressure conditions (e.g., of from about 100 mTorr to 900 mTorr), higher temperature conditions (e.g., of from 20° C. to 120° C.), stronger dry etchants (e.g., NF3), or wet developers with stronger acids or bases (e.g., phosphorous-containing inorganic acids). Additional development process conditions are described herein.


In another instance, the method can include (e.g., after development) hardening the patterned film, thereby providing a resist mask disposed on a top surface of the substrate. Hardening steps can include any useful process to further crosslink or react the EUV unexposed or exposed areas, such as steps of exposing to plasma (e.g., O2, Ar, He, or CO2 plasma), exposing to ultraviolet radiation, annealing (e.g., at a temperature of about 180° C. to about 240° C.), thermal baking, or combinations thereof that can be useful for a post development baking (PDB) step. Additional post-application processes are described herein and may be conducted as an optional step for any method described herein.


Deposition can include the use of other metal precursors. As seen in FIG. 3B, the method 320 can include depositing 322 a film with a Ta-based precursor and a Sn-based precursor, which can optionally include the presence of a counter-reactant, a reducing gas, a hydrocarbon, and/or an alkyne. Such a process can include ALD or CVD, in which mixed Ta- and Sn-based PRs can be prepared by flowing the Ta-based precursor and the Sn-based precursor with or without a reducing gas (e.g., any herein) and grown to the desired film thickness. The concentration, flow rate, and/or deposition time for the precursors can be changed to fine tune the composition and characteristics of the mixed metal, alloy-like film. In this way, the relative amount of Ta-based and Sn-based precursors deposited as the film can be optimized.


The resultant film is mixed metal film, which can be optionally cleaned 324 and optionally undergo PAB or pretreatment 326. The mixed metal film can be a PR film, such that EUV exposure 328 generates a PR pattern and development 332 provides a pattern within the film. The exposed film can optionally undergo PEB or post-treatment 330.


Such precursors can be provided in any useful manner. As seen in FIG. 3C, the method 340 can include depositing 342 a film with a Ta-based precursor 342A, followed by or preceded by a Sn-based precursor 342B. The precursors can be provided sequentially in any useful manner. Some example sequences may include one or more cycles, such as n cycles (e.g., n is from 1 to 100) of alternating Ta-containing layer and Sn-containing layer. The sequence to be used may be determined by any of a number of factors, such as to build or even customize a film with a desired thickness, a desired average patterning radiation sensitivity, a desired profile or gradient of patterning radiation sensitivity, a desired mechanical property, or some combination thereof. As shown, operation 342A produces a Ta-containing layer, and operation 342B produces a Sn-based layer. These operations 342A, 342B can be optionally conducted in the presence of a counter-reactant, a reducing gas, a hydrocarbon, or an alkyne.


In addition to deposition by way of CVD, the mixed Ta- and Sn-based PR film can be prepared by ALD in two or more steps. In one instance, a two-step process could include (i) Sn-based oxide deposition with a Sn-based precursor and an optional counter-reactant and then gas purge, followed by (ii) application of Ta-based oxide or nitride deposition with a Ta-based precursor and an optional reducing gas/alkyne and then gas purge, in which each of (i) and (ii) can be repeated until the desired film thickness is achieved. Operation (i) and (ii) can be performed in the opposite order, i.e., in which a Ta-based precursor is deposited first and then the Sn-based precursor is deposited. Alternatively, operations (i) and (ii) can be repeated in any useful manner, such as (i) for n cycles (e.g., (i)1, (i)2, ... (i)n); (ii) for n cycles (e.g., (ii)1, (ii)2, ... (ii)n); (i) for n cycles then (ii) for m cycles (e.g., (i)1, (i)2, ... (i)n, (ii)1, (ii)2, ... (ii)m, in which n may or may not be equal to m); or (i) followed by (ii) for n cycles (e.g., (i)1, (ii)1, .... (i)n, (ii)m, in which n may or may not be equal to m).


In another instance, a three-step operation can include (i) Sn-based oxide deposition with a Sn-based precursor and an optional counter-reactant and then gas purge; (ii) application of Ta-based oxide or nitride deposition with a Ta-based precursor and an optional reducing gas/alkyne and then gas purge; and (iii) application of a reducing gas (e.g., any described herein) and then gas purge, which can be repeated until the desired film thickness is achieved.


The resultant film can be a layered film, which can be optionally cleaned 344 and optionally undergo PAB or pretreatment 346. The layered film can be a PR film, such that EUV exposure 348 generates a PR pattern and development 352 provides a pattern within the film. The exposed film can optionally undergo PEB or post-treatment 350.


Any useful type of chemistry can be employed during the depositing, patterning, and/or developing steps. Such steps may be based on dry processes employing chemistry in a gaseous phase or wet processes employing chemistry in a wet phase. Various embodiments include combining all dry operations of film formation by vapor deposition, (EUV) lithographic photopatterning, dry stripping, and dry development. Various other embodiments include dry processing operations described herein advantageously combined with wet processing operations, for example, spin-on EUV photoresists (wet process), such as available from Inpria Corp., may be combined with dry development or other wet or dry processes as described herein. In various embodiments, the wafer clean may be a wet process as described herein, while other processes are dry processes. In yet other embodiments, a wet development process may be used.


Without limiting the mechanism, function, or utility of the present technology, dry processes of the present technology may provide various benefits relative to wet processes. For example, dry vapor deposition techniques described herein can be used to deposit thinner and more defect free films than can be applied using spin-coating techniques, in which the exact thickness of the deposited film can be modulated and controlled simply by increasing or decreasing the length of the deposition step or sequence.


In other embodiments, dry and wet operations can be combined to provide a dry/wet process. For any of the process herein (e.g., for lithographic processes, deposition processes, EUV exposure processes, development processes, pre-treatment processes, post-application processes, etc.), various specific operation can include wet, dry, or wet and dry embodiments. For instance, a wet deposition can be combined with a dry development; or wet deposition can be combined with wet development; or dry deposition can be combined with wet development; or dry deposition can be combined with dry development. Any of these, in turn, can be combined with wet or dry pre- and post-application processes, as described herein.


Accordingly, in some non-limiting embodiments, a dry process may provide more tunability and give further critical dimension (CD) control and scum removal. Dry development can improve performance (e.g., prevent line collapse due to surface tension in wet development) and/or enhance throughput (e.g., by avoiding wet development track). Other advantages may include eliminating the use of organic solvent developers, reducing sensitivity to adhesion issues, avoiding the need to apply and remove wet resist formulations (e.g., avoiding scumming and pattern distortion), improving line edge roughness, patterning directly over device topography, offering the ability to tune hardmask chemistry to the specific substrate and semiconductor device design, and avoiding other solubility-based limitations. Additional details, materials, processes, steps, and apparatuses are described herein.


Ta-Based Precursor(s)

Any useful Ta-based precursors and other metal compounds (e.g., organometal compounds) can be employed in the methods and processes herein. Non-limiting Ta-based precursors and organometal compounds are described herein.


The Ta-based precursor can include any precursor (e.g., described herein) that provides a patternable film that is sensitive to radiation (or a patterning radiation-sensitive film or a photopatternable film). Such radiation can include EUV radiation or DUV radiation that is provided by irradiating through a patterned mask, thereby being a patterning radiation. The film itself can be altered by being exposed to such radiation, such that the film is radiation-sensitive.


In particular embodiments, the Ta-based precursor is an organometallic compound, which includes at least one Ta center and at least one ligand that can react with a reducing gas or an alkyne. In some non-limiting embodiments, the Ta-based precursor also includes an organic moiety that can be reactive in the presence of patterning radiation, such as by undergoing removal or elimination from the metal center or by reacting or polymerizing with other moieties within the film.


In some embodiments, the Ta-based precursor includes a structure having formula (I):




embedded image - (I)


wherein:

  • each R is, independently, an EUV labile group, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted imino, or optionally substituted alkylene;
  • each L is, independently, a ligand or other moiety that is reactive with a reducing gas or an alkyne;
  • b ≥ 0; and c ≥ 0.


In other embodiments, b is 1, and c is 3. In other embodiments, c ≥ 1. In yet other embodiments, b ≥ 1. In particular embodiments, L is optionally substituted amino (e.g., —NRN1RN1, in which each RN1 and RN2 is, independently, H or optionally substituted alkyl, such as methyl, ethyl, butyl, isopropyl, t-butyl, n-butyl, etc.). In some embodiments, R is the EUV labile group, which includes a doubly-bonded ligand (e.g., ═NRi or ═CRiRii, in which each Ri and Rii is, independently, H, optionally substituted linear alkyl, optionally substituted branched alkyl, or optionally substituted cycloalkyl, such as methyl, ethyl, n-propyl, isopropyl, t-butyl, n-butyl, etc.).


In other embodiments, the Ta-based precursor comprises a structure having formula (I-A):




embedded image - (I-A)


wherein:

  • R is ═NRi or ═CRiRii;
  • each L is, independently, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or a bivalent ligand that is bound to Ta and the bivalent ligand is —NRi—Ak—NRii-;
  • each Ri and Rii is, independently, H, optionally substituted linear alkyl, optionally substituted branched alkyl, or optionally substituted cycloalkyl;
  • Ak is optionally substituted alkylene or optionally substituted alkenylene; and b ≥ 1.


In some embodiments, the optionally substituted amino is —NR1R2, in which each R1 and R2 is, independently, H or alkyl; or in which R1 and R2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. In other embodiments, the optionally substituted bis(trialkylsilyl)amino is —N(SiR1R2R3)2, in which each R1, R2, and R3 is, independently, optionally substituted alkyl. In yet other embodiments, the optionally substituted trialkylsilyl is —SiR1R2R3, in which each R1, R2, and R3 is, independently, optionally substituted alkyl. Any of the substituents R and L for formula (I) and (I-A), can be employed as R or L in any of formulas (II), (II-A), (III), (IV), (V), (VI), (VII), (VIII), or (IX), as described herein.


In some embodiments, the Ta-based precursor is R═Ta(NRN1RN2)3, in which each of RN1 and RN2 is, independently, optionally substituted alkyl (e.g., methyl, ethyl, butyl, isopropyl, t-butyl, n-butyl, etc.) and R is a doubly-bonded ligand (e.g., ═NRi or ═CHR1, in which Ri is optionally substituted alkyl, such as methyl, ethyl, n-propyl, isopropyl, t-butyl, n-butyl, etc.). In such precursors, the doubly-bonded ligand serves as both a nitrogen source and a EUV-labile group, while the three amino-based ligands serve as reactive sites to attach to existing functional groups on the deposition substrate surface.


Non-limiting Ta-based precursors include pentakis(dimethylamino)tantalum(V) (Ta[NMe2]5), t-amylimidotris(dimethylamino)tantalum(V) (Ta(═N—CHMe2Et)(NMe2)3, (t-butylimido)tris(diethylamino)tantalum(V) (Ta(═N—t—Bu)(NEt2)3), (t-butylimido)tris(dimethyl amino)tantalum(V) (Ta(═N—t—Bu)(NEt2)3), and (t-butylimido)tris(ethylmethylamino) tantalum(V) (Ta(═N—t—Bu)(NMeEt)3).


Further Metal Precursors

The methods herein can include a Ta-based precursor used in combination with any useful metal precursor. In particular instances, the metal precursor is an Sn-based precursor, an organometal compound, or any further metal precursors described below.


The metal precursor can include any precursor (e.g., described herein) that provides a patternable film that is sensitive to radiation (or a patterning radiation-sensitive film or a photopatternable film). Such radiation can include EUV radiation, DUV radiation, or UV radiation that is provided by irradiating through a patterned mask, thereby being a patterning radiation. The film itself can be altered by being exposed to such radiation, such that the film is radiation-sensitive. In particular embodiments, the metal precursor is an organometallic compound, which includes at least one metal center.


The metal precursor can have any useful number and type of ligand(s). In some embodiments, the ligand can be characterized by its ability to react in the presence of a counter-reactant or in the presence of patterning radiation. For instance, the metal precursor can include a ligand (e.g., dialkylamino groups or alkoxy groups) that reacts with a counter-reactant, which can introduce linkages between metal centers (e.g., an —O— linkage). In another instance, the metal precursor can include a ligand that eliminates in the presence of patterning radiation. Such a ligand can include branched or linear alkyl groups having a beta-hydrogen.


The metal precursor can be any useful metal-containing precursor, such as an organometal compound, an organometallic agent, a metal halide, or a capping agent (e.g., as described herein). In a non-limiting instance, the organometal compound includes a structure having formula (II):




embedded image - (II)


wherein:

  • M is a metal or an atom having a high EUV absorption cross-section;
  • each R is, independently, an EUV labile ligand, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or L;
  • each L is, independently, a ligand (e.g., an anionic ligand, a neutral ligand, or a multidentate ligand), an ion, or other moiety that is reactive with a counter-reactant,
  • in which R and L with M, taken together, can optionally form a heterocyclyl group or in which R and L, taken together, can optionally form a heterocyclyl group;
  • a ≥ 1; b ≥ 1; and c ≥ 1.


In some embodiments, R is optionally substituted alkyl, and M is tin. In other embodiments, each L is, independently, H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or optionally substituted alkoxy. In particular embodiments, L is optionally substituted amino (e.g., —NR1R2, in which each R1 and R2 is, independently, optionally substituted alkyl).


In some embodiments, the organometal compound is SnRL3, in which each L is, independently, optionally substituted amino (e.g., —NR1R2, in which each R1 and R2 is, independently, optionally substituted alkyl, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, n-butyl, etc.) and R is optionally substituted alkyl (e.g., methyl, ethyl, butyl, isopropyl, tert-butyl, n-butyl, etc.)


In some embodiments, each ligand within the metal precursor can be one that is reactive with a counter-reactant. In one instance, the metal precursor includes a structure having formula (II), in which each R is, independently, L. In another instance, the metal precursor includes a structure having formula (II-A):




embedded image - (II-A)


wherein:

  • M is a metal or an atom having a high EUV absorption cross-section;
  • each L is, independently, a ligand, ion, or other moiety that is reactive with a counter-reactant, in which two L, taken together, can optionally form a heterocyclyl group;
  • a ≥ 1; and c ≥ 1.


In particular embodiments of formula (II-A), a is 1. In further embodiments, c is 2, 3, or 4.


In another non-limiting instance, the metal precursor includes a structure having formula (IV):




embedded image - (III)


wherein:

  • M is a metal or an atom having a high EUV absorption cross-section;
  • each R is, independently, H, halo, optionally substituted alkyl, optionally substituted cycloalkyl, optionally substituted cycloalkenyl, optionally substituted alkenyl, optionally substituted alkynyl, optionally substituted alkoxy, optionally substituted alkanoyloxy, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, oxo, an anionic ligand, a neutral ligand, or a multidentate ligand;
  • a ≥ 1; and b ≥ 1.


For any formula herein, M can be a metal, a metalloid, or an atom with a high patterning radiation absorption cross-section (e.g., an EUV absorption cross-section that is equal to or greater than 1×107 cm2/mol). In some embodiments, M is tin (Sn), tellurium (Te), bismuth (Bi), antimony (Sb), tantalum (Ta), cesium (Cs), indium (In), molybdenum (Mo), hafnium (Hf), iodine (I), zirconium (Zr), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), zinc (Zn), silver (Ag), platinum (Pt), and lead (Pb). In further embodiments, M is Sn, a is 1, and c is 4 in formula (II), (II-A), or (III). In other embodiments, M is Sn, a is 1; and c is 1 or 2 in formula (II), (II-A), or (III). In particular embodiments, M is Sn(II) (e.g., in formula (II), (II-A), or (III)), thereby providing a metal precursor that is a Sn(II)-based compound. In other embodiments, M is Sn(IV) (e.g., in formula (II), (II-A), or (III)), thereby providing a metal precursor that is a Sn(IV)-based compound. In particular embodiments, the precursor includes iodine (e.g., as in periodate).


For any formula herein, each R or L is, independently, H, halo, optionally substituted alkyl, optionally substituted cycloalkyl, optionally substituted cycloalkenyl, optionally substituted alkenyl, optionally substituted alkynyl, optionally substituted alkoxy (e.g., —OR1, in which R1 can be optionally substituted alkyl), optionally substituted alkanoyloxy, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, oxo, an anionic ligand (e.g., oxido, chlorido, hydrido, acetate, iminodiacetate, etc.), a neutral ligand, or a multidentate ligand.


In some embodiments, the optionally substituted amino is —NR1R2, in which each R1 and R2 is, independently, H or alkyl; or in which R1 and R2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. In other embodiments, the optionally substituted bis(trialkylsilyl)amino is —N(SiR1R2R3)2, in which each R1, R2, and R3 is, independently, optionally substituted alkyl. In yet other embodiments, the optionally substituted trialkylsilyl is —SiR1R2R3, in which each R1, R2, and R3 is, independently, optionally substituted alkyl.


In other embodiments, the formula includes a first R (or first L) that is —NR1R2 and a second R (or second L) that is —NR1R2, in which each R1 and R2 is, independently, H or optionally substituted alkyl; or in which R1 from a first R (or first L) and R1 from a second R (or second L), taken together with the nitrogen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein. In yet other embodiments, the formula includes a first R that is —OR1 and a second R that is —OR1, in which each R1 is, independently, H or optionally substituted alkyl; or in which R1 from a first R and R1 from a second R, taken together with the oxygen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein.


In some embodiments, at least one of R or L (e.g., in formula (II), (II-A), or (III)) is optionally substituted alkyl. Non-limiting alkyl groups include, e.g., CnH2n+1, where n is 1, 2, 3, or greater, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, s-butyl, or t-butyl. In various embodiments, R or L has at least one beta-hydrogen or beta-fluorine.


In some embodiments, each R or L or at least one R or L (e.g., in formula (II), (II-A), or (III)) is halo. In particular, the metal precursor can be a metal halide. Non-limiting metal halides include SnBr4, SnCl4, SnI4, and SbCl3.


In some embodiments, each R or L or at least one R or L (e.g., in formula (II), (II-A), or (III)) can include a nitrogen atom. In particular embodiments, one or more R or L can be optionally substituted amino, an optionally substituted monoalkylamino (e.g., —NR1H, in which R1 is optionally substituted alkyl), an optionally substituted dialkylamino (e.g., —NR1R2, in which each R1 and R2 is, independently, optionally substituted alkyl), or optionally substituted bis(trialkylsilyl)amino. Non-limiting R and L substituents can include, e.g., —NMe2, —NHMe, —NEt2, —NHEt, —NMeEt, —N(t—Bu)—[CHCH3]2—N(t—Bu)— (tbba), —N(SiMe3)2, and —N(SiEt3)2.


In some embodiments, each R or L or at least one R or L (e.g., in formula (II), (II-A), or (III)) can include a silicon atom. In particular embodiments, one or more R or L can be optionally substituted trialkylsilyl or optionally substituted bis(trialkylsilyl)amino. Non-limiting R or L substituents can include, e.g., —SiMe3, —SiEt3, —N(SiMe3)2, and —N(SiEt3)2.


In some embodiments, each R or L or at least one R or L (e.g., in formula (II), (II-A), or (III)) can include an oxygen atom. In particular embodiments, one or more R or L can be optionally substituted alkoxy or optionally substituted alkanoyloxy. Non-limiting R or L substituents include, e.g., methoxy, ethoxy, isopropoxy (i-PrO), t-butoxy (t-BuO), acetate (—OC(O)—CH3), and —O═C(CH3)—CH═C(CH3)—O— (acac).


Any formulas herein can include one or more neutral ligands. Non-limiting neutral ligands include an optionally substituted amine, an optionally substituted ether, an optionally substituted alkyl, an optionally substituted alkene, an optionally substituted alkyne, an optionally substituted benzene, oxo, or carbon monoxide.


Any formulas herein can include one or more multidentate (e.g., bidentate) ligands. Non-limiting multidentate ligand include a diketonate (e.g., acetylacetonate (acac) or —OC(R1)—Ak—(R1)CO— or —OC(R1)—C(R2)—(R1)CO—), a bidentate chelating dinitrogen (e.g., —N(R1)—Ak—N(R1)— or —N(R3)—CR4—CR2═N(R1)—), an aromatic (e.g., —Ar—), an amidinate (e.g., —N(R1)—C(R2)—N(R1)—), an aminoalkoxide (e.g., —N(R1)—Ak—O— or —N(R1)2—Ak—O—), a diazadienyl (e.g., —N(R1)—C(R2)—C(R2)—N(R1)—), a cyclopentadienyl, a pyrazolate, an optionally substituted heterocyclyl, an optionally substituted alkylene, or an optionally substituted heteroalkylene. In particular embodiments, each R1 is, independently, H, optionally substituted alkyl, optionally substituted haloalkyl, or optionally substituted aryl; each R2 is, independently, H or optionally substituted alkyl; R3 and R4, taken together, forms an optionally substituted heterocyclyl; Ak is optionally substituted alkylene; and Ar is optionally substituted arylene.


In particular embodiments, the metal precursor includes tin. In some embodiments, the tin precursor includes SnR or SnR2 or SnR4 or R3SnSnR3, wherein each R is, independently, H, halo, optionally substituted C1-12 alkyl, optionally substituted C1-12 alkoxy, optionally substituted amino (e.g., —NR1R2), optionally substituted C2-12 alkenyl, optionally substituted C2-12 alkynyl, optionally substituted C3-8 cycloalkyl, optionally substituted aryl, cyclopentadienyl, optionally substituted bis(trialkylsilyl)amino (e.g., —N(SiR1R2R3)2), optionally substituted alkanoyloxy (e.g., acetate), a diketonate (e.g., —OC(R1)—Ak—(R2)CO—), or a bidentate chelating dinitrogen (e.g., —N(R1)—Ak—N(R1)—). In particular embodiments, each R1, R2, and R3 is, independently, H or C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl); and Ak is optionally substituted C1-6 alkylene. Non-limiting tin precursors include SnF2, SnH4, SnBr4, SnCl4, SnI4, tetramethyl tin (SnMe4), tetraethyl tin (SnEt4), trimethyl tin chloride (SnMe3Cl), dimethyl tin dichloride (SnMe2Cl2), methyl tin trichloride (SnMeCl3), tetraallyltin, tetravinyl tin, hexaphenyl ditin (IV) (Ph3Sn—SnPh3, in which Ph is phenyl), dibutyldiphenyltin (SnBu2Ph2), trimethyl(phenyl) tin (SnMe3Ph), trimethyl(phenylethynyl) tin, tricyclohexyl tin hydride, tributyl tin hydride (SnBu3H), dibutyltin diacetate (SnBu2(CH3COO)2), tin(II) acetylacetonate (Sn(acac)2), SnBu3(OEt), SnBu2(OMe)2, SnBu3(OMe), Sn(t-BuO)4, Sn(n-Bu)(t-BuO)3, tetrakis(dimethylamino)tin (Sn(NMe2)4), tetrakis(ethylmethylamino)tin (Sn(NMeEt)4), tetrakis(diethylamino)tin(IV) (Sn(NEt2)4), (dimethylamino)trimethyl tin(IV) (Sn(Me)3(NMe2), Sn(i-Pr)(NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene), or bis[bis(trimethylsilyl)amino] tin (Sn[N(SiMe3)2]2).


In other embodiments, the metal precursor includes bismuth, such as in BiR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl, mono-C1-12 alkylamino (e.g., —NR1H), di-C1-12 alkylamino (e.g., —NR1R2), optionally substituted aryl, optionally substituted bis(trialkylsilyl)amino (e.g., —N(SiR1R2R3)2), or a diketonate (e.g., —OC(R4)—Ak—(R5)CO—). In particular embodiments, each R1, R2, and R3 is, independently, C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl); and each R4 and R5 is, independently, H or optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl). Non-limiting bismuth precursors include BiCl3, BiMe3, BiPh3, Bi(NMe2)3, Bi[N(SiMe3)2]3, and Bi(thd)3, in which thd is 2,2,6,6-tetramethyl-3,5-heptanedionate.


In other embodiments, the metal precursor includes tellurium, such as TeR2 or TeR4, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl), optionally substituted C1-12 alkoxy, optionally substituted aryl, hydroxyl, oxo, or optionally substituted trialkylsilyl. Non-limiting tellurium precursors include dimethyl tellurium (TeMe2), diethyl tellurium (TeEt2), di(n-butyl) tellurium (Te(n-Bu)2), di(isopropyl) tellurium (Te(i-Pr)2), di(t-butyl) tellurium (Te(t-Bu)2), t-butyl tellurium hydride (Te(t-Bu)(H)), Te(OEt)4, bis(trimethylsilyl)tellurium (Te(SiMe3)2), and bis(triethylsilyl) tellurium (Te(SiEt3)2).


The metal precursor can also include cesium. Non-limiting cesium precursors include Cs(OR), wherein R is optionally substituted C1-12 alkyl or optionally substituted aryl. Other cesium precursors include Cs(Ot—Bu) and Cs(Oi—Pr).


The metal precursor can include antimony, such as in SbR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl), optionally substituted C1-12 alkoxy, or optionally substituted amino (e.g., —NR1R2, in which each R1 and R2 is, independently, H or optionally substituted C1-12 alkyl). Non-limiting antimony precursors include SbCl3, Sb(OEt)3, Sb(On—Bu)3, and Sb(NMe2)3.


Other metal precursors include indium precursors, such as in InR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl), or a diketonate (e.g., —OC(R4)—Ak—(R5)CO—, in which each R4 and R5 is, independently, H or C1-12 alkyl). Non-limiting indium precursors include InCp, in which Cp is cyclopentadienyl, InCl3, InMe3, In(acac)3, In(CF3COCHCOCH3)3, and In(thd)3.


Yet other metal precursors include molybdenum precursors, such as MoR4, MoR5, or MoR6, wherein each R is, independently, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl), optionally substituted allyl (e.g., allyl, such as C3H5, or oxide of allyl, such as C5H5O), optionally substituted alkylimido (e.g., ═N—R1), acetonitrile, optionally substituted amino (e.g., —NR1R2), halo (e.g., chloro or bromo), carbonyl, a diketonate (e.g., —OC(R3)—Ak—(R3)CO—), or a bidentate chelating dinitrogen (e.g., —N(R3)—Ak—N(R3)— or —N(R4)—CR5—CR2═N(R3)—). In particular embodiments, each R1 and each R2 is, independently, H or optionally substituted alkyl; each R3 is, independently, H, optionally substituted alkyl, optionally substituted haloalkyl, or optionally substituted aryl; and R4 and R5, taken together, forms an optionally substituted heterocyclyl. Non-limiting molybdenum precursors include Mo(CO)6, bis(t-butylimido)bis(dimethylamino) molybdenum(VI) or Mo(NMe2)2(═Nt—Bu)2, molybdenum(VI) dioxide bis(2,2,6,6-tetramethyl-3,5-heptanedionate) or Mo(=O)2(thd)2, or molybdenum allyl complexes, such as Mo(η3-allyl)X(CO)2(CH3CN)2, in which allyl can be C3H5 or C5H5O and X can be Cl, Br, or alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl).


Metal precursors can also include hafnium precursors, such as HfR3 or HfR4, wherein each R is, independently, optionally substituted C1-12 alkyl, optionally substituted C1-12 alkoxy, mono-C1-12 alkylamino (e.g., —NR1H, in which R1 is optionally substituted C1-12 alkyl), di-C1-12 alkylamino (e.g., —NR1R2, in which each R1 and R2 is, independently, optionally substituted C1-12 alkyl), optionally substituted aryl (e.g., phenyl, benzene, or cyclopentadienyl, as well as substituted forms thereof), optionally substituted allyl (e.g., allyl or allyl oxide), or diketonate (e.g., —OC(R4)—Ak—(R5)CO—, each R4 and R5 is, independently, H or optionally substituted C1-12 alkyl). Non-limiting hafnium precursors include Hf(i-Pr)(NMe2)3; Hf(η—C6H5R1)(η—C3H5)2 in which R1 is H or alkyl; HfR1(NR2R3)3 in which each of R1, R2, and R3 is, independently, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl); HfCp2Me2; Hf(Ot—Bu)4; Hf(OEt)4; Hf(NEt2)4; Hf(NMe2)4; Hf(NMeEt)4; and Hf(thd)4.


Yet other metal precursors and non-limiting substituents are described herein. For instance, metal precursors can be any having a structure of formulas (II), (II-A), or (III), as described above; or formulas (IV), (V), (VI), (VII), (VIII), or (IX), as described below. Any of the substituents M, R, X, or L, as described herein, can be employed in any of formulas (II), (II-A), (III), (IV), (V), (VI), (VII), (VIII), or (IX).


Various atoms present in the Ta-based precursor, metal precursor, reducing gas, hydrocarbon, alkyne, and/or counter-reactant can be provided within a gradient film. In some embodiments of the techniques discussed herein, a non-limiting strategy that can further improve the EUV sensitivity in a photoresist (PR) film is to create a film in which the film composition is vertically graded, resulting in depth-dependent EUV sensitivity. In a homogenous PR with a high absorption coefficient, the decreasing light intensity throughout the film depth necessitates a higher EUV dose to ensure the bottom is sufficiently exposed. By increasing the density of atoms with high EUV absorptivity at the bottom of the film relative to the top of the film (i.e., by creating a gradient with increasing EUV absorption), it becomes possible to more efficiently use available EUV photons while more uniformly distributing absorption (and the effects of secondary electrons) towards the bottom of more highly absorbing films. In one non-limiting instance, the gradient film includes Te, I, or other atoms towards the bottom of the film (e.g., closer to the substrate).


The strategy of engineering a vertical composition gradient in a PR film is particularly applicable to dry deposition methods, such as MLD, CVD, and ALD, and can be realized by tuning the flow ratios between different reactants during deposition. The type of composition gradients that can be engineered include: the ratios between different high-absorbing metals, the percentage of metal atoms that have EUV-cleavable organic groups, the percentages of Ta-based precursors, Sn-based precursor, other metal precursors, and/or counter-reactants that contain high-absorbing elements, and combinations of the above.


The composition gradient in the EUV PR film can also bring additional benefits. For instance, high density of high-EUV-absorbing elements in the bottom part of the film can effectively generate more secondary electrons that can better expose upper portions of the film. In addition, such compositional gradients can also be directly correlated with a higher fraction of EUV absorbing species that are not bonded to bulky, terminal substituents. For example, in the case of Sn-based resists, the incorporation of tin precursors with four leaving groups is possible, thereby promoting the formation of Sn—O—substrate bonding at the interface for improved adhesion.


Such gradient films can be formed by using any metal precursors (e.g., Ta-based, Sn-based, or other metal based precursors) and/or counter-reactants described herein. Yet other films, methods, precursors, and other compounds are described in U.S. Provisional Pat. Appl. No. 62/909,430, filed Oct. 2, 2019, and International Appl No. PCT/US20/53856, filed Oct. 1, 2020, published as International Pub. No. WO 2021/067632, in which each is titled SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS; and International Appl. No. PCT/US20/70172, filed Jun. 24, 2020 and titled PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT, the disclosures of which at least relating to the composition, deposition, and patterning of directly photopatternable metal oxide films to form EUV resist masks are incorporated by reference herein.


Furthermore, two or more different precursors can be employed within each layer (e.g., a film). For instance, two or more of any metal-containing precursors herein can be employed to form an alloy. In one non-limiting instance, tin telluride can be formed by employing tin precursor including an —NR2 ligand with RTeH, RTeD, or TeR2 precursors, in which R is an alkyl, particularly t-butyl or i-propyl. In another instance, a metal telluride can be formed by using a first metal precursor including an alkoxy or a halo ligand (e.g., SbCl3) with a tellurium-containing precursor including a trialkylsilyl ligand (e.g., bis(trimethylsilyl) tellurium).


Yet other exemplary EUV-sensitive materials, as well as processing methods and apparatuses, are described in U.S. Pat. No. 9,996,004 and Int. Pat. Pub. No. WO 2019/217749, each of which is incorporated herein by reference in its entirety.


As described herein, the films, layers, and methods herein can be employed with any useful precursor. In some instances, the metal precursor includes a metal halide having the following formula (IV):




embedded image - (IV)


in which M is a metal, X is halo, and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. Exemplary metal halides include SnBr4, SnCl4, SnI4, and SbCl3.


Another non-limiting metal-containing precursor includes a structure having formula (V):




embedded image - (V)


in which M is a metal; each R is independently H, an optionally substituted alkyl, amino (e.g., —NR2, in which each R is independently alkyl), optionally substituted bis(trialkylsilyl)amino (e.g., —N(SiR3)2, in which each R is independently alkyl), or an optionally substituted trialkylsilyl (e.g., —SiR3, in which each R is independently alkyl); and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. The alkyl group may be CnH2n+1, where n is 1, 2, 3, or greater. Exemplary organometallic agents include SnMe4, SnEt4, TeRn, RTeR, t-butyl tellurium hydride (Te(t-Bu)(H)), dimethyl tellurium (TeMe2), di(t-butyl) tellurium (Te(t-Bu)2), di(isopropyl)tellurium (Te(i-Pr)2), bis(trimethylsilyl)tellurium (Te(SiMe3)2), bis(triethylsilyl) tellurium (Te(SiEt3)2), tris(bis(trimethylsilyl)amido) bismuth (Bi[N(SiMe3)2]3), Sb(NMe2)3, and the like.


Another non-limiting metal-containing precursor can include a capping agent having the following formula (VI):




embedded image - (VI)


in which M is a metal; each L is independently an optionally substituted alkyl, amino (e.g., —NR1R2, in which each of R1 and R2 can be H or alkyl, such as any described herein), alkoxy (e.g., —OR, in which R is alkyl, such as any described herein), halo, or other organic substituent; and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. Exemplary ligands include dialkylamino (e.g., dimethylamino, methylethylamino, and diethylamino), alkoxy (e.g., t-butoxy, and isopropoxy), halo (e.g., F, Cl, Br, and I), or other organic substituents (e.g., acetylacetone or N2,N3-di-tertbutyl-butane-2,3-diamino). Non-limiting capping agents include SnCl4; SnI4; Sn(NR2)4, wherein each of R is independently methyl or ethyl; or Sn(t-BuO)4. In some embodiments, multiple types of ligands are present.


A metal-containing precursor can include a hydrocarbyl-substituted capping agent having the following formula (VII):




embedded image - (VII)


wherein M is a metal, R is a C2-10 alkyl or substituted alkyl having a beta-hydrogen, and X is a suitable leaving group upon reaction with a hydroxyl group of the exposed hydroxyl groups. In various embodiments, n = 1 to 3, and m = 4 - n, 3 - n, or 2 - n, so long as m > 0 (or m ≥ 1). For example, R may be t-butyl, t-pentyl, t-hexyl, cyclohexyl, isopropyl, isobutyl, sec-butyl, n-butyl, n-pentyl, n-hexyl, or derivatives thereof having a heteroatom substituent in the beta position. Suitable heteroatoms include halogen (F, Cl, Br, or I), or oxygen (—OH or —OR). X may be dialkylamino (e.g., dimethylamino, methylethylamino, or diethylamino), alkoxy (e.g., t-butoxy, isopropoxy), halo (e.g., F, Cl, Br, or I), or another organic ligand. Examples of hydrocarbyl-substituted capping agents include t-butyltris(dimethylamino)tin (Sn(t-Bu)(NMe2)3), n-butyltris(dimethylamino)tin (Sn(n-Bu)(NMe2)3), t-butyltris (diethylamino)tin (Sn(t-Bu)(NEt2)3), di(t-butyl)di(dimethylamino)tin (Sn(t-Bu)2(NMe2)2), sec-butyltris(dimethylamino)tin (Sn(s-Bu)(NMe2)3), n-pentyltris(dimethylamino)tin (Sn(n-pentyl)(NMe2)3), i-butyltris(dimethylamino) tin (Sn(i-Bu)(NMe2)3), i-propyltris (dimethylamino)tin (Sn(i-Pr)(NMe2)3), t-butyltris(t-butoxy)tin (Sn(t-Bu)(t-BuO)3), n-butyl(tris(t-butoxy)tin (Sn(n-Bu)(t-BuO)3), or isopropyltris(t-butoxy)tin (Sn(i-Pr)(t-BuO)3).


In various embodiments, a metal-containing precursor includes at least one alkyl group on each metal atom that can survive the vapor-phase reaction, while other ligands or ions coordinated to the metal atom can be replaced by the counter-reactants. Accordingly, another non-limiting metal-containing precursor includes an organometallic agent having the formula (VIII):




embedded image - (VIII)


in which M is a metal; R is an optionally substituted alkyl; L is a ligand, ion, or other moiety which is reactive with the counter-reactant; a ≥ 1; b ≥ 1; and c ≥ 1. In particular embodiments, a = 1, and b + c = 4. In some embodiments, M is Sn, Te, Bi, or Sb. In particular embodiments, each L is independently amino (e.g., —NR1R2, in which each of R1 and R2 can be H or alkyl, such as any described herein), alkoxy (e.g., —OR, in which R is alkyl, such as any described herein), or halo (e.g., F, Cl, Br, or I). Exemplary agents include SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe2)3, SnMe2(NMe2)2, SnMe3(NMe2), and the like.


In other embodiments, the non-limiting metal-containing precursor includes an organometallic agent having the formula (IX):




embedded image - (IX)


in which M is a metal; L is a ligand, ion, or other moiety which is reactive with the counter-reactant; a ≥ 1; and c ≥ 1. In particular embodiments, c = n - 1, and n is 2, 3, or 4. In some embodiments, M is Sn, Te, Bi, or Sb. Counter-reactants preferably have the ability to replace the reactive moieties ligands or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding.


In any embodiment herein, R can be an optionally substituted alkyl (e.g., C1-10 alkyl). In one embodiment, alkyl is substituted with one or more halo (e.g., halo-substituted C1-10 alkyl, including one, two, three, four, or more halo, such as F, Cl, Br, or I). Exemplary R substituents include CnH2n+1, preferably wherein n ≥ 3; and CnFxH(2n-1-x), wherein 2n+1 ≤ x ≤ 1. In various embodiments, R has at least one beta-hydrogen or beta-fluorine. For example, R may be selected from the group consisting of i-propyl, n-propyl, t-butyl, i-butyl, n-butyl, sec-butyl, n-pentyl, i-pentyl, t-pentyl, sec-pentyl, and mixtures thereof.


In any embodiment herein, L may be any moiety readily displaced by a counter-reactant to generate an M-OH moiety, such as a moiety selected from the group consisting of an amino (e.g., —NR1R2, in which each of R1 and R2 can be H or alkyl, such as any described herein), alkoxy (e.g., —OR, in which R is alkyl, such as any described herein), carboxylates, halo (e.g., F, Cl, Br, or I), and mixtures thereof.


Exemplary organometallic agents include SnMeCl3, (N2,N3-di-t-butyl-butane-2,3-diamido) tin(II) (Sn(tbba)), bis(bis(trimethylsilyl)amido) tin(II), tetrakis(dimethylamino) tin(IV) (Sn(NMe2)4), t-butyl tris(dimethylamino) tin (Sn(t-butyl)(NMe2)3), i-butyl tris(dimethylamino) tin (Sn(i-Bu)(NMe2)3), n-butyl tris(dimethylamino) tin (Sn(n-Bu)(NMe2)3), sec-butyl tris(dimethylamino) tin (Sn(s-Bu)(NMe2)3), i-propyl(tris) dimethylamino tin (Sn(i-Pr)(NMe2)3), n-propyl tris(diethylamino) tin (Sn(n-Pr)(NEt2)3), and analogous alkyl(tris)(t-butoxy) tin compounds, such as I-butyl tris(t-butoxy) tin (Sn(t-Bu)(t-BuO)3). In some embodiments, the organometallic agents are partially fluorinated.


Lithographic Processes

EUV lithography makes use of EUV resists, which may be polymer-based chemically amplified resists produced by liquid-based spin-on techniques or metal oxide-based resists produced by dry vapor-deposited techniques. Such EUV resists can include any EUV-sensitive film or material described herein. Lithographic methods can include patterning the resist, e.g., by exposure of the EUV resist with EUV radiation to form a photo pattern, followed by developing the pattern by removing a portion of the resist according to the photo pattern to form a mask.


It should also be understood that while the present disclosure relates to lithographic patterning techniques and materials exemplified by EUV lithography, it is also applicable to other next generation lithographic techniques. In addition to EUV, which includes the standard 13.5 nm EUV wavelength currently in use and development, the radiation sources most relevant to such lithography are DUV (deep-UV), which generally refers to use of 248 nm or 193 nm excimer laser sources, X-ray, which formally includes EUV at the lower energy range of the X-ray range, as well as e-beam, which can cover a wide energy range. Such methods include those where a substrate (e.g., optionally having exposed hydroxyl groups) is contacted with a metal-containing precursor (e.g., any described herein) to form a metal oxide (e.g., a layer including a network of metal oxide bonds, which may include other non-metal and non-oxygen groups) film as the imaging/PR layer on the surface of the substrate. The specific methods may depend on the particular materials and applications used in the semiconductor substrate and ultimate semiconducting device. Thus, the methods described in this application are merely exemplary of the methods and materials that may be used in present technology.


Directly photopatternable EUV resists may be composed of or contain metals and/or metal oxides mixed within organic components. The metals/metal oxides are highly promising in that they can enhance the EUV photon adsorption and generate secondary electrons and/or show increased etch selectivity to an underlying film stack and device layers. Of note, both dry and wet (solvent) approaches are encompassed by this disclosure. For wet development, the wafer can be exposed to developing solvent, dried, and baked.


Deposition Processes, Including Dry Deposition

As discussed above, the present disclosure provides methods for making imaging layers on semiconductor substrates, which may be patterned using EUV or other next generation lithographic techniques. Methods include those where polymerized organometallic materials are produced in a vapor and deposited on a substrate. In some embodiments, dry deposition can employ any useful metal-containing precursor (e.g., Ta-based precursors, metal precursors, organometal compounds, metal halides, capping agents, or organometallic agents described herein). In other embodiments, a spin-on formulation may be used. Deposition processes can include applying a EUV-sensitive material as a resist film. Exemplary EUV-sensitive materials are described herein.


The present technology includes methods by which EUV-sensitive films are deposited on a substrate, such films being operable as resists for subsequent EUV lithography and processing.


Such EUV-sensitive films comprise materials which, upon exposure to EUV, undergo changes, such as the loss of bulky pendant ligands bonded to metal atoms in low density M-OH rich materials, allowing their crosslinking to denser M-O-M bonded metal oxide materials. In other embodiments, EUV exposure results in further cross-linking between ligands bonded to metal atoms, thereby providing denser M-L-M bonded organometallic materials, in which L is a ligand. In yet other embodiments, EUV exposure results in loss of ligands to provide M-OH materials that can be removed by positive tone developers.


Through EUV patterning, areas of the film are created that have altered physical or chemical properties relative to unexposed areas. These properties may be exploited in subsequent processing, such as to dissolve either unexposed or exposed areas or to selectively deposit materials on either the exposed or unexposed areas. In some embodiments, the unexposed film has a hydrophobic surface, and the exposed film has a hydrophilic surface (it being recognized that the hydrophilic properties of exposed and unexposed areas are relative to one another) under the conditions at which such subsequent processing is performed. For example, the removal of material may be performed by leveraging differences in chemical composition, density, and cross-linking of the film. Removal may be by wet processing or dry processing, as further described herein.


The thickness of the EUV-patternable film formed on the surface of the substrate may vary according to the surface characteristics, materials used, and processing conditions In various embodiments, the film thickness may range from about 0.5 nm to about 100 nm. Preferably, the film has a sufficient thickness to absorb most of the EUV light under the conditions of EUV patterning. For example, the overall absorption of the resist film may be 30% or less (e.g., 10% or less, or 5% or less), so that the resist material at the bottom of the resist film is sufficiently exposed. In some embodiments, the film thickness is from 10 nm to 20 nm. Without limiting the mechanism, function, or utility of the present disclosure, it is believed that, unlike wet, spin-coating processes, dry processes have fewer restrictions on the surface adhesion properties of the substrate, and therefore can be applied to a wide variety of substrates. Moreover, as discussed above, the deposited films may closely conform to surface features, providing advantages in forming masks over substrates, such as substrates having underlying features, without “filling in” or otherwise planarizing such features.


The film (e.g., imaging layer) may be composed of a metal oxide layer deposited in any useful manner. Such a metal oxide layer can be deposited or applied by using any EUV-sensitive material described herein, such as a metal-containing precursor (e.g., a metal halide, a capping agent, or an organometallic agent). In exemplary processes, a polymerized organometallic material is formed in vapor phase or in situ on the surface of the substrate in order to provide the metal oxide layer. The metal oxide layer may be employed as a film, an adhesion layer, or a capping layer.


Optionally, the metal oxide layer can include a hydroxyl-terminated metal oxide layer, which can be deposited by employing a capping agent (e.g., any described herein) with an oxygen-containing counter-reactant. Such a hydroxyl-terminated metal oxide layer can be employed, e.g., as an adhesion layer between two other layers, such as between the substrate and the film and/or between the photoresist layer and the underlayer.


Exemplary deposition techniques (e.g., for a film) include any described herein, such as ALD (e.g., thermal ALD and plasma-enhanced ALD), spin-coat deposition, PVD including PVD co-sputtering, CVD (e.g., PE-CVD or LP-CVD), sputter deposition, e-beam deposition including e-beam co-evaporation, etc., or a combination thereof, such as ALD with a CVD component, such as a discontinuous, ALD-like process in which metal-containing precursors and counter-reactants are separated in either time or space.


Further description of precursors and methods for their deposition as EUV photoresist films applicable to this disclosure may be found in International Appl. No. PCT/US19/31618, published as International Pub. No. WO2019/217749, filed May 9, 2019, and titled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS. The thin films may include optional materials in addition to a Ta-based precursor, further metal precursor, and a counter-reactant to modify the chemical or physical properties of the film, such as to modify the sensitivity of the film to EUV or enhancing etch resistance. Such optional materials may be introduced, such as by doping during vapor phase formation prior to deposition on the substrate, after deposition of the film, or both. In some embodiments, a gentle remote H2 plasma may be introduced so as to replace some Sn—L bonds with Sn—H, for example, which can increase reactivity of the resist under EUV.


In general, methods can include mixing a vapor stream of a metal precursor (e.g., a Ta-based precursor, a Sn-based precursor, an organometal compound, or a metal-containing precursor, such as an organometallic agent) with an optional vapor stream of a counter-reactant so as to form a polymerized organometallic material, and depositing the organometallic material onto the surface of the semiconductor substrate. In some embodiments, mixing the metal-containing precursor with the optional counter-reactant can form a polymerized organometallic material. As will be understood by one of ordinary skill, the mixing and depositing aspects of the process may be concurrent, in a substantially continuous process.


In an exemplary continuous CVD process, two or more gas streams, in separate inlet paths, of sources of metal precursor and optional counter-reactant are introduced to the deposition chamber of a CVD apparatus, where they mix and react in the gas phase, to form agglomerated polymeric materials (e.g., via metal-oxygen-metal bond formation) or a film on the substrate. Gas streams may be introduced, for example, using separate injection inlets or a dual-plenum showerhead. The apparatus is configured so that the streams of metal precursor and optional counter-reactant are mixed in the chamber, allowing the metal precursor and optional counter-reactant to react to form a polymerized organometallic material or a film (e.g., a metal oxide coating or agglomerated polymeric materials, such as via metal-oxygen-metal bond formation).


For depositing metal oxide, the CVD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted at pressures from 1 Torr to 2 Torr The temperature of the substrate is preferably below the temperature of the reactant streams. For example, the substrate temperature may be from 0° C. to 250° C., or from ambient temperature (e.g., 23° C.) to 150° C.


For depositing agglomerated polymeric materials, the CVD process is generally conducted at reduced pressures, such as from 10 mTorr to 10 Torr. In some embodiments, the process is conducted at from 0.5 to 2 Torr. The temperature of the substrate is preferably at or below the temperature of the reactant streams. For example, the substrate temperature may be from 0° C. to 250° C., or from ambient temperature (e.g., 23° C.) to 150° C. In various processes, deposition of the polymerized organometallic material on the substrate occurs at rates inversely proportional to surface temperature. Without limiting the mechanism, function or utility of present technology, it is believed that the product from such vapor-phase reaction becomes heavier in molecular weight as metal atoms are crosslinked counter-reactants, and is then condensed or otherwise deposited onto the substrate.


A potential advantage of using dry deposition methods is ease of tuning the composition of the film as it grows. In a CVD process, this may be accomplished by changing the relative flows of the metal precursor and the counter-reactant during deposition. Deposition may occur between 30° C. and 200° C. at pressures between 0.01 Torr to 100 Torr, but more generally between about 0.1 Torr and 10 Torr.


A film (e.g., a metal oxide coating or agglomerated polymeric materials, such as via metal-oxygen-metal bond formation) may also be deposited by an ALD process. For example, the metal precursor and optional counter-reactant are introduced at separate times, representing an ALD cycle. The metal precursors react on the surface, forming up to a monolayer of material at a time for each cycle. This may allow for excellent control over the uniformity of film thickness across the surface. The ALD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted from 1 Torr to 2 Torr. The substrate temperature may be from 0° C. to 250° C., or from ambient temperature (e.g., 23° C.) to 150° C. The process may be a thermal process or, preferably, a plasma-assisted deposition.


Any of the deposition methods herein can be modified to allow for use of two or more different metal precursors. In one embodiment, the precursors can include the same metal but different ligands. In another embodiment, the precursors can include different metal groups. In one non-limiting instance, alternating flows of various volatile metal-containing precursors can provide a mixed metal layer, such as use of a Ta-based precursor with a Sn-based precursor. Also, any of the deposition methods herein can be modified to allow for use of two or more different counter-reactants.


Furthermore, any of the deposition methods herein can be modified to provide one or more layers within a film. In one instance, different metal precursors can be employed in each layer. In another instance, the same precursor may be employed for each layer, but the top-most layer can possess a different chemical composition (e.g., a different density of metal-ligand bonds, a different metal, or a different bound ligand, as provided by modulating or changing the metal precursor).


Processes herein can be used to achieve a surface modification. In some iterations, a vapor of the metal precursor may be passed over the wafer. The wafer may be heated to provide thermal energy for the reaction to proceed. In some iterations, the heating can be between about 50° C. to about 250° C. In some cases, pulses of the counter-reactant may be used, separated by pump and/or purging steps. For instance, a counter-reactant may be pulsed between the precursor pulses resulting in ALD or ALD-like growth. In other cases, both the precursor and the counter-reactant may be flowed at the same time. Examples of elements useful for surface modification include I, F, Sn, Bi, Sb, Te, and oxides or alloys of these compounds.


The processes herein can be used to deposit a thin metal oxide or metal by ALD or CVD. Examples include SnOx, BiOx, and Te. Following deposition, the film may be capped with an alkyl substituted precursor of the form MaRbLc, as described elsewhere herein. A counter-reactant may be used to better remove the ligands, and multiple cycles may be repeated to ensure complete saturation of the substrate surface. The surface can then ready for the EUV-sensitive film to be deposited. One possible method is to produce a thin film of SnOx. Possible chemistries include growth of SnO2 by cycling tetrakis(dimethylamino)tin and a counter-reactant such as water or O2 plasma. After the growth, a capping agent could be used. For example, isopropyltris(dimethylamino)tin vapor may be flown over the surface.


Deposition processes can be employed on any useful surface. As referred to herein, the “surface” is a surface onto which a film of the present technology is to be deposited or that is to be exposed to EUV during processing. Such a surface can be present on a substrate (e.g., upon which a film is to be deposited), on a film (e.g., upon which a capping layer can be deposited), or on an underlayer.


Any useful substrate can be employed, including any material construct suitable for lithographic processing, particularly for the production of integrated circuits and other semiconducting devices. In some embodiments, substrates are silicon wafers. Substrates may be silicon wafers upon which features have been created (“underlying topographical features”), having an irregular surface topography.


Such underlying topographical features may include regions in which material has been removed (e.g., by etching) or regions in which materials have been added (e.g., by deposition) during processing prior to conducting a method of this technology. Such prior processing may include methods of this technology or other processing methods in an iterative process by which two or more layers of features are formed on the substrate. Without limiting the mechanism, function, or utility of the present technology, it is believed that, in some embodiments, methods of the present technology offer advantages relative to methods among those in which photolithographic films are deposited on the surface of substrates using spin casting methods. Such advantages may derive from the conformance of the films of the present technology to underlying features without “filling in” or otherwise planarizing such features, and the ability to deposit films on a wide variety of material surfaces.


In some embodiments, an incoming wafer can be prepared with a substrate surface of a desired material, with the uppermost material being the layer into which the resist pattern is transferred. While the material selection may vary depending on integration, it is generally desired to select a material that can be etched with high selectivity to (i.e., much faster than) the EUV resist or imaging layer. Suitable substrate materials can include various carbon-based films (e.g., ashable hard mask (AHM)), silicon-based films (e.g., silicon, silicon oxide, silicon nitride, silicon oxynitride, or silicon oxycarbonitride, as well as doped forms thereof, including SiOx, SiOxNy, SiOxCyNz, a-Si:H, poly-Si, or SiN), or any other (generally sacrificial) film applied to facilitate the patterning process.


In some embodiments, the substrate is a hard mask, which is used in lithographic etching of an underlying semiconductor material. The hard mask may comprise any of a variety of materials, including amorphous carbon (a-C), SnOx, SiO2, SiOxNy, SiOxC, Si3N4, TiO2, TiN, W, W-doped C, WOx, HfO2, ZrO2, and Al2O3. For example, the substrate may preferably comprise SnOx, such as SnO2. In various embodiments, the layer may be from 1 nm to 100 nm thick, or from 2 nm to 10 nm thick.


In some non-limiting embodiments, a substrate comprises an underlayer. An underlayer may be deposited on a hard mask or other layer and is generally underneath an imaging layer (or film), as described herein. An underlayer may be used to improve the sensitivity of a PR, increase EUV absorptivity, and/or increase the patterning performance of the PR. In cases where there are device features present on the substrate to be patterned which create significant topography, another important function of the underlayer can be to overcoat and planarize the existing topography so that the subsequent patterning step may be performed on a flat surface with all areas of the pattern in focus. For such applications, the underlayer (or at least one of multiple underlayers) may be applied using spin-coating techniques. When the PR material being employed possesses a significant inorganic component, for example it exhibits a predominately metal oxide framework, the underlayer may advantageously be a carbon-based film, applied either by spin-coating or by dry vacuum-based deposition processes. The layer may include various ashable hard mask (AHM) films with carbon- and hydrogen-based compositions and may be doped with additional elements, such as tungsten, boron, nitrogen, or fluorine.


In some embodiments, a surface activation operation may be used to activate the surface (e.g., of the substrate and/or a film) for future operations. For example, for a SiOx surface, a water or oxygen/hydrogen plasma may be used to create hydroxyl groups on the surface. For a carbon- or hydrocarbon-based surface, various treatment (e.g., a water, hydrogen/oxygen, CO2 plasma, or ozone treatment) may be used to create carboxylic acids/or hydroxyl groups. Such approaches can prove critical for improving the adhesion of resist features to the substrate, which might otherwise delaminate or lift off during handling or within the solvent during development.


Adhesion may also be enhanced by inducing roughness in the surface to increase the surface area available for interaction, as well as directly improve mechanical adhesion. For example, first a sputtering process using Ar or other non-reactive ion bombardment can be used to produce rough surfaces. Then, the surface can be terminated with a desired surface functionality as described above (e.g., hydroxyl and/or carboxylic acid groups). On carbon, a combination approach can be employed, in which a chemically reactive oxygen-containing plasma such as CO2, O2, or H2O (or mixtures of H2 and O2) can be used to etch away a thin layer of film with local non-uniformity and simultaneously terminate with —OH, —OOH, or —COOH groups. This may be done with or without bias. In conjunction with the surface modification strategies mentioned above, this approach could serve the dual purpose of surface roughening and chemical activation of the substrate surface, either for direct adhesion to an inorganic metal-oxide based resist or as an intermediate surface modification for further functionalization.


In various embodiments, the surface (e.g., of the substrate and/or the film) comprises exposed hydroxyl groups on its surface. In general, the surface may be any surface that comprises, or has been treated to produce, an exposed hydroxyl surface. Such hydroxyl groups may be formed on the surface by surface treatment of a substrate using oxygen plasma, water plasma, or ozone. In other embodiments, the surface of the film can be treated to provide exposed hydroxyl groups, upon which a capping layer can be applied. In various embodiments, the hydroxyl-terminated metal oxide layer has a thickness of from 0.1 nm to 20 nm, or from 0.2 nm to 10 nm, or from 0.5 nm to 5 nm.


EUV Exposure Processes

EUV exposure of the film can provide EUV exposed areas having activated reactive centers including a metal atom (M), which are produced by EUV-mediated cleavage events. Such reactive centers can include dangling metal bonds, M-H groups, cleaved M-ligand groups, dimerized M-M bonds, or M-O-M bridges. In other embodiments, EUV exposure provides cross-linked organic moieties by photopolymerizing ligands within the film; or EUV exposures releases gaseous by-products resulting from photolysis of bonds within a ligand.


EUV exposure can have a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient, such as a wavelength of from 10 nm to 15 nm, e.g., 13.5 nm. In particular, patterning can provide EUV exposed areas and EUV unexposed areas to form a pattern.


The present technology can include patterning using EUV, as well as DUV or e-beam. In such patterning, the radiation is focused on one or more regions of the imaging layer. The exposure is typically performed such that imaging layer film comprises one or more regions that are not exposed to the radiation. The resulting imaging layer may comprise a plurality of exposed and unexposed regions, creating a pattern consistent with the creation of transistor or other features of a semiconductor device, formed by addition or removal of material from the substrate in subsequent processing of the substrate. EUV, DUV and e-beam radiation methods and equipment among useful herein include known methods and equipment.


In some EUV lithography techniques, an organic hardmask (e.g., an ashable hardmask of PECVD amorphous hydrogenated carbon) is patterned using a photoresist process. During photoresist exposure, EUV radiation is absorbed in the resist and in the substrate below, producing highly energetic photoelectrons (e.g., about 100 eV) and in turn a cascade of low-energy secondary electrons (e.g., about 10 eV) that diffuse laterally by several nanometers. These electrons increase the extent of chemical reactions in the resist which increases its EUV dose sensitivity. However, a secondary electron pattern that is random in nature is superimposed on the optical image. This unwanted secondary electron exposure results in loss of resolution, observable line edge roughness (LER) and linewidth variation in the patterned resist. These defects are replicated in the material to be patterned during subsequent pattern transfer etching.


A vacuum-integrated metal hardmask process and related vacuum-integrated hardware that combines film formation (deposition/condensation) and optical lithography with the result of greatly improved EUV lithography (EUVL) performance - e.g., reduced line edge roughness -is disclosed herein.


In various embodiments described herein, a deposition (e.g., condensation) process (e.g., ALD or MOCVD carried out in a PECVD tool, such as the Lam Vector®) can be used to form a thin film of a metal-containing film, such a photosensitive metal salt or metal-containing organic compound (organometallic compound), with a strong absorption in the EUV (e.g., at wavelengths on the order of 10 nm to 20 nm), for example at the wavelength of the EUVL light source (e.g., 13.5 nm = 91.8 eV). This film photo-decomposes upon EUV exposure and forms a metal mask that is the pattern transfer layer during subsequent etching (e.g., in a conductor etch tool, such as the Lam 2300® Kiyo®).


Following deposition, the EUV-patternable thin film is patterned by exposure to a beam of EUV light, typically under relatively high vacuum. For EUV exposure, the metal-containing film can then be deposited in a chamber integrated with a lithography platform (e.g., a wafer stepper such as the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL) and transferred under vacuum so as not to react before exposure. Integration with the lithography tool is facilitated by the fact that EUVL, also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H2O, O2, etc. In other embodiments, the photosensitive metal film deposition and EUV exposure may be conducted in the same chamber.


Development Processes, Including Wet or Dry Development

EUV exposed or unexposed areas can be removed by any useful development process. In one embodiment, the EUV exposed area can have activated reactive centers, such as dangling metal bonds, M-H groups, or dimerized M-M bonds. In particular embodiments, M-H groups can be selectively removed by employing one or more dry development processes (e.g., halide chemistry). In other embodiments, M-M bonds can be selectively removed by employing a wet development process, e.g., use of hot ethanol and water to provide soluble M(OH)n groups. In yet other embodiments, EUV exposed areas are removed by use of wet development (e.g., by using a positive tone developer) or dry development. In some embodiments, EUV unexposed areas are removed by use of wet development (e.g., by using a negative tone developer) or dry development.


Dry development processes can include use of halides, such as HCl— or HBr-based processes. While this disclosure is not limited to any particular theory or mechanism of operation, the approach is understood to leverage the chemical reactivity of the dry-deposited EUV photoresist films with the clean chemistry (e.g., HCl, HBr, and BCl3) to form volatile products using vapors or plasma. The dry-deposited EUV photoresist films can be removed with etch rates of up to 1 nm/s. The quick removal of dry-deposited EUV photoresist films by these chemistries is applicable to chamber cleaning, backside clean, bevel clean, and PR developing. Although the films can be removed using vapors at various temperatures (e.g., HCl or HBr at a temperature greater than -10° C., or BCl3 at a temperature greater than 80° C., for example), a plasma can also be used to further accelerate or enhance the reactivity.


Plasma processes include transformer coupled plasma (TCP), inductively coupled plasma (ICP) or capacitively coupled plasma (CCP), employing known equipment and techniques. For example, a process may be conducted at a pressure of > 0.5 mTorr (e.g., such as from 1 mTorr to 100 mTorr), at a power level of < 1000 W (e.g., < 500 W). Temperatures may be from 30° C. to 300° C. (e.g., 30° C. to 120° C.), at flow rate of 100 to 1000 standard cubic centimeters per minute (sccm), e.g., about 500 sccm, for from 1 to 3000 seconds (e.g., 10 seconds to 600 seconds).


Where the halide reactant flows are of hydrogen gas and halide gas, a remote plasma/UV radiation is used to generate radicals from the H2 and Cl2 and/or Br2, and the hydrogen and halide radicals are flowed to the reaction chamber to contact the patterned EUV photoresist on the substrate layer of the wafer. Suitable plasma power may range from 100 W to 500 W, with no bias. It should be understood that while these conditions are suitable for some processing reactors, e.g., a Kiyo etch tool available from Lam Research Corporation, Fremont, CA, a wider range of process conditions may be used according to the capabilities of the processing reactor.


In thermal development processes, the substrate is exposed to dry development chemistry (e.g., a Lewis Acid) in a vacuum chamber (e.g., oven). Suitable chambers can include a vacuum line, a dry development hydrogen halide chemistry gas (e.g., HBr, HCl) line, and heaters for temperature control. In some embodiments, the chamber interior can be coated with corrosion resistant films, such as organic polymers or inorganic coatings. One such coating is polytetrafluoroethylene ((PTFE), e.g., Teflon 1 M). Such materials can be used in thermal processes of this disclosure without risk of removal by plasma exposure.


The process conditions for the dry development may be reactant flow of 100 sccm to 500 sccm (e.g., 500 sccm HBr or HCl), temperature of -10° C. to 120° C. (e.g., -10° C.), pressure of 1 mTorr to 500 mTorr (e.g., 300 mTorr) with no plasma and for a time of about 10 sec to 1 min, dependent on the photoresist film and their composition and properties.


In various embodiments, methods of the present disclosure combine all dry steps of film deposition, formation by vapor deposition, (EUV) lithographic photopatterning, and dry development. In such processes, a substrate may directly go to a dry development/etch chamber following photopatterning in an EUV scanner. Such processes may avoid material and productivity costs associated with a wet development. A dry process can also provide more tunability and give further CD control and/or scum removal.


In various embodiments, the EUV photoresist, containing some amount of metal, metal oxide and organic components, can be dry developed by a thermal, plasma (e.g., including possibly photoactivated plasma, such as lamp-heated or UV lamp heated), or a mixture of thermal and plasma methods while flowing a dry development gas including a compound of formula RxZy, where R = B, Al, Si, C, S, SO with x > 0 and Z = Cl, H, Br, F, CH4 and y > 0. The dry development can result in a positive tone, in which the RxZy species selectively removes the exposed material, leaving behind the unexposed counterpart as a mask. In some embodiments, the exposed portions of organotin oxide-based photoresist films are removed by dry development in accordance with this disclosure. Positive tone dry development may be achieved by the selective dry development (removal) of EUV exposed regions exposed to flows comprising hydrogen halides or hydrogen and halides, including HCl and/or HBr without striking a plasma, or flows of H2 and Cl2 and/or Br2 with a remote plasma or UV radiation generated from plasma to generate radicals.


Wet development methods can also be employed. In particular embodiments, such wet developments methods are used to remove EUV exposed regions to provide a positive tone photoresist or a negative tone resist. Exemplary, non-limiting wet development can include use of an alkaline developer (e.g., an aqueous alkaline developer), such as those including ammonium, e.g., ammonium hydroxide (NH4OH); ammonium-based ionic liquids, e.g., tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), or other quaternary alkylammonium hydroxides; an organoamine, such as mono-, di-, and tri-organoamines (e.g., diethylamine, diethylamine, ethylenediamine, triethylenetetramine), or an alkanolamine, such as monoethanolamine, diethanolamine, triethanolamine, or diethyleneglycolamine. In other embodiments, the alkaline developer can include nitrogen-containing bases, e.g., compounds having the formula RN1NH2, RN1RN2NH, RN1RN2RN3N, or RN1RN2RN3RN4N+XN1-, where each of RN1, RN2, RN3, and RN4 is, independently, an organo substituent (e.g., optionally substituted alkyl or any described herein), or two or more organo substituents that can be joined together, and XN1- may comprise OH-, F-, Cl-, Br-, I-, or other art-known quaternary ammonium cationic species. These bases may also comprise heterocyclyl nitrogen compounds, some of which are described herein.


Other development methodologies can include use of an acidic developer (e.g., an aqueous acidic developer or an acid developer in an organic solvent) that includes a halide (e.g., HCl or HBr), an organic acid (e.g., formic acid, acetic acid, or citric acid), or an organofluorine compound (e.g., trifluoroacetic acid); or use of an organic developer, such as a ketone (e.g., 2-heptanone, cyclohexanone, or acetone), an ester (e.g., γ-butyrolactone or ethyl 3-ethoxypropionate (EEP)), an alcohol (e.g., isopropyl alcohol (IPA)), or an ether, such as a glycol ether (e.g., propylene glycol methyl ether (PGME) or propylene glycol methyl ether acetate (PGMEA)), as well as combinations thereof.


In particular embodiments, the positive tone developer is an aqueous alkaline developer (e.g., including NH4OH, TMAH, TEAH, TPAH, or TBAH). In other embodiments, the negative tone developer is an aqueous acidic developer, an acidic developer in an organic solvent, or an organic developer (e.g., HCl, HBr, formic acid, trifluoroacetic acid, 2-heptanone, IPA, PGME, PGMEA, or combinations thereof).


Post-application Processes

The methods herein can include any useful post-application processes, as described below.


For the backside and bevel clean process, the vapor and/or the plasma can be limited to a specific region of the wafer to ensure that only the backside and the bevel are removed, without any film degradation on the frontside of the wafer. The dry-deposited EUV photoresist films being removed are generally composed of Sn, O and C, but the same clean approaches can be extended to films of other metal oxide resists and materials. In addition, this approach can also be used for film strip and PR rework.


Suitable process conditions for a dry bevel edge and backside clean may be a reactant flow of 100 sccm to 500 sccm (e.g., 500 sccm HCl, HBr, or H2 and Cl2 or Br2, BCl3 or H2), temperature of -10° C.’ to 120° C.’ (e.g., 20° C.), pressure of 20 mTorr to 500 mTorr (e.g., 300 mTorr), plasma power of 0 to 500 W at high frequency (e.g., 13.56 MHz), and for a time of about 10 sec to 20 sec, dependent on the photoresist film and composition and properties. It should be understood that while these conditions are suitable for some processing reactors, e.g., a Kiyo etch tool available from Lam Research Corporation, Fremont, CA, a wider range of process conditions may be used according to the capabilities of the processing reactor.


Photolithography processes typically involve one or more bake steps, to facilitate the chemical reactions required to produce chemical contrast between exposed and unexposed areas of the photoresist. For high volume manufacturing (HVM), such bake steps are typically performed on tracks where the wafers are baked on a hot-plate at a pre-set temperature under ambient air or in some cases N2 flow. More careful control of the bake ambient as well as introduction of additional reactive gas component in the ambient during these bake steps can help further reduce the dose requirement and/or improve pattern fidelity.


According to various aspects of this disclosure, one or more post treatments to metal and/or metal oxide-based photoresists after deposition (e.g., post-application bake (PAB)) and/or exposure (e.g., post-exposure bake (PEB)) and/or development (e.g., post-development bake (PDB)) are capable of increasing material property differences between exposed and unexposed photoresist and therefore decreasing dose to size (DtS), improving PR profile, and improving line edge and width roughness (LER/LWR) after subsequent dry development. Such processing can involve a thermal process with the control of temperature, gas ambient, and moisture, resulting in improved dry development performance in processing to follow. In some instances, a remote plasma might be used.


In the case of post-application processing (e.g., PAB), a thermal process with control of temperature, gas ambient (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used after deposition and before exposure to change the composition of unexposed metal and/or metal oxide photoresist. The change can increase the EUV sensitivity of the material and thus lower dose to size and edge roughness can be achieved after exposure and dry development.


In the case of post-exposure processing (e.g., PEB), a thermal process with the control of temperature, gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used to change the composition of both unexposed and exposed photoresist. The change can increase the composition/material properties difference between the unexposed and exposed photoresist and the etch rate difference of dry development etch gas between the unexposed and exposed photoresist. A higher etch selectivity can thereby be achieved. Due to the improved selectivity, a squarer PR profile can be obtained with improved surface roughness, and/or less photoresist residual/scum. In particular embodiments, PEB can be performed in air and in the optional presence of moisture and CO2.


In the case of post-development processing (e.g., post development bake or PDB), a thermal process with the control of temperature, gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum (e.g., with UV), and moisture can be used to change the composition of the unexposed photoresist. In particular embodiments, the condition also includes use of plasma (e.g., including O2, O3, Ar, He, or their mixtures). The change can increase the hardness of material, which can be beneficial if the film will be used as a resist mask when etching the underlying substrate.


In these cases, in alternative implementations, the thermal process could be replaced by a remote plasma process to increase reactive species to lower the energy barrier for the reaction and increase productivity. Remote plasma can generate more reactive radicals and therefore lower the reaction temperature/time for the treatment, leading to increased productivity.


Accordingly, one or multiple processes may be applied to modify the photoresist itself to increase dry development selectivity. This thermal or radical modification can increase the contrast between unexposed and exposed material and thus increase the selectivity of the subsequent dry development step. The resulting difference between the material properties of unexposed and exposed material can be tuned by adjusting process conditions including temperature, gas flow, moisture, pressure, and/or RF power. The large process latitude enabled by dry development, which is not limited by material solubility in a wet developer solvent, allows more aggressive conditions to be applied further enhancing the material contrast that can be achieved. The resulting high material contrast feeds back a wider process window for dry development and thus enables increased productivity, lower cost, and better defectivity performance.


A substantial limitation of wet-developed resist films is limited temperature bakes. Since wet development relies on material solubility, heating to or beyond 220° C., for example, can greatly increase the degree of cross-linking in both exposed and unexposed regions of a metal-containing PR film such that both become insoluble in the wet development solvents, so that the film can no longer by reliably wet developed. For instance, for wet spin-on or wet-developed metal-containing PR films, baking such as PAB, PEB may be performed, for example at temperatures below 180° C., below 200° C., or below 250° C. For dry-developed resist films, in which the etch rate difference (i.e., selectivity) between the exposed and unexposed regions of the PR is relied upon for removal of just the exposed or unexposed portion of the resist, the treatment temperature in a PAB, PEB, or PDB can be varied across a much broader window to tune and optimize the treatment process, for example from about 90° C. to 250° C., such as 90° C. to 190° C., 90° C. to 600° C., 100° C. to 400° C., 125° C. to 300° C., and about 170° C. to 250° C. or more, such as 190° C. to 240° C. (e.g., for PAB, PEB, and/or PDB). Decreasing etch rate and greater etch selectivity has been found to occur with higher treatment temperatures in the noted ranges.


In particular embodiments, the PAB, PEB, and/or PDB treatments may be conducted with gas ambient flow in the range of 100 sccm to 10000 sccm, moisture content in the amount of a few percent up to 100% (e.g., 20%-50%), at a pressure between atmospheric and vacuum, and for a duration of about 1 to 15 minutes, for example about 2 minutes.


These findings can be used to tune the treatment conditions to tailor or optimize processing for particular materials and circumstances. For example, the selectivity achieved for a given EUV dose with a 220° C. to 250° C. PEB thermal treatment in air at about 20% humidity for about 2 minutes can be made similar to that for about a 30% higher EUV dose with no such thermal treatment. So, depending on the selectivity requirements/constraints of the semiconductor processing operation, a thermal treatment such as described herein can be used to lower the EUV dose needed. Or, if higher selectivity is required and higher dose can be tolerated, much higher selectivity, up to 100 times exposed vs. unexposed, can be obtained than would be possible in a wet development context.


Yet other steps can include in situ metrology, in which physical and structural characteristics (e.g., critical dimension, film thickness, etc.) can be assessed during the photolithography process. Modules to implement in situ metrology include, e.g., scatterometry, ellipsometry, downstream mass spectroscopy, and/or plasma enhanced downstream optical emission spectroscopy modules.


Apparatuses

The present disclosure also includes any apparatus configured to perform any methods described herein. In one embodiment, the apparatus for depositing a film includes a deposition module comprising a chamber for depositing an EUV-sensitive material as a film by providing a Ta-based precursor or other metal precursor in the optional presence of a counter-reactant; a patterning module comprising an EUV photolithography tool with a source of sub-30 nm wavelength radiation; and a development module comprising a chamber for developing the film.


The apparatus can further include a controller having instructions for such modules. In one embodiment, the controller includes one or more memory devices, one or more processors, and system control software coded with instructions for conducting deposition of the film. Such includes can include for, in the deposition module, depositing a Ta-based precursor or other metal precursor with optional reducing gas, an alkyne, and/or a counter-reactant as a film on a top surface of a substrate or a photoresist layer; in the patterning module, patterning the film with sub-30 nm resolution directly by EUV exposure, thereby forming a pattern within the film; and in the development module, developing the film. In particular embodiments, the development module provides for removal of the EUV exposed or EUV unexposed areas, thereby providing a pattern within the film.



FIG. 4 depicts a schematic illustration of an embodiment of process station 400 having a process chamber body 402 for maintaining a low pressure environment that is suitable for implementation of described stripping and development embodiments. A plurality of process stations 400 may be included in a common low pressure process tool environment. For example, FIG. 5 depicts an embodiment of a multi-station processing tool 500, such as a VECTOR® processing tool available from Lam Research Corporation, Fremont, CA. In some embodiments, one or more hardware parameters of the process station 400 including those discussed in detail below may be adjusted programmatically by one or more computer controllers 450.


A process station may be configured as a module in a cluster tool. FIG. 7 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition and patterning modules suitable for implementation of the embodiments described herein. Such a cluster process tool architecture can include resist deposition, resist exposure (EUV scanner), resist dry development and etch modules, as described herein with reference to FIG. 6 and FIG. 7.


In some embodiments, certain of the processing functions can be performed consecutively in the same module, for example dry development and etch. And embodiments of this disclosure are directed to methods and apparatus for receiving a wafer, including a photopatterned EUV resist thin film layer disposed on a layer or layer stack to be etched, to a development/etch chamber (e.g., a dry development/etch chamber or a wet development/etch chamber) following photopatterning in an EUV scanner; developing a photopatterned EUV resist thin film layer; and then etching the underlying layer using the patterned EUV resist as a mask, as described herein.


Returning to FIG. 4, process station 400 fluidly communicates with reactant delivery system 401a for delivering process gases to a distribution showerhead 406 by a connection 405. Reactant delivery system 401a optionally includes a mixing vessel 404 for blending and/or conditioning process gases, for delivery to showerhead 406. One or more mixing vessel inlet valves 420 may control introduction of process gases to mixing vessel 404. Where plasma exposure is used, plasma may also be delivered to the showerhead 406 or may be generated in the process station 400. Process gases can include, e.g., any described herein, such as a Ta-based precursor, a Sn-based precursor, a metal precursor, a reducing gas, an alkyne, a hydrocarbon, a counter-reactant, or an inert gas.



FIG. 4 includes an optional vaporization point 403 for vaporizing liquid reactant to be supplied to the mixing vessel 404. The liquid reactant can include a metal precursor (e.g., a Ta-based precursor and/or a Sn-based precursor) or a counter-reactant. In some embodiments, a liquid flow controller (LFC) upstream of vaporization point 403 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 400. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM.


Showerhead 406 distributes process gases toward substrate 412. In the embodiment shown in FIG. 4, the substrate 412 is located beneath showerhead 406 and is shown resting on a pedestal 408. Showerhead 406 may have any suitable shape and may have any suitable number and arrangement of ports for distributing process gases to substrate 412.


In some embodiments, pedestal 408 may be raised or lowered to expose substrate 412 to a volume between the substrate 412 and the showerhead 406. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller 450.


In some embodiments, pedestal 408 may be temperature controlled via heater 410. In some embodiments, the pedestal 408 may be heated to a temperature of greater than 0° C. and up to 300° C. or more, for example 50° C. to 120° C., such as about 65° C. to 80° C., during non-plasma thermal exposure of a photopatterned resist to dry development chemistry, such as HBr, HCl, or BCl3, as described in disclosed embodiments.


Further, in some embodiments, pressure control for process station 400 may be provided by a butterfly valve 418. As shown in the embodiment of FIG. 4, butterfly valve 418 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 400 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 400.


In some embodiments, a position of showerhead 406 may be adjusted relative to pedestal 408 to vary a volume between the substrate 412 and the showerhead 406. Further, it will be appreciated that a vertical position of pedestal 408 and/or showerhead 406 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 408 may include a rotational axis for rotating an orientation of substrate 412. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 450.


Where plasma may be used, for example in gentle plasma-based dry development embodiments and/or etch operations conducted in the same chamber, showerhead 406 and pedestal 408 electrically communicate with a radio frequency (RF) power supply 414 and matching network 416 for powering a plasma 407. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 414 and matching network 416 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are up to about 500 W.


In some embodiments, instructions for a controller 450 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a recipe phase may include instructions for setting a flow rate of a dry development chemistry reactant gas, such as HBr or HCl, and time delay instructions for the recipe phase. In some embodiments, the controller 450 may include any of the features described below with respect to system controller 550 of FIG. 5.


As described above, one or more process stations may be included in a multi station processing tool. FIG. 5 shows a schematic view of an embodiment of a multi station processing tool 500 with an inbound load lock 502 and an outbound load lock 504, either or both of which may include a remote plasma source. A robot 506 at atmospheric pressure is configured to move wafers from a cassette loaded through a pod 508 into inbound load lock 502 via an atmospheric port 510. A wafer is placed by the robot 506 on a pedestal 512 in the inbound load lock 502, the atmospheric port 510 is closed, and the load lock is pumped down. Where the inbound load lock 502 includes a remote plasma source, the wafer may be exposed to a remote plasma treatment to treat the silicon nitride surface in the load lock prior to being introduced into a processing chamber 514. Further, the wafer also may be heated in the inbound load lock 502 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 516 to processing chamber 514 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 5 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.


The depicted processing chamber 514 includes four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 5. Each station has a heated pedestal (shown at 518 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between dry development and etch process modes. Additionally or alternatively, in some embodiments, processing chamber 514 may include one or more matched pairs of dry development and etch process stations. While the depicted processing chamber 514 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.



FIG. 5 depicts an embodiment of a wafer handling system 590 for transferring wafers within processing chamber 514. In some embodiments, wafer handling system 590 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non limiting examples include wafer carousels and wafer handling robots. FIG. 5 also depicts an embodiment of a system controller 550 employed to control process conditions and hardware states of process tool 500. System controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. Processor 552 may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller boards, etc.


In some embodiments, system controller 550 controls all of the activities of process tool 500. System controller 550 executes system control software 558 stored in mass storage device 554, loaded into memory device 556, and executed on processor 552. Alternatively, the control logic may be hard coded in the controller 550. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 558 may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 500. System control software 558 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 558 may be coded in any suitable computer readable programming language.


In some embodiments, system control software 558 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 554 and/or memory device 556 associated with system controller 550 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.


A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 518 and to control the spacing between the substrate and other parts of process tool 500.


A process gas control program may include code for controlling various gas compositions (e.g., HBr or HCl gas as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.


A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.


A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.


A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.


In some embodiments, there may be a user interface associated with system controller 550. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


In some embodiments, parameters adjusted by system controller 550 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 550 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 500. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.


System controller 550 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate dry development and/or etch processes according to various embodiments described herein.


The system controller 550 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 550.


In some implementations, the system controller 550 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The system controller 550, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the system controller 550 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 550 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The system controller 550, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 550 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 550 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 550 is configured to interface with or control. Thus, as described above, the system controller 550 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, an EUV lithography chamber (scanner) or module, a dry development chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the system controller 550 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


Inductively coupled plasma (ICP) reactors which, in certain embodiments, may be suitable for etch operations suitable for implementation of some embodiments, are now described. Although ICP reactors are described herein, in some embodiments, it should be understood that capacitively coupled plasma reactors may also be used.



FIG. 6 schematically shows a cross-sectional view of an inductively coupled plasma apparatus 600 appropriate for implementing certain embodiments or aspects of embodiments such as dry development and/or etch, an example of which is a Kiyo® reactor, produced by Lam Research Corp. of Fremont, CA. In other embodiments, other tools or tool types having the functionality to conduct the dry development and/or etch processes described herein may be used for implementation.


The inductively coupled plasma apparatus 600 includes an overall process chamber structurally defined by chamber walls 601 and a window 611. The chamber walls 601 may be fabricated from stainless steel or aluminum. The window 611 may be fabricated from quartz or other dielectric material An optional internal plasma grid 650 divides the overall process chamber into an upper sub-chamber 602 and a lower sub-chamber 603. In most embodiments, plasma grid 650 may be removed, thereby utilizing a chamber space made of sub-chambers 602 and 603. A chuck 617 is positioned within the lower sub-chamber 603 near the bottom inner surface. The chuck 617 is configured to receive and hold a semiconductor wafer 619 upon which the etching and deposition processes are performed. The chuck 617 can be an electrostatic chuck for supporting the wafer 619 when present. In some embodiments, an edge ring (not shown) surrounds the chuck 617 and has an upper surface that is approximately planar with a top surface of the wafer 619, when present over the chuck 617. The chuck 617 also includes electrostatic electrodes for chucking and dechucking the wafer 619. A filter and DC clamp power supply (not shown) may be provided for this purpose.


Other control systems for lifting the wafer 619 off the chuck 617 can also be provided. The chuck 617 can be electrically charged using an RF power supply 623. The RF power supply 623 is connected to matching circuitry 621 through a connection 627. The matching circuitry 621 is connected to the chuck 617 through a connection 625. In this manner, the RF power supply 623 is connected to the chuck 617. In various embodiments, a bias power of the electrostatic chuck may be set at about 50 V or may be set at a different bias power depending on the process performed in accordance with disclosed embodiments. For example, the bias power may be between about 20 V and about 100 V, or between about 30 V and about 150 V.


Elements for plasma generation include a coil 633 positioned above window 611. In some embodiments, a coil is not used in disclosed embodiments. The coil 633 is fabricated from an electrically conductive material and includes at least one complete turn. The example of a coil 633 shown in FIG. 6 includes three turns. The cross sections of coil 633 are shown with symbols, and coils having an “X” extend rotationally into the page, while coils having a “•” extend rotationally out of the page. Elements for plasma generation also include an RF power supply 641 configured to supply RF power to the coil 633. In general, the RF power supply 641 is connected to matching circuitry 639 through a connection 645. The matching circuitry 639 is connected to the coil 633 through a connection 643. In this manner, the RF power supply 641 is connected to the coil 633. An optional Faraday shield 649 is positioned between the coil 633 and the window 611. The Faraday shield 649 may be maintained in a spaced apart relationship relative to the coil 633. In some embodiments, the Faraday shield 649 is disposed immediately above the window 611. In some embodiments, a Faraday shield is between the window 611 and the chuck 617. In some embodiments, the Faraday shield is not maintained in a spaced apart relationship relative to the coil 633. For example, a Faraday shield may be directly below the window without a gap. The coil 633, the Faraday shield 649, and the window 611 are each configured to be substantially parallel to one another. The Faraday shield 649 may prevent metal or other species from depositing on the window 611 of the process chamber.


Process gases may be flowed into the process chamber through one or more main gas flow inlets 660 positioned in the upper sub-chamber 602 and/or through one or more side gas flow inlets 670. Likewise, though not explicitly shown, similar gas flow inlets may be used to supply process gases to a capacitively coupled plasma processing chamber. A vacuum pump, e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 640, may be used to draw process gases out of the process chamber and to maintain a pressure within the process chamber. For example, the vacuum pump may be used to evacuate the lower sub-chamber 603 during a purge operation of ALD. A valve-controlled conduit may be used to fluidically connect the vacuum pump to the process chamber so as to selectively control application of the vacuum environment provided by the vacuum pump. This may be done employing a closed loop-controlled flow restriction device, such as a throttle valve (not shown) or a pendulum valve (not shown), during operational plasma processing. Likewise, a vacuum pump and valve controlled fluidic connection to the capacitively coupled plasma processing chamber may also be employed.


During operation of the apparatus 600, one or more process gases may be supplied through the gas flow inlets 660 and/or 670. In certain embodiments, process gas may be supplied only through the main gas flow inlet 660, or only through the side gas flow inlet 670. In some cases, the gas flow inlets shown in the figure may be replaced by more complex gas flow inlets, one or more showerheads, for example. The Faraday shield 649 and/or optional grid 650 may include internal channels and holes that allow delivery of process gases to the process chamber. Either or both of Faraday shield 649 and optional grid 650 may serve as a showerhead for delivery of process gases. In some embodiments, a liquid vaporization and delivery system may be situated upstream of the process chamber, such that once a liquid reactant or precursor is vaporized, the vaporized reactant or precursor is introduced into the process chamber via a gas flow inlet 660 and/or 670.


Radio frequency power is supplied from the RF power supply 641 to the coil 633 to cause an RF current to flow through the coil 633. The RF current flowing through the coil 633 generates an electromagnetic field about the coil 633. The electromagnetic field generates an inductive current within the upper sub-chamber 602. The physical and chemical interactions of various generated ions and radicals with the wafer 619 etch features of and selectively deposit layers on the wafer 619.


If the plasma grid 650 is used such that there is both an upper sub-chamber 602 and a lower sub-chamber 603, the inductive current acts on the gas present in the upper sub-chamber 602 to generate an electron-ion plasma in the upper sub-chamber 602. The optional internal plasma grid 650 limits the amount of hot electrons in the lower sub-chamber 603. In some embodiments, the apparatus 600 is designed and operated such that the plasma present in the lower sub-chamber 603 is an ion-ion plasma.


Both the upper electron-ion plasma and the lower ion-ion plasma may contain positive and negative ions, though the ion-ion plasma will have a greater ratio of negative ions to positive ions. Volatile etching and/or deposition byproducts may be removed from the lower sub-chamber 603 through port 622. The chuck 617 disclosed herein may operate at elevated temperatures ranging between about 10° C. and about 250° C. The temperature will depend on the process operation and specific recipe.


Apparatus 600 may be coupled to facilities (not shown) when installed in a clean room or a fabrication facility. Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to apparatus 600, when installed in the target fabrication facility. Additionally, apparatus 600 may be coupled to a transfer chamber that allows robotics to transfer semiconductor wafers into and out of apparatus 600 using typical automation.


In some embodiments, a system controller 630 (which may include one or more physical or logical controllers) controls some or all of the operations of a process chamber. The system controller 630 may include one or more memory devices and one or more processors. In some embodiments, the apparatus 600 includes a switching system for controlling flow rates and durations when disclosed embodiments are performed. In some embodiments, the apparatus 600 may have a switching time of up to about 600 ms, or up to about 750 ms. Switching time may depend on the flow chemistry, recipe chosen, reactor architecture, and other factors.


In some implementations, the system controller 630 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be integrated into the system controller 630, which may control various components or subparts of the system or systems. The system controller, depending on the processing parameters and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the system controller 630 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication or removal of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The system controller 630, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 630 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the system controller 630 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an ALE chamber or module, an ion implantation chamber or module, a track chamber or module, an EUV lithography chamber (scanner) or module, a dry development chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


EUVL patterning may be conducted using any suitable tool, often referred to as a scanner, for example the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL. The EUVL patterning tool may be a standalone device from which the substrate is moved into and out of for deposition and etching as described herein. Or, as described below, the EUVL patterning tool may be a module on a larger multi-component tool. FIG. 7 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition, EUV patterning and dry development/etch modules that interface with a vacuum transfer module, suitable for implementation of the processes described herein. While the processes may be conducted without such vacuum integrated apparatus, such apparatus may be advantageous in some implementations.



FIG. 7 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition and patterning modules that interface with a vacuum transfer module, suitable for implementation of processes described herein. The arrangement of transfer modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system. Deposition and patterning modules are vacuum-integrated, in accordance with the requirements of a particular process. Other modules, such as for etch, may also be included on the cluster.


A vacuum transport module (VTM) 738 interfaces with four processing modules 720a-720d, which may be individually optimized to perform various fabrication processes. By way of example, processing modules 720a-720d may be implemented to perform deposition, evaporation, ELD, dry development, etch, strip, and/or other semiconductor processes. For example, module 720a may be an ALD reactor that may be operated to perform in a non-plasma, thermal atomic layer depositions as described herein, such as a Vector tool, available from Lam Research Corporation, Fremont, CA. And module 720b may be a PECVD tool, such as the Lam Vector®. It should be understood that the figure is not necessarily drawn to scale.


Airlocks 742 and 746, also known as a loadlocks or transfer modules, interface with the VTM 738 and a patterning module 740. For example, as noted above, a suitable patterning module may be the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL. This tool architecture allows for work pieces, such as semiconductor substrates or wafers, to be transferred under vacuum so as not to react before exposure. Integration of the deposition modules with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H2O, O2, etc.


As noted above, this integrated architecture is just one possible embodiment of a tool for implementation of the described processes. The processes may also be implemented with a stand-alone EUVL scanner and a deposition reactor, such as a Lam Vector tool, either stand alone or integrated in a cluster architecture with other tools, such as etch, strip etc. (e.g., Lam Kiyo or Gamma tools), as modules, for example as described with reference to FIG. 7 but without the integrated patterning module.


Airlock 742 may be an “outgoing” loadlock, referring to the transfer of a substrate out from the VTM 738 serving a deposition module 720a to the patterning module 740, and airlock 746 may be an “ingoing” loadlock, referring to the transfer of a substrate from the patterning module 740 back in to the VTM 738. The ingoing loadlock 746 may also provide an interface to the exterior of the tool for access and egress of substrates. Each process module has a facet that interfaces the module to VTM 738. For example, deposition process module 720a has facet 736. Inside each facet, sensors, for example, sensors 1-18 as shown, are used to detect the passing of wafer 726 when moved between respective stations. Patterning module 740 and airlocks 742 and 746 may be similarly equipped with additional facets and sensors, not shown.


Main VTM robot 722 transfers wafer 726 between modules, including airlocks 742 and 746. In one embodiment, robot 722 has one arm, and in another embodiment, robot 722 has two arms, where each arm has an end effector 724 to pick wafers such as wafer 726 for transport. Front-end robot 744, in is used to transfer wafers 726 from outgoing airlock 742 into the patterning module 740, from the patterning module 740 into ingoing airlock 746. Front-end robot 744 may also transport wafers 726 between the ingoing loadlock and the exterior of the tool for access and egress of substrates. Because ingoing airlock module 746 has the ability to match the environment between atmospheric and vacuum, the wafer 726 is able to move between the two pressure environments without being damaged.


It should be noted that a EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer between the deposition to the EUVL tool to allow the substrate to degas prior to entry into the patterning tool. Outgoing airlock 742 may provide this function by holding the transferred wafers at a lower pressure, no higher than the pressure in the patterning module 740, for a period of time and exhausting any off-gassing, so that the optics of the patterning tool 740 are not contaminated by off-gassing from the substrate. A suitable pressure for the outgoing, off-gassing airlock is no more than 1E-8 Torr.


In some embodiments, a system controller 750 (which may include one or more physical or logical controllers) controls some or all of the operations of the cluster tool and/or its separate modules. It should be noted that the controller can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network. The system controller 750 may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.


The system control software may include instructions for controlling the timing of application and/or magnitude of any aspect of tool or module operation. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable compute readable programming language. In some embodiments, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller. The instructions for setting process conditions for condensation, deposition, evaporation, patterning and/or etching phase may be included in a corresponding recipe phase, for example.


In various embodiments, an apparatus for forming a negative pattern mask is provided. The apparatus may include a processing chamber for patterning, deposition and etch, and a controller including instructions for forming a negative pattern mask. The instructions may include code for, in the processing chamber, patterning a feature in a chemically amplified (CAR) resist on a semiconductor substrate by EUV exposure to expose a surface of the substrate, dry developing the photopatterned resist, and etching the underlying layer or layer stack using the patterned resist as a mask.


It should be noted that the computer controlling the wafer movement can be local to the cluster architecture or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network.


Conclusion

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Embodiments disclosed herein may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. Further, while the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that the specific embodiments are not intended to limit the disclosed embodiments. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A stack comprising: a semiconductor substrate having a top surface; anda patterning radiation-sensitive film disposed on the top surface of the semiconductor substrate, wherein the film comprises tantalum and tin.
  • 2. The stack of claim 1, wherein the patterning radiation-sensitive film comprises an extreme ultraviolet (EUV)-sensitive film.
  • 3. The stack of claim 2, wherein the patterning radiation-sensitive film comprises a mixed organometal film comprising tantalum and tin.
  • 4. The stack of claim 2, wherein the patterning radiation-sensitive film comprises a tantalum-containing layer disposed on a top surface or a bottom surface of a tin-containing layer.
  • 5. The stack of claim 2, wherein the patterning radiation-sensitive film having a thickness of from about 5 nm to about 40 nm.
  • 6. A method of forming a film, the method comprising: depositing a tantalum-based precursor on a surface of a substrate to provide a patterning radiation-sensitive film, wherein the tantalum-based precursor comprises a patterning radiation-sensitive moiety.
  • 7. The method of claim 6, wherein the patterning radiation-sensitive film comprises an Extreme Ultraviolet (EUV)-sensitive film.
  • 8. The method of claim 7, wherein the patterning radiation-sensitive moiety of the tantalum-based precursor comprises an EUV labile group.
  • 9. The method of claim 7, wherein the tantalum-based precursor comprises a structure having formula (I): wherein: each R is, independently, an EUV labile group, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted imino, or optionally substituted alkylene;each L is, independently, a ligand or other moiety that is reactive with a reducing gas or acetylene;b ≥ 0; and c 2: 1.
  • 10. The method of claim 9, wherein the tantalum-based precursor comprises a structure having formula (I-A): wherein: R is ═NRi or ═CRiRii;each L is, independently, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or a bivalent ligand that is bound to Ta and the bivalent ligand is —NRi—Ak—NRii—;each Ri and Rii is, independently, H, optionally substituted linear alkyl, optionally substituted branched alkyl, or optionally substituted cycloalkyl;Ak is optionally substituted alkylene or optionally substituted alkenylene; and b ≥ 1.
  • 11. The method of claim 7, wherein the patterning radiation-sensitive film comprises a tantalum nitride film.
  • 12. The method of claim 7, wherein said depositing further comprises an organometal compound, and wherein the tantalum-based precursor and the organometal compound can be deposited together.
  • 13. The method of claim 12, wherein said depositing further comprises adjusting a relative amount of the tantalum-based precursor and the organometal compound to be deposited in the film.
  • 14. The method of claim 13, wherein said adjusting comprises changing a flow rate and/or a deposition time of the tantalum-based precursor and the organometal compound.
  • 15. The method of claim 12, wherein said depositing comprises: depositing the tantalum-based precursor and the organometal compound in the optional presence of a reducing gas or acetylene, thereby providing the patterning radiation-sensitive film comprising a mixed organometal film having two or more different metals.
  • 16. The method of claim 15, wherein the organometal compound comprises a tin-based precursor and wherein the mixed organometal film comprises tantalum and tin.
  • 17. The method of claim 15, wherein said depositing comprises depositing by way of chemical vapor deposition at a temperature less than about 250° C. or less than about 100° C.
  • 18. The method of claim 7, wherein said depositing further comprises an organometal compound, and wherein the tantalum-based precursor and the organometal compound can be deposited sequentially in a sequence.
  • 19. The method of claim 18, wherein the sequence comprises depositing the tantalum-based precursor followed by or preceded by the organometal compound.
  • 20. The method of claim 18, wherein said depositing further comprises adjusting a number or order of sequence of depositing the tantalum-based precursor followed by or preceded by the organometal compound.
  • 21. The method of claim 18, wherein said depositing comprises: depositing the organometal compound in the optional presence of a counter-reactant in a chamber, thereby providing an organometal-containing layer;purging the chamber with a purge gas;depositing the tantalum-based precursor in the chamber, thereby providing a tantalum-containing layer disposed on a top surface of the organometal-containing layer;purging the chamber with another purge gas; andexposing the tantalum-containing layer to a reducing gas or acetylene.
  • 22. The method of claim 21, wherein the organometal compound comprise a tin-based precursor, and wherein the organometal-containing layer comprises tin.
  • 23. The method of claim 21, wherein said depositing comprises depositing by way of atomic layer deposition.
  • 24. The method of claim 12, wherein the organometal compound comprises a structure having formula (II): wherein: M is a metal;each R is, independently, an EUV labile ligand, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or L;each L is, independently, a ligand, ion, or other moiety that is reactive with a counter-reactant, in which R and L with M, taken together, can optionally form a heterocyclyl group or in which R and L, taken together, can optionally form a heterocyclyl group;a ≥ 1; b ≥ 1; and c 2: 1.
  • 25. The method of claim 24, wherein R is optionally substituted alkyl and M is tin.
  • 26. The method of claim 24, wherein each L is, independently, H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or optionally substituted alkoxy.
  • 27. The method of claim 24, wherein said depositing further comprises a counter-reactant.
  • 28. The method of claim 7, wherein said depositing further comprises a reducing gas or acetylene.
  • 29. The method of claim 28, wherein the reducing gas comprises hydrogen (H2), amine (NH3), or a trialkylamine.
  • 30. The method of claim 7, further comprising, after said depositing: patterning the patterning radiation-sensitive film by a patterning radiation exposure, thereby providing an exposed film having radiation exposed areas and radiation unexposed areas; anddeveloping the exposed film, thereby removing the radiation exposed areas to provide a pattern within a positive tone resist film or removing the radiation unexposed areas to provide a pattern within a negative tone resist.
  • 31. The method of claim 30, wherein the patterning radiation exposure comprises an Extreme Ultraviolet exposure having a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient.
  • 32. The method of claim 31, wherein said developing comprises dry developing chemistry or wet developing chemistry.
  • 33. The method of claim 32, wherein the dry developing chemistry comprises HC1, HBr, HI, HF, Cl2, Br2, BCl3, BF3, NF3, NH3, SOCl2, SF6, CF4, CHF3, CH2F2, and/or CH3F in plasma.
  • 34. The method of claim 32, wherein the wet developing chemistry comprises a ketone, an ester, an alcohol, or a glycol ether.
  • 35. The method of claim 34, wherein the wet developing chemistry comprises 2-heptanone, cyclohexanone, acetone, γ-butyrolactone, n-butyl acetate, ethyl 3-ethoxypropionate, isopropyl alcohol (IPA), propylene glycol methyl ether (PGME), or propylene glycol methyl ether acetate (PGMEA), or a combination thereof.
  • 36. An apparatus for forming a resist film, the apparatus comprising: a deposition module comprising a chamber for depositing a patterning radiation-sensitive film;a patterning module comprising a photolithography tool with a source of sub-300 nm wavelength radiation;a development module comprising a chamber for developing the resist film; anda controller including one or more memory devices, one or more processors, and system control software coded with instructions comprising machine-readable instructions for: in the deposition module, causing deposition of a tantalum-based precursor on a top surface of a semiconductor substrate to form the patterning radiation-sensitive film as a resist film, wherein the tantalum-based precursor comprises a patterning radiation-sensitive moiety;in the patterning module, causing patterning of the resist film with sub-300 nm resolution directly by patterning radiation exposure, thereby forming an exposed film having radiation exposed areas and radiation unexposed areas; andin the development module, causing development of the exposed film to remove the radiation exposed areas or the radiation unexposed areas to provide a pattern within the resist film.
  • 37. The apparatus of claim 36, wherein the patterning radiation-sensitive film comprises an Extreme Ultraviolet (EUV)-sensitive film.
  • 38. The apparatus of claim 37, wherein the source for the photolithography tool is a source of sub-30 nm wavelength radiation.
  • 39. The apparatus of claim 38, wherein the instructions comprising machine-readable instructions further comprises instructions for: in the patterning module, causing patterning of the resist film with sub-30 nm resolution directly by EUV exposure, thereby forming the exposed film having EUV exposed areas and EUV unexposed areas.
  • 40. The apparatus of claim 39, wherein the instructions comprising machine-readable instructions further comprises instructions for: in the development module, causing development of the exposed film to remove the EUV exposed areas or the EUV unexposed areas to provide a pattern within the resist film.
  • 41. The apparatus of claim 37, wherein the instructions comprising machine-readable instructions further comprises instructions for: in the deposition module, causing further deposition of an organometal compound in the optional presence of a reducing gas, acetylene, and/or a counter-reactant, wherein the tantalum-based precursor and the organometal compound are deposited together to provide a mixed organometal film having two or more different metals.
  • 42. The apparatus of claim 37, wherein the instructions comprising machine-readable instructions further comprises instructions for: in the deposition module, causing further deposition of an organometal compound in the optional presence of a reducing gas, acetylene, and/or a counter-reactant, wherein the tantalum-based precursor and the organometal compound are deposited in alternating cycles to provide an organometal-containing layer and a tantalum-containing layer disposed on a top surface of the organometal-containing layer.
  • 43. A method of forming a film comprising: providing a patterning radiation-sensitive film disposed on a top surface of a semiconductor substrate, wherein the film comprises tantalum or tantalum and tin; andpatterning the patterning radiation-sensitive film by a patterning radiation exposure, thereby providing an exposed film having radiation exposed areas and radiation unexposed areas.
  • 44. The method of claim 43, further comprising: after said patterning, developing the exposed film using a wet chemistry.
  • 45. The method of claim 43, further comprising: after said providing the patterning radiation-sensitive film, performing a post-application bake at a temperature below 250° C. or below 180° C.
  • 46. The method of claim 43, further comprising: after said patterning, performing a post-exposure bake at a temperature below 250° C. or below 180° C.
INCORPORATION BY REFERENCE

A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in their entireties and for all purposes. This application claims the benefit of U.S. Provisional Pat. Application No. 62/705,853, filed Jul. 17, 2020, which is incorporated herein by reference in its entirety.

PCT Information
Filing Document Filing Date Country Kind
PCT/US2021/042104 7/16/2021 WO
Provisional Applications (1)
Number Date Country
62705853 Jul 2020 US