Selective inhibition in atomic layer deposition of silicon-containing films

Information

  • Patent Grant
  • 9564312
  • Patent Number
    9,564,312
  • Date Filed
    Monday, November 24, 2014
    9 years ago
  • Date Issued
    Tuesday, February 7, 2017
    7 years ago
Abstract
Methods of selectively inhibiting deposition of silicon-containing films deposited by atomic layer deposition are provided. Selective inhibition involves exposure of an adsorbed layer of a silicon-containing precursor to a hydrogen-containing inhibitor, and in some instances, prior to exposure of the adsorbed layer to a second reactant. Exposure to a hydrogen-containing inhibitor may be performed with a plasma, and methods are suitable for selective inhibition in thermal or plasma enhanced atomic layer deposition of silicon-containing films.
Description
BACKGROUND

Silicon-containing films have various physical, chemical, and mechanical properties and are often used in semiconductor fabrication processes. For example, silicon nitride films may be used as diffusion barriers, gate insulators, sidewall spacers, and encapsulation layers, and silicon oxide may be used as dielectric films. In various applications, silicon-containing films are deposited by chemical vapor deposition (CVD) or by atomic layer deposition (ALD). However, some deposition of silicon-containing films may be nonconformal. As device dimensions continue to shrink, there is an increasing demand to tune a deposition profile for silicon-containing films.


SUMMARY

Provided herein are methods of depositing conformal silicon-containing films. One aspect involves a method of processing semiconductor substrates by exposing a substrate including a feature to a silicon-containing precursor to form an adsorbed layer; and exposing the adsorbed layer to a hydrogen-containing inhibitor to selectively inhibit deposition of a silicon-containing film on the substrate, whereby the silicon-containing precursor includes at least one Si—H bond.


In some embodiments, deposition is selectively inhibited at the top about 10% of the feature. In some embodiments, the hydrogen-containing inhibitor is selected from the group consisting of ammonia, hydrogen, and combinations thereof.


In various embodiments, the method further includes, after exposing the adsorbed layer to the hydrogen-containing inhibitor, exposing the substrate to a second reactant to form the silicon-containing film. In some embodiments, the second reactant is selected from the group consisting of nitrogen, nitrogen-containing gases, and oxygen-containing gases. In some embodiments, exposing the substrate to the second reactant further includes igniting a plasma.


In some embodiments, exposing the adsorbed layer to the hydrogen-containing inhibitor further includes igniting an in-situ plasma. In some embodiments, the silicon-containing film includes silicon oxide. In some embodiments, the method includes after exposing the adsorbed layer to the hydrogen-containing inhibitor, exposing the substrate to a second reactant to form the silicon-containing film, whereby the second reactant is an oxygen-containing reactant.


In various embodiments, the adsorbed layer includes dangling bonds, silicon-silicon dimers, and combinations thereof. The method may include purging a reaction chamber housing the substrate after exposing the substrate to the silicon-containing precursor.


In some embodiments, the silicon-containing film includes silicon nitride. In some embodiments, the silicon-containing film includes crystalline silicon, amorphous silicon, or polysilicon.


Another aspect involves a method of processing semiconductor substrates by exposing a substrate to a silicon-containing precursor to form an adsorbed layer; exposing the adsorbed layer to a hydrogen-containing compound; and after exposing the adsorbed layer to the hydrogen-containing compound, exposing the substrate to a second reactant to form a silicon-containing film on the substrate, such that the silicon-containing precursor includes at least one Si—H bond.


In some embodiments, exposing the adsorbed layer to the hydrogen-containing compound further includes igniting a plasma. In some embodiments, exposing the substrate to the second reactant further includes igniting a plasma. The hydrogen-containing compound may be selected from the group consisting of ammonia, hydrogen, and combinations thereof.


Another aspect of the subject matter disclosed herein involves a method including exposing a substrate having a feature thereon to an inhibitor such that the inhibitor is selectively adsorbed to sites at the top of the feature; and performing one or more deposition cycles to deposit material in the feature.


Another aspect of the subject matter disclosed herein may be implemented in method including performing a deposition cycle, the deposition cycling including operations of exposing a substrate having a feature thereon to an inhibitor such that the inhibitor is selectively adsorbed to sites at the top of the feature; optionally removing the inhibitor ambient; exposing the substrate to a first precursor; optionally removing the first precursor ambient; exposing the substrate to a second precursor; and optionally removing the second precursor ambient; and repeating the deposition cycle one or more times to deposit material in the feature.


Another aspect involves an apparatus for processing semiconductor substrates, the apparatus including: at least one process chamber including a pedestal for holding a substrate; at least one outlet for coupling to a vacuum; one or more process gas inlets coupled to one or more silicon-containing precursor sources; one or more process gas inlets coupled to one or more hydrogen-containing inhibitor sources; one or more process gas inlets coupled to one or more second reactant sources; and a controller for controlling operations in the apparatus. The controller may include machine readable instructions for performing any of the methods disclosed herein. In some embodiments, the controller includes machine readable instructions for: introducing the one or more silicon-containing precursors to the process chamber to form an adsorbed layer, introducing the one or more hydrogen-containing inhibitors to the process chamber to selectively inhibit deposition of a silicon-containing film; and introducing the one or more second reactants to the process chamber to form a silicon-containing film, such that the one or more silicon-containing precursor sources includes compounds with at least one Si—H bond.


In some embodiments, the machine-readable instructions for introducing the one or more hydrogen-containing inhibitors further include introducing the one or more hydrogen-containing inhibitors for a duration between about 0.05 second and about 60 seconds. In some embodiments, the one or more hydrogen-containing inhibitors are selected from the group consisting of ammonia, hydrogen, and combinations thereof.


In various embodiments, the apparatus also includes a plasma generator, whereby the controller further includes machine-readable instructions for igniting a plasma while introducing the one or more hydrogen-containing inhibitors. In some embodiments, the apparatus also includes a plasma generator, such that the controller further includes machine-readable instructions for igniting a plasma while introducing the one or more second reactants.


These and other aspects are described further below with reference to the drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a process flow diagram depicting operations for a method in accordance with disclosed embodiments.



FIG. 2 is a timing sequence diagram showing an example of cycles in a method in accordance with disclosed embodiments.



FIG. 3 is a schematic diagram of an example of a mechanism for inhibiting deposition in accordance with disclosed embodiments.



FIG. 4 is a schematic diagram of an example process station for performing disclosed embodiments.



FIG. 5 is a schematic diagram of an example process tool for performing disclosed embodiments.



FIG. 6A is an image of a silicon nitride film deposited on a substrate with features.



FIG. 6B is an image of a silicon nitride film deposited on a substrate with features in an experiment conducted in accordance with disclosed embodiments.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.


Silicon-containing films play an important role in semiconductor device fabrication. For example, silicon nitride may be used as diffusion barriers, gate insulators, sidewall spacers, encapsulation layers, and etch stop layers, while silicon oxide may be used as a low-k dielectric film in a semiconductor device. Crystalline silicon, amorphous silicon, and polysilicon may also be deposited in various processes. In various applications, silicon-containing films are deposited conformally onto features of a substrate. Some conformal silicon-containing films may be deposited by atomic layer deposition (ALD). However, some deposition processes may still yield nonconformal deposition on a substrate with features.


Provided herein are methods of selectively inhibiting deposition of silicon-containing films. The methods include selective inhibition by exposing a substrate or features on a substrate to a hydrogen-containing inhibitor, which may be a hydrogen-containing gas with or without plasma. In particular, the selective inhibition exposure to a hydrogen-containing gas may be performed after a silicon-containing precursor is adsorbed on the surface of the substrate during an ALD deposition cycle. Selective inhibition using methods provided herein may be performed on adsorbed layers of a silicon-containing precursor used for depositing silicon-containing films. In various embodiments, the silicon-containing precursor includes at least one hydrogen atom, and a layer of adsorbed silicon-containing precursors on a substrate may include dangling bonds, silicon-silicon dimers, and/or other highly reactive structures.


Methods may be applicable to both thermal ALD and plasma-enhanced ALD (PEALD). The deposited films may be highly conformal. Conformality of films may be measured by the step coverage. Step coverage may be calculated by comparing the average thickness of a deposited film on a bottom, sidewall, or top of a feature to the average thickness of a deposited film on a bottom, sidewall, or top of a feature. For example, step coverage may be calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film at the top of the feature and multiplying it by 100 to obtain a percentage. Films deposited using the ALD cycles and inhibition exposures described herein yield conformal silicon-containing films with good step coverage, such as at least about 80% for an aspect ratio of about 4:1, with about 50 Å film thickness. In some embodiments, selective inhibition is performed for ALD processes to yield conformal films.


The methods provided herein involve deposition by ALD. Unlike a chemical vapor deposition (CVD) technique, ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis. In one example of an ALD process, a substrate surface, including a population of surface active sites, is exposed to a gas phase distribution of a first reactant or precursor, such as a silicon-containing precursor, in a dose provided to a process station housing the substrate. Molecules of this first precursor are adsorbed onto the substrate surface, including chemisorbed species and/or physisorbed molecules of the first precursor. It should be understood that when the compound is adsorbed onto the substrate surface as described herein, the adsorbed layer may include the compound as well as derivatives of the compound. For example, an adsorbed layer of a silicon-containing precursor may include the silicon-containing precursor as well as derivatives of the silicon-containing precursor. In certain embodiments, an ALD precursor dose partially saturates the substrate surface. In some embodiments, the dose phase of an ALD cycle concludes before the precursor contacts the substrate to evenly saturate the surface. Typically, the precursor flow is turned off or diverted at this point, and only purge gas flows. By operating in this sub-saturation regime, the ALD process reduces the cycle time and increases throughput. However, because precursor adsorption is not saturation limited, the adsorbed precursor concentration may vary slightly across the substrate surface. Examples of ALD processes operating in the sub-saturation regime are provided in U.S. patent application Ser. No. 14/061,587, filed Oct. 23, 2013, titled “SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION,” which is incorporated herein by reference in its entirety. After a first precursor dose, the reactor is then evacuated to remove any first precursor remaining in gas phase so that only the adsorbed species remain. A second reactant, such as a nitrogen-containing reactant, is introduced to the reactor so that some of these molecules react with the first precursor adsorbed on the surface. In some processes, the second reactant reacts immediately with the adsorbed first precursor. In other embodiments, the second reactant reacts only after a source of activation is applied temporally. The reactor may then be evacuated again to remove unbound second reactant molecules. Additional ALD cycles may be used to build film thickness.


In some implementations, the ALD methods include plasma activation. As described herein, the ALD method and apparatuses described herein may be conformal film deposition (CFD) methods, which are described generally in U.S. patent application Ser. No. 13/084,399 (now U.S. Pat. No. 8,728,956), filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION,” and in U.S. patent application Ser. No. 13/084,305, filed Apr. 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” which are herein incorporated by reference in its entireties.



FIG. 1 is an example of a process flow diagram depicting operations for performing methods in accordance with disclosed embodiments. FIG. 2 is a timing sequence diagram of example pulses in accordance with disclosed embodiments. FIG. 2 shows phases in an example ALD process 200, for various process parameters, such as carrier gas flow, silicon-containing precursor flow, plasma, inhibitor, and second reactant flow. In FIG. 2, argon is indicated as an example carrier gas. The lines indicate when the flow or plasma is turned on and off, accordingly. Example process parameters include, but are not limited to, flow rates for inert and reactant species, plasma power and frequency, substrate temperature, and process station pressure. Note that the durations of each phase in FIG. 2 may not be drawn to scale. FIGS. 1 and 2 will be described together below.


During operations 103-109 of FIG. 1, an inert gas may be flowed. In various embodiments, the inert gas is used as a carrier gas. Example carrier gases include argon (Ar), helium (He), and neon (Ne). The example sequence in FIG. 2 uses argon as an example carrier gas, which is continuously flowed during the entire process. The inert gas may be provided to assist with pressure and/or temperature control of the process chamber, evaporation of a liquid reactant, more rapid delivery of the reactant and/or as a sweep gas for removing process gases from the process chamber and/or process chamber plumbing.


In operation 101 of FIG. 1, a substrate is provided to a process station. In some embodiments, the station may be in a process chamber. The substrate may be a silicon wafer, e.g., a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon. Substrates may have “features” such as via or contact holes, which may be characterized by one or more of narrow and/or re-entrant openings, constrictions within the feature, and high aspect ratios. The feature may be formed in one or more of the above described layers. One example of a feature is a hole or via in a semiconductor substrate or a layer on the substrate. Another example is a trench in a substrate or layer. In various embodiments, the feature may have an under-layer, such as a barrier layer or adhesion layer. Non-limiting examples of under-layers include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers.


In some embodiments, the feature may have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1, at least about 10:1, or higher. The feature may also have a dimension near the opening, e.g., an opening diameter or line width of between about 10 nm to 500 nm, for example between about 25 nm and about 300 nm. Disclosed methods may be performed on substrates with features having an opening less than about 150 nm. A feature via or trench may be referred to as an unfilled feature or a feature. A feature that may have a re-entrant profile that narrows from the bottom, closed end, or interior of the feature to the feature opening.


In operation 103 of FIG. 1, the substrate is exposed to a silicon-containing precursor that is adsorbed onto the substrate surface. This operation may be part of an ALD cycle. The concept of an ALD “cycle” is relevant to the discussion of various embodiments herein. Generally a cycle is the minimum set of operations used to perform a surface deposition reaction one time. The result of one cycle is the production of at least a partial silicon-containing film layer on a substrate surface. Typically, an ALD cycle includes operations to deliver and adsorb at least one reactant to the substrate surface, and then react the adsorbed reactant with one or more reactants to form the partial layer of film. The cycle may include certain ancillary operations such as sweeping one of the reactants or byproducts and/or treating the partial film as deposited. Generally, a cycle contains one instance of a unique sequence of operations. As an example, a cycle may include the following operations: (i) delivery/adsorption of a silicon-containing precursor, (ii) purging of silicon-containing precursor from the station, (iii) delivery of a second reactant and optional plasma, and (iv) purging of the second reactant and optional plasma from the station.


Returning to FIG. 1, during operation 103, the substrate is exposed to the silicon-containing precursor such that the silicon-containing precursor is adsorbed onto the substrate surface to form an adsorbed layer. Unlike a CVD or CVD-like process to form a silicon (Si) layer, the silicon-containing precursor does not decompose to form a silicon layer.


In some embodiments, the silicon-containing precursor adsorbs onto the substrate surface in a self-limiting manner such that once active sites are occupied by the silicon-containing precursor, little or no additional silicon-containing precursor will be adsorbed on the substrate surface. In various embodiments, operation 103 is performed such that not all active sites are occupied by a silicon-containing precursor. For example, silicon-containing precursors may be adsorbed onto about 60% of the substrate surface. In various embodiments, when the silicon-containing precursor is flowed to the station, the silicon-containing precursor adsorbs onto active sites on the surface of the substrate, forming a thin layer of the silicon-containing precursor on the surface. In some embodiments, a monolayer is of the silicon-containing precursor is formed. In some embodiments, the layer may be less than a monolayer, for example having a thickness between about 0.2 Å and about 0.4 Å. The substrate may be exposed to the silicon-containing precursor for a time between about 0.2 seconds and about 6 seconds, depending on the flow rate and the substrate surface area. It will be understood that that other exposure times may be used depending on the particular hardware and process parameters used. FIG. 3 is a schematic representation of an example of a highly reactive adsorbed layer on the surface of a substrate. In this example, the surface depicted is the top of the substrate between the openings of two features. In 301, disilane is provided as an example of a silicon-containing precursor that adsorbed onto the surface of the substrate. Without being bound by a particular theory, it is believed that the adsorbed layer of the silicon-containing precursor may include highly reactive species such dangling bonds (321, 331) and/or silicon-silicon dimers (311), resulting in a highly reactive surface. A dangling bond is an unsatisfied valence on the silicon atom. Some of these dangling bonds may result from hydrogen being cleaved from a silicon atom in the silicon-containing precursor, thereby leaving a highly reactive dangling bond. In some embodiments, the adsorbed layer at or near the top of the features, such as the top about 10% of the features, may be more reactive than in the sidewalls and at the bottom of the features. These reactive surfaces may be susceptible to undergoing a radical mechanism, particularly with a hydrogen-containing compound.


Silicon-containing precursors suitable for processes described herein include at least one Si—H bond. The precursor may be selected depending on the layer to be deposited. For example, if a silicon nitride layer is to be deposited, a silicon-containing precursor such as disilane may be used, as described in concurrently filed U.S. patent application Ser. No. 14/552,245, filed on Nov. 24, 2014, titled, “METHOD OF DEPOSITING AMMONIA FREE AND CHLORINE FREE CONFORMAL SILICON NITRIDE FILM,” which is incorporated by reference herein.


An general silicon-containing precursor used in methods described herein may have the structure:




embedded image



where R1, R2, and R3 may be the same or different substituents, and may include silanes, amines, halides, hydrogen, or organic groups, such as alkylamines, alkoxy, alkyl, alkenyl, alkynyl, and aromatic groups.


Example silicon-containing precursors include polysilanes (H3Si—(SiH2)n—SiH3), where n≧1, such as silane, disilane, trisilane, tetrasilane; and trisilylamine:




embedded image


In some embodiments, the silicon-containing precursor is an alkoxysilane. Alkoxysilanes that may be used include, but are not limited to, the following:

    • Hx—Si—(OR)y where x=1-3, x+y=4 and R is a substituted or unsubstituted alkyl group; and
    • Hx(RO)y—Si—Si—(OR)yHx where x=1-2, x+y=3 and R is a substituted or unsubstituted alkyl group.


Examples of silicon-containing precursors include: methylsilane; trimethylsilane (3MS); ethylsilane; butasilanes; pentasilanes; octasilanes; heptasilane; hexasilane; cyclobutasilane; cycloheptasilane; cyclohexasilane; cyclooctasilane; cyclopentasilane; 1,4-dioxa-2,3,5,6-tetrasilacyclohexane; diethoxymethylsilane (DEMS); diethoxysilane (DES); dimethoxymethylsilane; dimethoxysilane (DMOS); methyl-diethoxysilane (MDES); methyl-dimethoxysilane (MDMS); octamethoxydodecasiloxane (OMODDS); tert-butoxydisilane; tetramethylcyclotetrasiloxane (TMCTS); tetraoxymethylcyclotetrasiloxane (TOMCTS); triethoxysilane (TES); triethoxysiloxane (TRIES); and trimethoxysilane (TMS or TriMOS).


In some embodiments, the silicon-containing precursor may be an aminosilane, with hydrogen atoms, such as bisdiethylaminosilane, diisopropylaminosilane, bis(tert-butylamino) silane (BTBAS), or tris(dimethylamino)silane. Aminosilane precursors include, but are not limited to, the following: Hx—Si—(NR)y where x=1-3, x+y=4 and R is an organic or hydride group.


In some embodiments, a halogen-containing silane may be used such that the silane includes at least one hydrogen atom. Such a silane may have a chemical formula of SiXaHy where y≧1. For example, dichlorosilane (H2SiCl2) may be used, but silicon tetrachloride (SiCl4) may not be suitable for disclosed embodiments.


Returning to FIG. 1, in operation 105, the process station is optionally purged to remove excess silicon-containing precursor in gas phase that did not adsorb onto the surface of the substrate. Purging may involve a sweep gas, which may be a carrier gas used in other operations or a different gas. In some embodiments, purging may involve evacuating the station. Operation 105 of FIG. 1 may correspond with purge phase 240A of FIG. 2, where the silicon-containing precursor flow is turned off, no plasma is ignited, and no second reactant is supplied to the station. The carrier gas, such as argon, continues to flow to purge any excess silicon-containing precursor from the station. In some embodiments, purge phase 240A may include one or more evacuation subphases for evacuating the process station. Alternatively, it will be appreciated that purge phase 240A may be omitted in some embodiments. Purge phase 240A may have any suitable duration, such as between about 0 seconds and about 60 seconds. In some embodiments, increasing a flow rate of a one or more sweep gases may decrease the duration of purge phase 240A. For example, a purge gas flow rate may be adjusted according to various reactant thermodynamic characteristics and/or geometric characteristics of the process station and/or process station plumbing for modifying the duration of purge phase 240A. In one non-limiting example, the duration of a sweep phase may be adjusted by modulating sweep gas flow rate. This may reduce deposition cycle time, which may improve substrate throughput. After a purge, the silicon-containing precursor molecules remain adsorbed onto the substrate surface.


Returning to FIG. 1, in operation 106, the substrate is exposed to a hydrogen-containing inhibitor, which may be a hydrogen-containing gas. The deposition may be inhibited thermally or, as described below, in some embodiments, a plasma may be used. Note that the hydrogen-containing inhibitor may not be flowed in every cycle. The hydrogen-containing gas may be ammonia (NH3), hydrogen (H2), or another hydrogen-containing gas. In some embodiments a mixture of two or more hydrogen-containing gases are used. The hydrogen-containing inhibitor may be flowed to the station for a time between about 0.05 seconds and about 60 seconds in some embodiments. Substrate exposure time to the hydrogen-containing inhibitor, flow rate of the hydrogen-containing inhibitor, and partial pressure of the hydrogen-containing inhibitor may depend on the type of substrate, type of features, and type of inhibitor used. The exposure time and flow rate of the inhibitor are modulated to selectively inhibit the surface at or near the top of the features. A longer exposure time may cause inhibition on more than just the top of the features for a deposition process where, if deposited without an inhibition process, deposited film at the top of the features is thicker than on the sidewalls or on the bottom of the features. Exposure time and flow rate changes may be made in accordance with a desired deposition profile. Deposition in some cases may be non-conformal such that there are more reactive sites at the top of the feature. In such cases, it may not be necessary to tightly control the inhibition process conditions.


In some implementations, modulating inhibition conformality can include operating or not operating in a mass transport limited regime. In a mass transport limited regime, the treatment rate inside the feature is limited by amounts of inhibitor that diffuse into the feature. Mass transport limiting process conditions may be achieved by supplying limited amounts of inhibitor into the processing chamber (e.g., use low treatment flow rates relative to the cavity profile and dimensions), while maintaining relative high treatment rates in order to consume some inhibitor as it diffuses into the feature. In certain embodiment, a concentration gradient is substantial, which may be caused from relatively high inhibition treatment kinetics and relative low treatment supply.


In some embodiments, a plasma is optionally ignited in operation 106. In some embodiments, the hydrogen-containing inhibitor may be flowed to the process station housing the substrate prior to igniting the plasma such that the inhibitor flow may stabilize. In some embodiments, the plasma is ignited for the same or substantially the same duration as the hydrogen-containing inhibitor flow. In various embodiments, the plasma is a radio frequency (RF) plasma, which may have a frequency of at least about 13.56 MHz. The plasma may be an in-situ plasma. In some embodiments, a remote plasma may be used. The power of the plasma may depend on the type of substrate, types of features, and type of inhibitor used. Generally, a higher power plasma allows the inhibitor to flow deeper into features—which in turn inhibits surfaces deeper on the sidewalls in the features. Thus, the plasma power used may depend on the feature depth and pattern (e.g., trenches, wide features, narrow features, etc.). In some embodiments, the plasma is ignited in only some of the cycles where the hydrogen-containing inhibitor is flowed.


For deposition of silicon oxide, a hydrogen-containing plasma is used to inhibit deposition. Example hydrogen-containing plasmas include hydrogen plasma and ammonia plasma. For example, if a silicon oxide film is being deposited and the surface of the substrate includes Si—OH terminated surface after reacting with oxygen in an ALD cycle, plasma may be used with a hydrogen-containing inhibitor in a subsequent cycle to inhibit deposition. In some embodiments, the hydrogen-containing plasma may be used to form a Si—H terminated surface. To improve deposition, the Si—OH terminated surface may be exposed to a nitrogen plasma to form an Si—NH2 terminated surface, which may be easier to nucleate than an Si—OH terminated surface. In a subsequent ALD cycle, —NH2 groups are removed and re-converted to —OH groups when an oxidizing plasma is used to form silicon oxide.


The inhibition methods described herein are appropriate for silicon-containing films deposited using a radical mechanism rather than an acid-base mechanism. That is, a silicon-containing precursor adsorbed on the surface of the substrate may form silicon-silicon dimers and/or silicon dangling bonds, thereby forming reactive sites prepared to react with a second reactant in subsequent processing to form a silicon-containing film. Radical mechanisms are distinguished from acid-base mechanisms. In acid-base processes for depositing a silicon-containing film on a substrate, the silicon-containing precursor adsorbed on the surface of the substrate may be terminated with atoms or groups that may act as an acid or that accept an electron from a second reactant that acts as a base and donates an electron in the reaction. For example, in an acid-base reaction, tetrachlorosilane may adsorb onto a surface of the substrate, leaving a chlorine terminated surface that reacts with, for example, ammonia as a reactant gas, which donates an electron to form silicon nitride. In contrast, in a radical mechanism, silicon-silicon dimers or silicon dangling bonds on the surface may be more susceptible to binding to hydrogen from an ammonia reactant rather than forming an amine terminated surface. Silicon-containing precursors including a Si—H bond may undergo a radical mechanism, while a precursor that does not have a Si—H bond may undergo an acid-base reaction. Example compounds that do not have an Si—H bond and therefore may not be used in accordance with disclosed embodiments include Si(N(CH3)2)4 and SiCl4.


As shown in FIG. 3, in 303, the dangling bonds of the adsorbed layer are exposed to a hydrogen-containing inhibitor. In the example provided in FIG. 3, the hydrogen-containing inhibitor is hydrogen gas. Note that in contrast to an acid-base mechanism where hydrogen gas is an inert and/or carrier gas, hydrogen gas in disclosed embodiments is used as an inhibitor. In 305, the hydrogen gas bonds with the dangling bonds on the surface of the substrate, thereby terminating the bonds on the adsorbed layer. Note that as shown in 305, not all dangling bonds 315 react with the hydrogen. The hydrogen gas does not form a film on the surface—rather, in some embodiments, the hydrogen atoms may terminate the surface.


Without being bound by a particular theory, it is believed that the hydrogen-containing gas may retard or mitigate deposition on the substrate surface at or near the top of the features, such as at the opening of a feature. The short duration of the exposure ensures that only the top of the features are impacted by the inhibition exposure. In some embodiments, a purge phase, such as one described above with respect to operation 105, may be performed after the hydrogen-containing inhibitor flow is stopped.


In operation 107 of FIG. 1, the substrate is exposed to a second reactant, such as a reactant gas. The second reactant gas may be nitrogen, a nitrogen-containing gas, oxygen, an oxygen-containing gas, or another reactive gas. For example, if the silicon-containing film to be deposited is silicon nitride, the second reactant gas may be nitrogen (N2), or a nitrogen-containing gas. If the silicon-containing film to be deposited is silicon oxide, the second reactant gas may be oxygen (O2) and nitrogen dioxide (NO2). In various embodiments, operation 107 may be performed thermally or with plasma activation.


In some embodiments, operation 107 optionally includes igniting a plasma to form a second reactant plasma. For example, in some embodiments, a plasma is not used when the second reactant is introduced to a processing reactor, such as in thermal ALD processes. In the example provided in FIG. 2, a plasma may be turned on with the second reactant flow such as in an PEALD process during the second reactant plasma exposure phase in 260A and 260B for the deposition cycles 210A and 210B respectively. In some embodiments, the second reactant flow may be turned on prior to turning on the plasma, for example, to allow the second reactant flow to stabilize. Note that second reactant flow is turned off during the plasma exposure phases and argon as a carrier gas continues to flow. The substrate may be exposed to the second reactant plasma for a duration between about 0.1 second and about 6 seconds. In some embodiments, second reactant plasma exposure phase 260A or 260B may have a duration that exceeds a time for plasma to interact with all precursors adsorbed on the substrate surface, forming a continuous film atop the substrate surface. It is understood that these parameters may be modified depending on the particular hardware and processing conditions used.


In various embodiments, the plasma is an in-situ plasma, such that the plasma is formed directly above the substrate surface in the station. Example power per substrate areas for an in-situ plasma are between about 0.2122 W/cm2 and about 2.122 W/cm2 in some embodiments. For example, the power may range from about 600 W to about 6000 W for a chamber processing four 300 mm wafers. For example, plasmas for ALD processes may be generated by applying a radio frequency (RF) field to a gas using two capacitively coupled plates. Ionization of the gas between plates by the RF field ignites the plasma, creating free electrons in the plasma discharge region. These electrons are accelerated by the RF field and may collide with gas phase reactant molecules. Collision of these electrons with reactant molecules may form radical species that participate in the deposition process. It will be appreciated that the RF field may be coupled via any suitable electrodes. Non-limiting examples of electrodes include process gas distribution showerheads and substrate support pedestals. It will be appreciated that plasmas for ALD processes may be formed by one or more suitable methods other than capacitive coupling of an RF field to a gas. In some embodiments, the plasma is a remote plasma, such that second reactant is ignited in a remote plasma generator upstream of the station, then delivered to the station where the substrate is housed.


As shown in FIG. 3, in 307, the molecule 317 is inhibited from forming silicon-nitride bonds because hydrogen has terminated the silicon dangling bonds in 305. Note that the molecules 325 and 335 have formed silicon nitride bonds between the two structures as well as branching from the silicon centers to form molecule 327. This depicts one example of inhibiting silicon nitride formation by exposing silicon dangling bonds to a hydrogen inhibitor.


Returning to FIG. 1, in operation 109, the process station is purged. As shown in FIG. 2, operation 109 may correspond with purge phase 280A, where the silicon-containing precursor flow is turned off, no plasma is ignited, and no second reactant is supplied to the station. The purge may be performed by flowing the carrier gas, which may be any of those described above with respect to operation 105. In many embodiments, the carrier gas used in operation 105 and 109 are the same gas, and in some embodiments, the carrier gas is continuously flowed during these operations, such as shown in FIG. 2.


Performing operations 103-109 of FIG. 1 may constitute a cycle, such as deposition cycle 210A, or deposition cycle 210B in FIG. 2. Depending on the exposure time of the operations, each cycle may deposit a silicon-containing film of varying thickness. Thus, the duration of some processes may be longer when depositing films more than a few nanometers thick. Some reactants may have long exposure times to deposit a conformal film, which may also reduce wafer throughput time.


In operation 113, it is determined whether the film has been deposited to an adequate thickness. If not, operations 103-109 may be repeated until a film of adequate thickness is deposited. Any suitable number of deposition cycles may be included in an ALD process to deposit a desired film thickness of a silicon-containing film. The timing sequence in FIG. 2 depicts examples of various operations of FIG. 1 in two deposition cycles, 210A and 210B. As shown, each cycle includes a silicon-containing precursor exposure phase 220A, or 220B, whereby the substrate is exposed to a silicon-containing precursor as described above with respect to operation 103 of FIG. 1. Following a silicon-containing precursor exposure phase 220A, a purge phase 240A is performed as describe above with respect to operation 105 of FIG. 1. The inhibitor phase 250A may be performed such that a hydrogen-containing inhibitor as described with respect to operation 106 of FIG. 1 is delivered to the station. The inhibitor phase 250A may not be performed in every deposition cycle. The example deposition cycles 210A and 210B as shown in FIG. 2 show an embodiment where the inhibitor phase is performed in both cycles. Following the inhibitor phase 250A, the second reactant with plasma exposure phase 260A is shown. Note that the plasma is turned on in the depicted example in FIG. 2, but in some embodiments, the plasma may not be turned on during 260A or 260B. The second reactant is flowed during these phases, while the silicon-containing precursor and inhibitor are turned off. Following the second reactant with plasma exposure phase 260A, a purge phase is optionally performed in 280A, such that only a purge gas, such as argon as depicted in FIG. 2, is flowed. In the example depicted in FIG. 2, it is determined in operation 113 of FIG. 1 that the desired thickness is not yet achieved, and therefore, another cycle of operations 103-109 is performed (accordingly, silicon-containing precursor exposure phase 220B, followed by purge phase 240B, inhibitor phase 250B, second reactant with plasma exposure phase 260B, and purge phase 280B).


Subsequent deposition cycles may be performed, such that the deposition rate of the silicon-containing thin film on the surface of the substrate near the openings of the features is less than the deposition rate on the other surfaces of the feature. Note that although the Figure shows inhibitor exposure in both cycles, in some embodiments, the inhibition exposure may be performed in every other cycle, or every 5 to 10 cycles.


In many of the above-described embodiments an adsorbed layer of a precursor is exposed to an inhibitor. In alternative embodiments, a substrate may be exposed an inhibitor before being exposed to a precursor. Such embodiments can also improve step coverage in high aspect ratio features or features that cut through multiple, dissimilar materials. In some embodiments, an inhibitor may be appropriately selected to overcome high aspect ratio challenges or deposition on dissimilar materials.


In some embodiments, the methods may be employed in depositions that use metal organic precursors. As feature sizes decrease and as candidate metal organic precursors of interest become employed more with substantial, physically large ligands that are byproducts of the deposition reaction, compensation for transport phenomena both of a precursor into the features as well as byproducts out of the features becomes important. An example method for exposing to an inhibitor prior to introducing a precursor for depositing a film may be performed by the sequence described in the following paragraphs.


In some embodiments, prior to exposing the substrate to film deposition precursors, the substrate may be exposed to an inhibitor chemical that selectively adsorbs to available sites on the substrate and inside its features subject to a transport limited phenomena such that more sites are occupied at the tops of features while fewer (or none) are occupied at the bottoms. Subsequently, the inhibitor chemical ambient may be removed by purging or evacuation.


If the inhibitor chemical reacts with the substrate, then the substrate may be exposed to a precursor chemical that selectively adsorbs to the remaining substrate sites at the feature bottoms. Selective adsorption may result in more adsorption at the feature bottoms than on the feature sidewalls.


If the inhibitor chemical does not react with the substrate, the substrate may be optionally exposed to another chemical that reacts with the inhibitor and then the reactant ambient may be subsequently removed. The substrate then may be exposed to a precursor chemical that selectively adsorbs to the remaining substrate sites at the feature bottoms with more adsorption at the feature bottoms than on the sidewalls.


In some embodiments, after the precursor adsorbs onto substrate sites in the feature, the precursor ambient may be removed by purging or evacuation. In some embodiments, the substrate may be exposed to a reactant chemistry that may or may not include plasma exposure that consumes a portion of the inhibitor, such as towards the feature bottoms. Alternatively, the inhibitor may be chosen such that rather than consuming it, it is converted to a useful, reacted film. After the substrate is exposed to the reactant, the reactant ambient may then be removed by purging or evacuation. In some embodiments, operations performed after the inhibitor chemical reacts with the substrate may be repeated until all of the inhibitor is consumed or converted. In some embodiments, the above operations involving inhibition and deposition may be repeated until a desired thickness of film is deposited.


In some embodiments, a method may involve exposing a substrate to an inhibitor prior to exposure to a precursor (e.g., prior to exposure to a silicon-containing precursor) as well as exposing an adsorbed layer of the precursor to an inhibitor as described above with respect to FIG. 1. The same or different inhibitors may be used in these operations.


Apparatus



FIG. 4 depicts a schematic illustration of an embodiment of an atomic layer deposition (ALD) process station 400 having a process chamber body 402 for maintaining a low-pressure environment. A plurality of ALD process stations 400 may be included in a common low pressure process tool environment. For example, FIG. 4 depicts an embodiment of a multi-station processing tool 400. In some embodiments, one or more hardware parameters of ALD process station 400, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers 450.


ALD process station 400 fluidly communicates with reactant delivery system 401a for delivering process gases to a distribution showerhead 406. Reactant delivery system 401a includes a mixing vessel 404 for blending and/or conditioning process gases for delivery to showerhead 406. In some embodiments, the inhibitor gas may be introduced to the mixing vessel prior to introduction to the chamber body 402, such as if provided with a carrier gas. In some embodiments, the inhibitor gas may be directly delivered to the chamber body 402. One or more mixing vessel inlet valves 420 may control introduction of process gases to mixing vessel 404. These valves may be controlled depending on whether a process gas, inhibitor gas, or carrier gas may be turned on during various operations. In some embodiments, the inhibitor gas may be generated by using an inhibitor liquid and vaporizing using a heated vaporizer.


As an example, the embodiment of FIG. 4 includes a vaporization point 403 for vaporizing liquid reactant to be supplied to the mixing vessel 404. In some embodiments, vaporization point 403 may be a heated vaporizer. The saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve purging and/or evacuating the delivery piping to remove residual reactant. However, purging the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 403 may be heat traced. In some examples, mixing vessel 404 may also be heat traced. In one non-limiting example, piping downstream of vaporization point 403 has an increasing temperature profile extending from approximately 100° C. to approximately 150° C. at mixing vessel 404.


In some embodiments, liquid precursor or liquid reactant, such as a silicon-containing precursor, may be vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one embodiment, a liquid injector may vaporize the reactant by flashing the liquid from a higher pressure to a lower pressure. In another example, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. Smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 403. In one scenario, a liquid injector may be mounted directly to mixing vessel 404. In another scenario, a liquid injector may be mounted directly to showerhead 406.


In some embodiments, a liquid flow controller (LFC) (not shown) upstream of vaporization point 403 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 400. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, this may be performed by disabling a sense tube of the LFC and the PID controller.


Showerhead 406 distributes gases toward substrate 412. For example, showerhead 406 may distribute a silicon-containing precursor gas to the substrate 412, or a purge or carrier gas to the chamber body 402, or an inhibitor gas to the substrate 412, or a second reactant to the substrate 412 in various operations. In the embodiment shown in FIG. 4, the substrate 412 is located beneath showerhead 406 and is shown resting on a pedestal 408. Showerhead 406 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing process gases to substrate 412.


In some embodiments, a microvolume is located beneath showerhead 406. Practicing disclosed embodiments in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and purge times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.) may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters. This also impacts productivity throughput. In some embodiments, the disclosed embodiments are not performed in a microvolume.


In some embodiments, pedestal 408 may be raised or lowered to expose substrate 412 to microvolume 407 and/or to vary a volume of microvolume 407. For example, in a substrate transfer phase, pedestal 408 may be raised to position substrate 412 within microvolume 407. In some embodiments, microvolume 407 may completely enclose substrate 412 as well as a portion of pedestal 408 to create a region of high flow impedance.


Optionally, pedestal 408 may be lowered and/or raised during portions the process to modulate process pressure, reactant concentration, etc., within microvolume 407. In one scenario where process chamber body 402 remains at a base pressure during the process, lowering pedestal 408 may allow microvolume 407 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller 450.


In another scenario, adjusting a height of pedestal 408 may allow a plasma density to be varied during optional plasma activation processes. For example, the plasma may be activated when the inhibitor gas is introduced to the chamber body 402, or when the second reactant is flowed to the chamber body 402. In some embodiments, a plasma may not be activated during flow of the inhibitor gas or the flow of the second reactant. At the conclusion of the process phase, pedestal 408 may be lowered during another substrate transfer phase to allow removal of substrate 412 from pedestal 408.


While the example microvolume variations described herein refer to a height-adjustable pedestal 408, it will be appreciated that, in some embodiments, a position of showerhead 406 may be adjusted relative to pedestal 408 to vary a volume of microvolume 407. Further, it will be appreciated that a vertical position of pedestal 408 and/or showerhead 406 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 408 may include a rotational axis for rotating an orientation of substrate 412. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 450.


In some embodiments where plasma may be used as discussed above, showerhead 406 and pedestal 408 electrically communicate with a radio frequency (RF) power supply 414 and matching network 416 for powering a plasma. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, gas concentrations and partial pressures of gases or gas flow rates, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 414 and matching network 416 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Likewise, RF power supply 414 may provide RF power of any suitable frequency. In some embodiments, RF power supply 414 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 0 kHz and 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz, or greater than about 13.56 MHz, or greater than 27 MHz, or greater than 40 MHz, or greater than 60 MHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions. In one non-limiting example, the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.


In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.


In some embodiments, instructions for a controller 450 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas (e.g., the first precursor such as disilane), instructions for setting a flow rate of a carrier gas (such as argon), and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas, and instructions for modulating a flow rate of a carrier or purge gas and time delay instructions for the second recipe phase. A third recipe phase may include instructions for setting a flow rate of an inert, inhibitor and/or reactant gas which may be the same as or different from the gas used in the first recipe phase (e.g., a hydrogen-containing inhibitor), instructions for modulating a flow rate of a carrier gas, and time delay instructions for the third recipe phase. A fourth recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas (e.g., a second reactant such as nitrogen or a nitrogen-containing or oxygen-containing gas), instructions for modulating the flow rate of a carrier or purge gas, and time delay instructions for the fourth recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.


In some embodiments, pedestal 408 may be temperature controlled via heater 410. Further, in some embodiments, pressure control for process station 400 may be provided by butterfly valve 418. As shown in the embodiment of FIG. 4, butterfly valve 418 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 400 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 400.


As described above, one or more process stations may be included in a multi-station processing tool. FIG. 5 shows a schematic view of an embodiment of a multi-station processing tool 500 with an inbound load lock 502 and an outbound load lock 504, either or both of which may include a remote plasma source. A robot 506, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 508 into inbound load lock 502 via an atmospheric port 510. A wafer is placed by the robot 506 on a pedestal 512 in the inbound load lock 502, the atmospheric port 510 is closed, and the load lock is pumped down. Where the inbound load lock 502 includes a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 514. Further, the wafer also may be heated in the inbound load lock 502 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 516 to processing chamber 514 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 5 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.


The depicted processing chamber 514 includes four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 5. Each station has a heated pedestal (shown at 518 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between an ALD and plasma-enhanced ALD process mode. Additionally or alternatively, in some embodiments, processing chamber 514 may include one or more matched pairs of ALD and plasma-enhanced ALD process stations. While the depicted processing chamber 514 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.



FIG. 5 depicts an embodiment of a wafer handling system 590 for transferring wafers within processing chamber 514. In some embodiments, wafer handling system 590 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 5 also depicts an embodiment of a system controller 550 employed to control process conditions and hardware states of process tool 500. System controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. Processor 552 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


In some embodiments, system controller 550 controls all of the activities of process tool 500. System controller 550 executes system control software 558 stored in mass storage device 554, loaded into memory device 556, and executed on processor 552. Alternatively, the control logic may be hard coded in the controller 550. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 558 may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 500. System control software 558 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 558 may be coded in any suitable computer readable programming language.


In some embodiments, system control software 558 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 554 and/or memory device 556 associated with system controller 550 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.


A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 518 and to control the spacing between the substrate and other parts of process tool 500.


A process gas control program may include code for controlling gas composition (e.g., TMA, ammonia, and purge gases as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.


A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.


A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.


A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.


In some embodiments, there may be a user interface associated with system controller 550. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


In some embodiments, parameters adjusted by system controller 550 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 550 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 500. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.


System controller 550 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.


The system controller 550 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 550.


In some implementations, the system controller 550 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The system controller 550, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases and/or inhibitor gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the system controller 550 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 550 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The system controller 550, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 550 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 550 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 550 is configured to interface with or control. Thus as described above, the system controller 550 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the system controller 550 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


An appropriate apparatus for performing the methods disclosed herein is further discussed and described in U.S. patent application Ser. No. 13/084,399 (now U.S. Pat. No. 8,728,956), filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”; and Ser. No. 13/084,305, filed Apr. 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” each of which is incorporated herein in its entireties.


The apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.


EXPERIMENTAL
Experiment 1

An experiment was conducted to evaluate the effect of periodically exposing a substrate to a hydrogen-containing plasma. A semiconductor substrate having features with an aspect ratio of 4:1 and feature openings of 20 nm was exposed to 120 cycles of disilane and nitrogen plasma to deposit a silicon nitride film.


The conditions for this substrate are summarized in Table 1 below.









TABLE 1







Conditions for Silicon Nitride Film Deposition without Inhibition











Time
Flow Rate (slm)
Power














Step
(sec)
Disilane
N2
Ar
HFRF


















Dose
3
0.25
2





Dose Purge
3

4.5



Preflow
1

1
1



RF ON
2.5

0.5

300 W



RF Purge
0.1

4.5










To compare, another substrate having features with an aspect ratio of 4:1 and feature openings of 20 nm was exposed to 120 cycles of disilane (as the silicon-containing precursor) and nitrogen plasma (as the second reactant) while also periodically exposed to ammonia plasma (as a hydrogen-containing inhibitor) for 5 seconds in each cycle prior to exposing to nitrogen plasma. The chamber was purge after each exposure to disilane and nitrogen plasma. The ammonia plasma was ignited in situ. The chamber pressure for both substrates was 2 Torr at the top of the chamber and 3.5 Torr at the bottom, with argon as a carrier gas and nitrogen as a purge gas. During the dose purge with nitrogen gas, some silicon nitride was formed.


The conditions for the second substrate are summarized in Table 2 below.









TABLE 2







Conditions for Silicon Nitride Film Deposition with Inhibition










Flow Rate (slm)
Power













Step
Time (sec)
Disilane
N2
Ar
NH3
HFRF
















Dose
3
0.25
2





Dose Purge
3

4.5


NH3 Preflow
1



1


NH3 Treat
5




600 W


Preflow
1

1
1


RF ON
2.5

0.5


300 W


RF Purge
0.1

4.5









The conformality of the substrate without periodic ammonia exposure was about 55%, and the substrate with periodic ammonia exposure was about 85%. FIGS. 6A and 6B depict images of the openings of features with silicon nitride deposited without periodic inhibitor exposure (6A) versus with periodic inhibitor plasma exposure (6B). The substrate without periodic ammonia exposure had about 140 Å of silicon nitride deposited on the substrate between the openings of two features (601), while the substrate with periodic ammonia exposure had about 54 Å of silicon nitride deposited on the substrate in the same area. Note that surfaces of the features near the top of the features at 601 were selectively inhibited in FIG. 6B, and not on the sidewalls at 603.


CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A method of processing semiconductor substrates, the method comprising: exposing a substrate comprising a feature to a silicon-containing precursor to form an adsorbed layer; andexposing the adsorbed layer to a hydrogen-containing inhibitor to selectively inhibit deposition of a silicon-containing film on the substrate,wherein the silicon-containing precursor comprises at least one Si—H bond.
  • 2. The method of claim 1, wherein deposition is selectively inhibited at a top about 10% of the feature.
  • 3. The method of claim 1, wherein the hydrogen-containing inhibitor is selected from the group consisting of ammonia, hydrogen, and combinations thereof.
  • 4. The method of claim 1, further comprising, after exposing the adsorbed layer to the hydrogen-containing inhibitor, exposing the substrate to a second reactant to form the silicon-containing film.
  • 5. The method of claim 4, wherein the second reactant is selected from the group consisting of nitrogen, nitrogen-containing gases, and oxygen-containing gases.
  • 6. The method of claim 4, wherein exposing the substrate to the second reactant further comprises igniting a plasma.
  • 7. The method of claim 1, wherein exposing the adsorbed layer to the hydrogen-containing inhibitor further comprises igniting an in-situ plasma.
  • 8. The method of claim 7, wherein the silicon-containing film comprises silicon oxide.
  • 9. The method of claim 7, further comprising, after exposing the adsorbed layer to the hydrogen-containing inhibitor, exposing the substrate to a second reactant to form the silicon-containing film, wherein the second reactant is an oxygen-containing reactant.
  • 10. The method of claim 1, wherein the adsorbed layer comprises dangling bonds, silicon-silicon dimers, and combinations thereof.
  • 11. The method of claim 1, further comprising purging a reaction chamber housing the substrate after exposing the substrate to the silicon-containing precursor.
  • 12. The method of claim 1, wherein the silicon-containing film comprises silicon nitride.
  • 13. The method of claim 1, wherein the silicon-containing film comprises crystalline silicon, amorphous silicon, or polysilicon.
  • 14. The method of claim 1, further comprising, prior to exposing the substrate to the silicon-containing precursor, exposing the substrate to an inhibitor.
US Referenced Citations (367)
Number Name Date Kind
4158717 Nelson Jun 1979 A
4500563 Ellenberger et al. Feb 1985 A
4575921 Bhagat Mar 1986 A
4869781 Euen et al. Sep 1989 A
5091332 Bohr et al. Feb 1992 A
5223443 Chinn et al. Jun 1993 A
5230929 Caporiccio et al. Jul 1993 A
5496608 Matsuda et al. Mar 1996 A
5593914 Evans, Jr. et al. Jan 1997 A
5670432 Tsai Sep 1997 A
5731235 Srinivasan et al. Mar 1998 A
5856003 Chiu Jan 1999 A
5874368 Laxman et al. Feb 1999 A
5932286 Beinglass et al. Aug 1999 A
6153519 Jain et al. Nov 2000 A
6197701 Shue et al. Mar 2001 B1
6218293 Kraus et al. Apr 2001 B1
6228779 Bloom et al. May 2001 B1
6270572 Kim et al. Aug 2001 B1
6346741 Van Buskirk et al. Feb 2002 B1
6380056 Shue et al. Apr 2002 B1
6391803 Kim et al. May 2002 B1
6395652 Kim et al. May 2002 B2
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6468924 Lee et al. Oct 2002 B2
6509601 Lee et al. Jan 2003 B1
6518167 You et al. Feb 2003 B1
6528430 Kwan et al. Mar 2003 B2
6534395 Werkhoven et al. Mar 2003 B2
6548368 Narwankar et al. Apr 2003 B1
6551893 Zheng et al. Apr 2003 B1
6569501 Chiang et al. May 2003 B2
6576053 Kim et al. Jun 2003 B1
6602784 Sneh Aug 2003 B2
6632478 Gaillard et al. Oct 2003 B2
6638879 Hsieh et al. Oct 2003 B2
6645574 Lee et al. Nov 2003 B1
6689220 Nguyen Feb 2004 B1
6709928 Jenne et al. Mar 2004 B1
6723595 Park Apr 2004 B2
6730614 Lim et al. May 2004 B1
6743738 Todd Jun 2004 B2
6756318 Nguyen et al. Jun 2004 B2
6765303 Krivokapic et al. Jul 2004 B1
6794284 Vaartstra Sep 2004 B2
6809421 Hayasaka et al. Oct 2004 B1
6828218 Kim et al. Dec 2004 B2
6835417 Saenger et al. Dec 2004 B2
6861356 Matsuse et al. Mar 2005 B2
6884466 Kaloyeros et al. Apr 2005 B2
6930058 Hill et al. Aug 2005 B2
6930060 Chou et al. Aug 2005 B2
6933245 Lee et al. Aug 2005 B2
6943092 Kim et al. Sep 2005 B2
6962876 Ahn et al. Nov 2005 B2
6967159 Vaartstra Nov 2005 B2
6987240 Jennings et al. Jan 2006 B2
7001844 Chakravarti et al. Feb 2006 B2
7019159 Dussarrat et al. Mar 2006 B2
7041335 Chung May 2006 B2
7077904 Cho et al. Jul 2006 B2
7081271 Chung et al. Jul 2006 B2
7109129 Papasouliotis Sep 2006 B1
7115166 Vaartstra et al. Oct 2006 B2
7115528 Vaartstra et al. Oct 2006 B2
7122222 Xiao et al. Oct 2006 B2
7122464 Vaartstra Oct 2006 B2
7125815 Vaartstra Oct 2006 B2
7132353 Xia et al. Nov 2006 B1
7141278 Koh et al. Nov 2006 B2
7148155 Tarafdar et al. Dec 2006 B1
7151039 Lee et al. Dec 2006 B2
7172792 Wang et al. Feb 2007 B2
7176084 Lee et al. Feb 2007 B2
7205187 Leith et al. Apr 2007 B2
7223649 Oh et al. May 2007 B2
7235484 Nguyen et al. Jun 2007 B2
7241686 Marcadal et al. Jul 2007 B2
7244668 Kim Jul 2007 B2
7250083 Sneh Jul 2007 B2
7259050 Chen et al. Aug 2007 B2
7261919 Mehregany et al. Aug 2007 B2
7294582 Haverkort et al. Nov 2007 B2
7297641 Todd et al. Nov 2007 B2
7300885 Hasebe et al. Nov 2007 B2
7314835 Ishizaka et al. Jan 2008 B2
7341959 Brcka Mar 2008 B2
7351668 Chou et al. Apr 2008 B2
7361538 Luan et al. Apr 2008 B2
7361611 Chakravarti et al. Apr 2008 B2
7390743 Shin Jun 2008 B2
7393561 Paranjpe Jul 2008 B2
7399388 Moghadam et al. Jul 2008 B2
7419888 Yang et al. Sep 2008 B2
7435454 Brcka Oct 2008 B2
7435684 Lang et al. Oct 2008 B1
7462571 Hasebe et al. Dec 2008 B2
7465669 Iyer et al. Dec 2008 B2
7482247 Papasouliotis et al. Jan 2009 B1
7488694 Kim et al. Feb 2009 B2
7507676 Chou et al. Mar 2009 B2
7510984 Saito et al. Mar 2009 B2
7514366 Trivedi et al. Apr 2009 B2
7521331 Park et al. Apr 2009 B2
7524762 Marcadal et al. Apr 2009 B2
7544615 Vaartstra Jun 2009 B2
7572052 Ravi et al. Aug 2009 B2
7592231 Cheng et al. Sep 2009 B2
7595010 Chakravarti et al. Sep 2009 B2
7601648 Chua et al. Oct 2009 B2
7615438 Ahn et al. Nov 2009 B2
7615449 Chung et al. Nov 2009 B2
7622369 Lee et al. Nov 2009 B1
7622383 Kim et al. Nov 2009 B2
7629267 Wan et al. Dec 2009 B2
7632757 Matsuura Dec 2009 B2
7633125 Lu et al. Dec 2009 B2
7638170 Li Dec 2009 B2
7645484 Ishizaka Jan 2010 B2
7651729 Kim et al. Jan 2010 B2
7651730 Hasebe Jan 2010 B2
7651953 Todd et al. Jan 2010 B2
7651959 Fukazawa et al. Jan 2010 B2
7682657 Sherman Mar 2010 B2
7687409 Ahn et al. Mar 2010 B2
7713592 Nguyen et al. May 2010 B2
7758920 Hasebe et al. Jul 2010 B2
7776733 Hasegawa Aug 2010 B2
7790633 Tarafdar et al. Sep 2010 B1
7825039 Takahashi et al. Nov 2010 B2
7906168 Hasebe et al. Mar 2011 B2
7919416 Lee et al. Apr 2011 B2
7923068 Dickey et al. Apr 2011 B2
7923378 Hasebe et al. Apr 2011 B2
7939455 Clark May 2011 B2
7959985 Ishizaka et al. Jun 2011 B2
7964241 Hasebe et al. Jun 2011 B2
7964513 Todd et al. Jun 2011 B2
7972980 Lee et al. Jul 2011 B2
7981473 Kim et al. Jul 2011 B2
7989365 Park et al. Aug 2011 B2
8034673 Kadonaga et al. Oct 2011 B2
8080290 Hasebe et al. Dec 2011 B2
8101531 Li et al. Jan 2012 B1
8119424 Mather et al. Feb 2012 B2
8119544 Hasebe et al. Feb 2012 B2
8133797 van Schravendijk et al. Mar 2012 B2
8178448 Nodera et al. May 2012 B2
8227032 Dussarrat et al. Jul 2012 B2
8257789 Matsunaga et al. Sep 2012 B2
8278224 Mui et al. Oct 2012 B1
8298628 Yang et al. Oct 2012 B2
8334218 Van Nooten et al. Dec 2012 B2
8357619 Hasebe et al. Jan 2013 B2
8366953 Kohno et al. Feb 2013 B2
8383525 Raisanen et al. Feb 2013 B2
8394466 Hong et al. Mar 2013 B2
8524612 Li et al. Sep 2013 B2
8592328 Hausmann et al. Nov 2013 B2
8633050 Pierreux Jan 2014 B2
8637411 Swaminathan et al. Jan 2014 B2
8647993 Lavoie et al. Feb 2014 B2
8669185 Onizawa et al. Mar 2014 B2
8728955 LaVoie et al. May 2014 B2
8728956 LaVoie et al. May 2014 B2
8753984 Murakami et al. Jun 2014 B2
8791034 Shealy et al. Jul 2014 B2
8956983 Swaminathan et al. Feb 2015 B2
8999859 Swaminathan et al. Apr 2015 B2
9070555 Hausmann et al. Jun 2015 B2
9076646 Sims et al. Jul 2015 B2
9214333 Sims et al. Dec 2015 B1
9214334 Swaminathan et al. Dec 2015 B2
9230800 Lavoie et al. Jan 2016 B2
9257274 Kang et al. Feb 2016 B2
9287113 Kang et al. Mar 2016 B2
9355839 Swaminathan et al. May 2016 B2
9355886 Swaminathan et al. May 2016 B2
9373500 Swaminathan et al. Jun 2016 B2
20020076507 Chiang et al. Jun 2002 A1
20030008070 Seutter et al. Jan 2003 A1
20030024477 Okuda et al. Feb 2003 A1
20030143839 Raaijmakers et al. Jul 2003 A1
20030143841 Yang et al. Jul 2003 A1
20030200917 Vaartstra Oct 2003 A1
20040033698 Lee et al. Feb 2004 A1
20040043570 Fujisaki et al. Mar 2004 A1
20040043633 Vaartstra Mar 2004 A1
20040053515 Comita et al. Mar 2004 A1
20040121164 Iacovangelo et al. Jun 2004 A1
20040219746 Vaartstra et al. Nov 2004 A1
20040231799 Lee et al. Nov 2004 A1
20050025885 McSwiney et al. Feb 2005 A1
20050042865 Cabral et al. Feb 2005 A1
20050079661 Cho et al. Apr 2005 A1
20050100670 Dussarrat et al. May 2005 A1
20050109276 Iyer et al. May 2005 A1
20050118837 Todd et al. Jun 2005 A1
20050159017 Kim et al. Jul 2005 A1
20050181535 Yun et al. Aug 2005 A1
20050184397 Gates et al. Aug 2005 A1
20050196977 Saito et al. Sep 2005 A1
20050208718 Lim et al. Sep 2005 A1
20050227017 Senzaki et al. Oct 2005 A1
20050233553 Kountz et al. Oct 2005 A1
20050260347 Narwankar et al. Nov 2005 A1
20050276099 Horng et al. Dec 2005 A1
20050287309 Veerasamy Dec 2005 A1
20050287775 Hasebe et al. Dec 2005 A1
20060008656 Veerasamy Jan 2006 A1
20060030148 Seutter et al. Feb 2006 A1
20060084283 Paranjpe et al. Apr 2006 A1
20060088985 Haverkort et al. Apr 2006 A1
20060165890 Kaushal et al. Jul 2006 A1
20060199357 Wan et al. Sep 2006 A1
20060228868 Ahn et al. Oct 2006 A1
20060286774 Singh et al. Dec 2006 A1
20060286776 Ranish et al. Dec 2006 A1
20060286818 Wang et al. Dec 2006 A1
20070010071 Matsuura Jan 2007 A1
20070065576 Singh et al. Mar 2007 A1
20070087581 Singh et al. Apr 2007 A1
20070134942 Ahn et al. Jun 2007 A1
20070137572 Matsuura et al. Jun 2007 A1
20070167028 Chou et al. Jul 2007 A1
20070215036 Park et al. Sep 2007 A1
20070218701 Shimizu et al. Sep 2007 A1
20070231487 Ishizaka Oct 2007 A1
20070232082 Balseanu et al. Oct 2007 A1
20070251444 Gros-Jean et al. Nov 2007 A1
20070259110 Mahajani et al. Nov 2007 A1
20080014759 Chua et al. Jan 2008 A1
20080038936 Todd et al. Feb 2008 A1
20080063791 Hasebe et al. Mar 2008 A1
20080075881 Won et al. Mar 2008 A1
20080081470 Clark Apr 2008 A1
20080087890 Ahn et al. Apr 2008 A1
20080119057 Chua et al. May 2008 A1
20080123394 Lee et al. May 2008 A1
20080124946 Xiao et al. May 2008 A1
20080131601 Kim et al. Jun 2008 A1
20080138996 Nishizuka Jun 2008 A1
20080139003 Pirzada et al. Jun 2008 A1
20080213479 Chou et al. Sep 2008 A1
20080242116 Clark Oct 2008 A1
20080274302 Hasebe et al. Nov 2008 A1
20080311760 Nodera et al. Dec 2008 A1
20080317972 Hendriks et al. Dec 2008 A1
20080318443 Kim et al. Dec 2008 A1
20090018668 Galbraith Jan 2009 A1
20090039349 Honda Feb 2009 A1
20090041952 Yoon et al. Feb 2009 A1
20090065896 Hwang Mar 2009 A1
20090075490 Dussarrat Mar 2009 A1
20090148625 Yeom et al. Jun 2009 A1
20090155606 Yoon et al. Jun 2009 A1
20090163012 Clark et al. Jun 2009 A1
20090191722 Hasebe et al. Jul 2009 A1
20090203197 Hanawa et al. Aug 2009 A1
20090208880 Nemani et al. Aug 2009 A1
20090278224 Kim et al. Nov 2009 A1
20100022099 Van Nooten et al. Jan 2010 A1
20100025824 Chen et al. Feb 2010 A1
20100096688 Balseanu et al. Apr 2010 A1
20100099236 Kwon et al. Apr 2010 A1
20100099271 Hausmann et al. Apr 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100124618 Kobayashi et al. May 2010 A1
20100124621 Kobayashi et al. May 2010 A1
20100136260 Matsunaga et al. Jun 2010 A1
20100136313 Shimizu et al. Jun 2010 A1
20100151681 Knapp et al. Jun 2010 A1
20100167555 Maula et al. Jul 2010 A1
20100221925 Lee et al. Sep 2010 A1
20100304047 Yang et al. Dec 2010 A1
20100304574 Nodera et al. Dec 2010 A1
20100310791 Shimazu et al. Dec 2010 A1
20110003445 Murata et al. Jan 2011 A1
20110003477 Park et al. Jan 2011 A1
20110014795 Lee et al. Jan 2011 A1
20110014796 Hayashi Jan 2011 A1
20110014798 Mallick et al. Jan 2011 A1
20110064969 Chen et al. Mar 2011 A1
20110086516 Lee et al. Apr 2011 A1
20110139176 Cheung et al. Jun 2011 A1
20110143548 Cheung et al. Jun 2011 A1
20110151142 Seamons et al. Jun 2011 A1
20110151246 Ramon Moreno et al. Jun 2011 A1
20110151674 Tang et al. Jun 2011 A1
20110151678 Ashtiani et al. Jun 2011 A1
20110159202 Matsushita et al. Jun 2011 A1
20110198756 Thenappan et al. Aug 2011 A1
20110201210 Sato et al. Aug 2011 A1
20110215445 Yang et al. Sep 2011 A1
20110256726 LaVoie et al. Oct 2011 A1
20110256734 Hausmann et al. Oct 2011 A1
20110298099 Lee et al. Dec 2011 A1
20110309475 Lee Dec 2011 A1
20120009802 LaVoie et al. Jan 2012 A1
20120009803 Jung et al. Jan 2012 A1
20120021252 Lee Jan 2012 A1
20120028454 Swaminathan et al. Feb 2012 A1
20120028469 Onizawa et al. Feb 2012 A1
20120058282 Hong et al. Mar 2012 A1
20120077349 Li et al. Mar 2012 A1
20120104347 Quick May 2012 A1
20120108079 Mahajani May 2012 A1
20120113672 Dubrow et al. May 2012 A1
20120164846 Ha et al. Jun 2012 A1
20120177841 Thompson Jul 2012 A1
20120193693 Kanaya Aug 2012 A1
20120213940 Mallick Aug 2012 A1
20120280200 Tada et al. Nov 2012 A1
20120282418 Chou et al. Nov 2012 A1
20120315394 Ito Dec 2012 A1
20130040447 Swaminathan et al. Feb 2013 A1
20130058161 Yamanaka et al. Mar 2013 A1
20130058162 Yamanaka et al. Mar 2013 A1
20130071580 Weidman et al. Mar 2013 A1
20130115783 Kim et al. May 2013 A1
20130189854 Hausmann et al. Jul 2013 A1
20130196516 Lavoie et al. Aug 2013 A1
20130252437 Sano et al. Sep 2013 A1
20130309415 Swaminathan et al. Nov 2013 A1
20130319329 Li et al. Dec 2013 A1
20130344248 Clark Dec 2013 A1
20140030444 Swaminathan et al. Jan 2014 A1
20140051262 Lavoie et al. Feb 2014 A9
20140106574 Kang et al. Apr 2014 A1
20140113457 Sims et al. Apr 2014 A1
20140120270 Tour et al. May 2014 A1
20140120737 Swaminathan et al. May 2014 A1
20140134827 Swaminathan et al. May 2014 A1
20140141542 Kang et al. May 2014 A1
20140141626 Hausmann et al. May 2014 A1
20140182619 Goto et al. Jul 2014 A1
20140193983 LaVoie Jul 2014 A1
20140209562 LaVoie et al. Jul 2014 A1
20140216337 Swaminathan et al. Aug 2014 A1
20140264555 Ahn et al. Sep 2014 A1
20140273428 Shero et al. Sep 2014 A1
20140273477 Niskanen et al. Sep 2014 A1
20140273528 Niskanen et al. Sep 2014 A1
20140295084 Shirai et al. Oct 2014 A1
20140302686 Pan et al. Oct 2014 A1
20150031218 Karakawa Jan 2015 A1
20150109814 Chen et al. Apr 2015 A1
20150126042 Pasquale et al. May 2015 A1
20150147483 Fukazawa May 2015 A1
20150159271 Lee et al. Jun 2015 A1
20150170900 LaVoie Jun 2015 A1
20150206719 Swaminathan et al. Jul 2015 A1
20150235835 Swaminathan et al. Aug 2015 A1
20150243708 Ravasio et al. Aug 2015 A1
20150243883 Swaminathan et al. Aug 2015 A1
20150247238 Pasquale et al. Sep 2015 A1
20150249013 Arghavani et al. Sep 2015 A1
20150259791 Hausmann et al. Sep 2015 A1
20160020092 Kang et al. Jan 2016 A1
20160046501 Kverel et al. Feb 2016 A1
20160064211 Swaminathan et al. Mar 2016 A1
20160093484 Marsh Mar 2016 A1
20160118246 Kang et al. Apr 2016 A1
20160148806 Henri et al. May 2016 A1
20160163539 Kang et al. Jun 2016 A9
20160163972 Swaminathan et al. Jun 2016 A1
Foreign Referenced Citations (37)
Number Date Country
1732288 Feb 2006 CN
1926668 Mar 2007 CN
101255548 Sep 2008 CN
101378007 Mar 2009 CN
101416293 Apr 2009 CN
101535524 Sep 2009 CN
101889331 Nov 2010 CN
103137864 Jun 2013 CN
0 277 766 Aug 1988 EP
0 541 212 May 1993 EP
1 703 552 Sep 2006 EP
2 278 046 Jan 2011 EP
4364320 Aug 2009 JP
2010-10497 Jan 2010 JP
2013166965 Aug 2013 JP
2013225655 Oct 2013 JP
10-2001-0111448 Dec 2001 KR
10-2004-0001036 Jan 2004 KR
10-0734748 Jul 2007 KR
10-2009-0057665 Jun 2009 KR
10-2009-0080019 Jul 2009 KR
10-2009-0081396 Jul 2009 KR
201009942 Mar 2010 TW
201042706 Dec 2010 TW
WO 2004032196 Apr 2004 WO
WO 2006026350 Mar 2006 WO
WO 2006104741 Oct 2006 WO
WO 2007118026 Oct 2007 WO
WO 2011130326 Oct 2011 WO
WO 2011130397 Oct 2011 WO
WO 2012040317 Mar 2012 WO
WO 2012048094 Apr 2012 WO
WO 2012087737 Jun 2012 WO
WO 2013032786 Mar 2013 WO
WO 2013043330 Mar 2013 WO
WO 2013065806 May 2013 WO
WO 2013112727 Aug 2013 WO
Non-Patent Literature Citations (130)
Entry
U.S. Appl. No. 14/335,785, filed Jul. 18, 2014, entitled “Methods for Depositing Silicon Oxide.”
U.S. Appl. No. 14/494,914, filed Sep. 24, 2014, entitled “Methods and Apparatuses for Uniform Reduction of the In-Feature Wet Etch Rate of a Silicon Nitride Film Formed by ALD.”
U.S. Appl. No. 14/552,245, filed Nov. 24, 2014, entitled “Method of Depositing Ammonia Free and Chlorine Free Conformal Film.”
U.S. Appl. No. 14/678,736, filed Apr. 3, 2015, entitled “Deposition of Conformal Films by Atomic Layer Deposition and Atomic Layer Etch.”
U.S. Appl. No. 14/935,317, filed Nov. 6, 2015, entitled “Method for Encapsulating a Chalcogenide Material.”
US Office Action dated Mar. 15, 2013 issued in U.S. Appl. No. 13/084,399.
US Final Office Action dated Sep. 13, 2013 issued in U.S. Appl. No. 13/084,399.
US Notice of Allowance dated Jan. 15, 2014 issued in U.S. Appl. No. 13/084,399.
US Office Action dated Jan. 2, 2015 issued in U.S. Appl. No. 14/231,554.
US Final Office Action dated Jun. 10, 2015 issued in U.S. Appl. No. 14/231,554.
US Notice of Allowance dated Aug. 31, 2015 issued in U.S. Appl. No. 14/231,554.
US Office Action dated Sep. 14, 2012 issued in U.S. Appl. No. 13/084,305.
US Final Office Action dated Apr. 25, 2013 issued in U.S. Appl. No. 13/084,305.
US Office Action dated Apr. 13, 2011 issued in U.S. Appl. No. 12/889,132.
US Notice of Allowance dated Sep. 30, 2011 issued in U.S. Appl. No. 12/889,132.
US Office Action dated Aug. 1, 2012 issued in U.S. Appl. No. 13/011,569.
US Final Office Action dated Feb. 26, 2013 issued in U.S. Appl. No. 13/011,569.
US Notice of Allowance dated May 6, 2013 issued in U.S. Appl. No. 13/011,569.
US Office Action dated Apr. 4, 2013 issued U.S. Appl. No. 13/242,084.
US Notice of Allowance dated Jun. 19, 2013 issued U.S. Appl. No. 13/242,084.
US Notice of Allowance dated Sep. 19, 2013 issued U.S. Appl. No. 13/242,084.
US Office Action dated Sep. 21, 2015 issued U.S. Appl. No. 14/607,997.
US Notice of Allowance dated Aug. 7, 2014 issued U.S. Appl. No. 14/133,239.
US Notice of Allowance dated Nov. 26, 2014 issued U.S. Appl. No. 14/133,239.
US Office Action dated Apr. 29, 2013 issued U.S. Appl. No. 13/224,240.
US Final Office Action dated Nov. 22, 2013 issued U.S. Appl. No. 13/224,240.
US Examiner's Answer to Appeal Brief (filed May 22, 2014) Before the Patent Trial and Appeal Board dated Aug. 14, 2014 issued U.S. Appl. No. 13/224,240.
US Office Action dated Jun. 7, 2013 issued U.S. Appl. No. 13/414,619.
US Notice of Allowance dated Jul. 26, 2013, issued U.S. Appl. No. 13/414,619.
US Office Action dated Apr. 11, 2014 issued in U.S. Appl. No. 14/065,334.
US Final Office Action dated Nov. 14, 2014 issued in U.S. Appl. No. 14/065,334.
US Notice of Allowance dated Feb. 17, 2015 issued in U.S. Appl. No. 14/065,334.
US Office Action dated May 24, 2013 issued U.S. Appl. No. 13/472,282.
US Notice of Allowance dated Oct. 4, 2013 issued U.S. Appl. No. 13/472,282.
US Office Action dated May 21, 2014 issued in U.S. Appl. No. 13/607,386.
US Notice of Allowance dated Oct. 8, 2014 issued in U.S. Appl. No. 13/607,386.
US Notice of Allowance dated Nov. 19, 2014 issued in U.S. Appl. No. 13/607,386.
US Office Action dated Jun. 13, 2014 issued in U.S. Appl. No. 13/953,616.
US Final Office Action dated Nov. 24, 2014 issued in U.S. Appl. No. 13/953,616.
US Office Action dated Dec. 11, 2014 issued in U.S. Appl. No. 14/074,596.
US Office Action dated May 15, 2015 issued in U.S. Appl. No. 14/074,617.
US Office Action dated Aug. 14, 2015 issued in U.S. Appl. No. 14/061,587.
US Office Action dated Mar. 2, 2015 issued in U.S. Appl. No. 14/137,860.
US Notice of Allowance dated Oct. 1, 2015 issued in U.S. Appl. No. 14/137,860.
US Notice of Allowance [Supplemental Notice of Allowability] dated Oct. 22, 2015 issued in U.S. Appl. No. 14/137,860.
US Office Action dated Jul. 10, 2014 issued in U.S. Appl. No. 14/144,107.
US Final Office Action dated Jan. 15, 2015 issued in U.S. Appl. No. 14/144,107.
US Notice of Allowance dated Mar. 19, 2015 issued in U.S. Appl. No. 14/144,107.
US Office Action dated Oct. 21, 2015 issued in U.S. Appl. No. 14/194,549.
US Notice of Allowance dated Aug. 5, 2015 issued in U.S. Appl. No. 14/183,287.
US Office Action dated Jul. 2, 2015 issued in U.S. Appl. No. 14/187,145.
US Office Action dated Apr. 13, 2015 issued in U.S. Appl. No. 14/335,785.
US Notice of Allowance dated Aug. 18, 2015 issued in U.S. Appl. No. 14/494,914.
US Office Action dated Oct. 1, 2015 issued in U.S. Appl. No. 14/552,245.
PCT International Search Report and Written Opinion, dated Oct. 20, 2011, issued in PCT/US2011/032186.
PCT International Preliminary Report on Patentability, dated Oct. 26, 2012, issued in PCT/US2011/032186.
PCT Invitation to Pay Additional Fees; Communication Re Partial International Search, dated Dec. 16, 2011, issued in PCT/US2011/032303.
PCT International Search Report and Written Opinion, dated Feb. 20, 2012, issued in PCT/US2011/032303.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 26, 2012, issued in PCT/US2011/032303.
PCT International Search Report and Written Opinion dated May 2, 2012 issued in Application No. PCT/US2011/052537.
PCT International Preliminary Report on Patentability and Written Opinion dated Apr. 4, 2013 issued in Application No. PCT/US2011/052537.
Chinese First Office Action dated Jun. 2, 2015 issued in CN 201180045808.6.
PCT International Search Report and Written Opinion dated Dec. 18, 2012, issued in Application No. PCT/US2012/052769.
PCT International Preliminary Report on Patentability and Written Opinion dated Apr. 3, 2014, issued in Application No. PCT/US2012/052769.
PCT International Search Report and Written Opinion dated Feb. 28, 2013, issued in Application No. PCT/US2012/051740.
PCT International Preliminary Report on Patentability and Written Opinion dated Mar. 13, 2014, issued in Application No. PCT/US2012/051740.
Chinese First Office Action [no translation] dated Nov. 6, 2015 issued in CN 201280053888.4.
European Extended Search Report dated Apr. 14, 2014 issued in EP 13 15 2046.
Korean Notice of Provisional Rejection dated Dec. 6, 2013 issued in KR 10-2012-0043797.
Korean Final Office Action dated Aug. 18, 2014 issued in KR 10-2012-0043797.
Korean Decision from the Patent Tribunal of the KIPO [description] dated May 26, 2015 issued in KR 10-2012-0043797.
PCT International Search Report and Written Opinion dated May 27, 2013, issued in Application No. PCT/US2013/022977.
PCT International Preliminary Report on Patentability and Written Opinion dated Aug. 7, 2014, issued in Application No. PCT/US2013/022977.
Cecchi et al., (2007) “Ultra-thin conformal pore-sealing of low-k materials by plasma-assisted ALD,” University of New Mexico, Albuquerque, NM, Sandia National Labs, Albuquerque, NM, 1 page.
Choi, Gyu-Jin et al., (2009) “Plasma-enhanced atomic layer deposition of TiO2 and AI-doped TiO2 films using N2O and O2 reactants,” Journal of the Electrochemical Society, 156(9):G138-G143.
Hausmann et al. (2002) “Atomic Layer Deposition of Hafnium and Zirconium Oxides Using Metal Amide Precursors,” Chem. Mater. 14(10):4350-4358.
Kim, H., et al., (2002) “The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties,” Mat. Res. Soc. Symp. Proc. 716:B8.5.1-B8.5.6.
King, Sean W., (Jul./Aug. 2011) “Plasma enhanced atomic layer deposition of SiNx:H and SiO2,” J. Vac. Sci. Technol. A29(4):041501-1 through 041501-9 (9 pages).
Ko, Myoung-Gyun, et al., “Characterization of ruthenium thin film deposited by rf-direct plasma atomic layer deposition,” 209th ECS Meeting, Abstract #50, p. 1 [Downloaded on Jun. 9, 2014].
Ko, Myoung-Gyun, et al., (Oct. 2008) “Correlation of Carbon Content with the Thermal Stability of Ruthenium Deposited by Using RF-Direct Plasma-Enhanced Atomic-Layer Deposition,” Journal of the Korean Physical Society, 53(4):2123-2128.
Lavareda et al., (2004) “Properties of a-Si:H TFTs using silicon carbonitride as dielectric,” Journal of Non-Crystalline Solids, 338-340:797-801.
Lee et al., (2005) “Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O,” School of Materials Science and Engineering, and Inter-university Semiconductor Research Center, Seoul National University, Microelectronic Engineering 80:158-161.
Lee, Jong Ju, (2005) “Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes,” Materials Letters, 59:615-617.
Li, Xingcun, et al., (2011) “Atomic Layer Deposition A1203 Thin Films in Magnetized Radio Frequency Plasma Source,” Physics Procedia 18:100-106.
Lin et al., (1998) “Silicon Nitride Films Deposited by Atmospheric Pressure Chemical Vapor Deposition,” Materials Research Society Symposium Proceedings vol. 495, Chemical Aspects of Electronic Ceramics Processing, Symposium held Nov. 30-Dec. 4, 1997, Boston, Massachusetts, U.S.A., 8 pages.
Man P.F. et al., (Feb. 11-15, 1996) “Elimination of Post-Release Adhesion in Microstructures Using Conformal Fluorocarbon Coatings,” MEMS '96 Proceedings, IEEE, pp. 55-60.
Nguyen, S.V. et al., (Jan./Mar. 1999) “Plasma-assist chemical vapor deposition of dielectric thin films for ULSI semiconductor circuits,” IBM J.Res.Develop. 43(1.2):5-38.
Plasma Enhanced Atomic Layer Deposition (PEALD), Website: http://www.asm.com/index.php?option=com—content&task=view&id=19&Itemid=161 (2010), 1 page.
“PlasmaPro™ NGP® 80 Range,” Oxford Instruments (2010), 8 pages.
Puurunen, Riikka L. (2005) “Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process,” Journal of Applied Physics, 97:121301-1-121301-52.
van der Straten et al. (2004) “Atomic layer deposition of tantalum nitride for ultrathin liner applications in advanced copper metallization schemes,” Journal of Materials Research, 19(2):447-453.
U.S. Appl. No. 15/015,952, filed Feb. 4, 2016, entitled “Methods for Depositing Films on Sensitive Substrates”.
U.S. Appl. No. 14/987,542, filed Jan. 4, 2016, entitled “Gapfill of Variable Aspect Ratio Features With a Composite PEALD and PECVD Method”.
U.S. Appl. No. 14/932,869, filed Nov. 4, 2015, entitled “High Growth Rate Process for Conformal Aluminum Nitride.”
U.S. Appl. No. 14/935,317 , filed Nov. 6, 2015, entitled “Method for Encapsulating a Chalcogenide Material.”
US Office Action dated Dec. 24, 2015 issued in U.S. Appl. No. 14/074,596.
US Notice of Allowance dated Nov. 20, 2015 issued in U.S. Appl. No. 14/074,617.
US Notice of Allowance dated Feb. 11, 2016 issued in U.S. Appl. No. 14/061,587.
US Final Office Action dated Dec. 16, 2015 issued in U.S. Appl. No. 14/187,145.
US Notice of Allowance dated Feb. 1, 2016 issued in U.S. Appl. No. 14/552,245.
Chinese First Office Action dated Nov. 19, 2015 issued in CN 201280046487.6.
Taiwan Notice of Allowance and Search Report dated Dec. 18, 2015 issued in TW 101134692.
Taiwan Office Action and Search Report dated Jan. 27, 2016 issued in TW 101134692.
U.S. Appl. No. 15/224,347, filed Jul. 29, 2016, entitled “Plasma Assisted Atomic Layer Deposition of Multi-Layer Films for Patterning Applications.”
U.S. Appl. No. 15/178,474, filed Jun. 9, 2016, entitled “Plasma Assisted Atomic Layer Deposition Titanium Oxide for Patterning Applications.”
U.S. Appl. No. 15/201,221, filed Jul. 1, 2016, entitled “Selective Atomic Layer Deposition With Post-Dose Treatment.”
US Office Action dated Jul. 1, 2016 issued in U.S. Appl. No. 13/963,212.
US Final Office Action dated Mar. 18, 2016 issued U.S. Appl. No. 14/607,997.
US Notice of Allowance dated Jun. 16, 2016 issued U.S. Appl. No. 14/607,997.
US Patent Board Decision on Appeal Before the Patent Trial and Appeal Board (Examiner Affirmed) dated Aug. 11, 2016 issued U.S. Appl. No. 13/224,240.
US Notice of Allowance [Supplemental Notice of Allowability] dated Mar. 1, 2016 issued in U.S. Appl. No. 14/061,587.
US Office Action dated Aug. 1, 2016 issued in U.S. Appl. No. 14/932,869.
US Notice of Allowance dated Feb. 25, 2016 issued in U.S. Appl. No. 14/187,145.
US Office Action dated Jun. 14, 2016 issued in U.S. Appl. No. 15/019,904.
US Final Office Action dated Aug. 24, 2016 issued in U.S. Appl. No. 14/335,785.
US Office Action dated May 24, 2016 issued in U.S. Appl. No. 14/552,245.
US Notice of Allowance dated Jul. 15, 2016 issued in U.S. Appl. No. 14/678,736.
US Office Action dated Apr. 18, 2016 issued in U.S. Appl. No. 14/935,317.
Taiwan Office Action dated Apr. 27, 2016 issued in Application No. TW 100113041.
Chinese Second Office Action dated Feb. 2, 2016 issued in Application No. CN 201180045808.6.
Taiwan Office Action dated May 5, 2016 issued in TW 100134208.
Chinese Second Office Action dated Aug. 22, 2016 issued in Application No. CN 201280046487.6.
Chinese Second Office Action dated Aug. 16, 2016 issued in Application No. CN 201280053888.4.
Chinese First Office Action dated May 19, 2016 issued in CN 201310021460.8.
Chinese First Office Action dated Feb. 22, 2016 issued in Application No. CN 201380006994.1.
Taiwan Office Action and Search Report dated Jul. 20, 2016 issued in Application No. TW 102102879.
Chinese First Office Action dated Apr. 11, 2016 issued in Application No. CN 201510086588.1.
Japanese Office Action dated Apr. 19, 2016 issued in Application No. JP 2015-21804.
Korean First Office Action dated Feb. 19, 2016, issued in Application No. KR 10-2015-0022610.
Korean Final Office Action dated Jun. 29, 2016, issued in Application No. KR 10-2015-0022610.
Related Publications (1)
Number Date Country
20160148800 A1 May 2016 US