3D semiconductor device and structure with metal layers

Information

  • Patent Grant
  • 11961827
  • Patent Number
    11,961,827
  • Date Filed
    Saturday, December 23, 2023
    4 months ago
  • Date Issued
    Tuesday, April 16, 2024
    16 days ago
  • Inventors
  • Original Assignees
    • Monolithic 3D Inc. (Klamath Falls, OR, US)
  • Examiners
    • Le; Thao P
    Agents
    • PatentPC
    • Tran; Bao
Abstract
A semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error; and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where processing of the device includes use of a carrier wafer.
Description
BACKGROUND OF THE INVENTION
1. Field of the Invention

This application relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Circuit (3D-IC) devices and fabrication methods.


2. Discussion of Background Art

Over the past 40 years, there has been a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling”; i.e., component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today is that wires dominate the performance, functionality and power consumption of ICs.


3D stacking of semiconductor devices or chips is one avenue to tackle the wire issues. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.


There are many techniques to construct 3D stacked integrated circuits or chips including:

    • Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,406,670, 9,460,978, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,799,761, 9,871,034, 9,953,870, 9,953,994, 10,014,292, 10,014,318, 10,515,981, 10,892,016, 10,991,675, 11,121,121, 11,502,095, 10,892,016, 11,270,988; and pending U.S. Patent Application Publications and applications, Ser. Nos. 14/642,724, 15/150,395, 15/173,686, 62/651,722; 62/681,249, 62/713,345, 62/770,751, 62/952,222, 62/824,288, 63/075,067, 63/091,307, 63/115,000, 63/220,443, 2021/0242189, 2020/0013791; and PCT Applications (and Publications): PCT/US2010/052093, PCT/US2011/042071 (WO2012/015550), PCT/US2016/52726 (WO2017053329), PCT/US2017/052359 (WO2018/071143), PCT/US2018/016759 (WO2018144957), PCT/US2018/52332(WO 2019/060798), PCT/US2021/44110, and PCT/US22/44165. The entire contents of all of the foregoing patents, publications, and applications are incorporated herein by reference.
    • Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977, 10,943,934, 10,998,374, 11,063,071, and 11,133,344. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.


An early work on monolithic 3D was presented in U.S. Pat. No. 7,052,941 and follow-on work in related patents includes U.S. Pat. No. 7,470,598. A technique which has been used over the last 20 years to build SOI wafers, called “Smart-Cut” or “Ion-Cut”, was presented in U.S. Pat. No. 7,470,598 as one of the options to perform layer transfer for the formation of a monolithic 3D device. Yet in a related patent disclosure, by the same inventor of U.S. Pat. No. 7,470,598, U.S. application Ser. No. 12/618,542 it states: “In one embodiment of the previous art, exfoliating implant method in which ion-implanting Hydrogen into the wafer surface is known. But this exfoliating implant method can destroy lattice structure of the doped layer 400 by heavy ion-implanting. In this case, to recover the destroyed lattice structure, a long time thermal treatment in very high temperature is required. This long time/high temperature thermal treatment can severely deform the cell devices of the lower region.” Moreover, in U.S. application Ser. No. 12/635,496 by the same inventor is stated: [0034]Among the technologies to form the detaching layer, one of the well-known technologies is Hydrogen Exfoliating Implant. This method has a critical disadvantage which can destroy lattice structures of the substrate because it uses high amount of ion implantation. In order to recover the destroyed lattice structures, the substrate should be cured by heat treatment in very high temperature long time. This kind of high temperature heat treatment can damage cell devices in the lower regions.” Furthermore, in U.S. application Ser. No. 13/175,652 it is stated: “Among the technologies to form the detaching layer 207, one technology is called as exfoliating implant in which gas phase ions such as hydrogen is implanted to form the detaching layer, but in this technology, the crystal lattice structure of the multiple doped layers 201, 203, 205 can be damaged. In order to recover the crystal lattice damage, a thermal treatment under very high temperature and longtime should be performed, and this can strongly damage the cell devices underneath.” In fact the Inventor had posted a video infomercial on his corporate website, and was up-loaded on YouTube on Jun. 1, 2011, clearly stating in reference to the Smart Cut process: “The wafer bonding and detaching method is well-known SOI or Semiconductor-On-Insulator technology. Compared to conventional bulk semiconductor substrates, SOI has been introduced to increase transistor performance. However, it is not designed for 3D IC either. Let me explain the reasons . . . . The dose of hydrogen is too high and, therefore, semiconductor crystalline lattices are demolished by the hydrogen ion bombardment during the hydrogen ion implantation. Therefore, typically annealing at more than 1,100 Celsius is required for curing the lattice damage after wafer detaching. Such high temperature processing certainly destroys underlying devices and interconnect layers. Without high temperature annealing, the transferred layer should be the same as a highly defective amorphous layer. It seems that there is no way to cure the lattice damage at low temperatures. BeSang has disruptive 3D layer formation technology and it enables formation of defect-free single crystalline semiconductor layer at low temperatures . . . ”


In at least one embodiment presented herein, an innovative method to repair the crystal lattice damage caused by the hydrogen implant is described.


Regardless of the technique used to construct 3D stacked integrated circuits or chips, heat removal is a serious issue for this technology. For example, when a layer of circuits with power density P is stacked atop another layer with power density P, the net power density is 2P. Removing the heat produced due to this power density is a significant challenge. In addition, many heat producing regions in 3D stacked integrated circuits or chips have a high thermal resistance to the heat sink, and this makes heat removal even more difficult.


Several solutions have been proposed to tackle this issue of heat removal in 3D stacked integrated circuits and chips. These are described in the following paragraphs.


Publications have suggested passing liquid coolant through multiple device layers of a 3D-IC to remove heat. This is described in “Microchannel Cooled 3D Integrated Systems”, Proc. Intl. Interconnect Technology Conference, 2008 by D. C. Sekar, et al., and “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008 by T. Brunschweiler, et al.


Thermal vias have been suggested as techniques to transfer heat from stacked device layers to the heat sink. Use of power and ground vias for thermal conduction in 3D-ICs has also been suggested. These techniques are described in “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), May 2009 by Hao Yu, Joanna Ho and Lei He.


Other techniques to remove heat from 3D Integrated Circuits and Chips will be beneficial.


Additionally the 3D technology according to some embodiments of the invention may enable some very innovative IC alternatives with reduced development costs, increased yield, and other illustrative benefits.


SUMMARY

The invention may be directed to multilayer or Three Dimensional Integrated Circuit (3D IC) devices and fabrication methods.


In one aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer over the first silicon layer; a second metal layer over the first metal layer; a first level including a plurality of transistors over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer over the first level; a fourth metal layer over the third metal layer, where the fourth metal layer is aligned to the first metal layer with less than 40 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm.


In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer over the first silicon layer, a second metal layer over the first metal layer, a first level including a plurality of transistors over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer over the first level; a fourth metal layer over the third metal layer, where the fourth metal layer is aligned to the first metal layer with less than 40 nm alignment error, and a via disposed through the first level, where the via includes tungsten.


In another aspect, a 3D semiconductor device, the device including: a first level overlaid by a second level, the first level including first circuits, where the first circuits include single crystal first transistors and include first interconnections, where the second level includes second circuits, the second circuits include single crystal second transistors and include second interconnections, where the first circuit is aligned to the second circuit with less than 200 nm misalignment; and a Global Power distribution network, where the first level includes at least one Local Power distribution network, where the Global Power distribution network distributes power to the Local Power distribution network, where the Global Power distribution network includes wires including an at least 50% greater conductivity than wires of the Local Power distribution network.


In another aspect, a semiconductor device, the device including: a first silicon layer including single crystal silicon; a first metal layer over the first silicon layer, second metal layer over the first metal layer, a first level including a plurality of transistors over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer over the first level; a fourth metal layer over the third metal layer, where the fourth metal layer is aligned to the first metal layer with less than 40 nm alignment error, a global power distribution network and a local power distribution network, where the global power distribution network includes the fourth metal layer, and where the local power distribution network includes the third metal layer.


In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer, forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than ten microns, where the device includes an upper most semiconductor level, where the upper most semiconductor level includes Input/Output (“I/O”) circuits designed to connect the device to external devices, and where the bonding is hybrid bonding.


In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than ten microns; and forming heat removal paths designed to remove heat from the second circuits to an external surface of the 3D semiconductor device, where the bonding is hybrid bonding.


In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than thirty microns, where the bonding includes oxide to oxide bonds, where the bonding includes metal to metal bonds, and where at least one of the metal to metal bond structures has a pitch of less than 1 micron from another of the metal to metal bond structures.


In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than thirty microns, where the device includes an upper most semiconductor level, where the upper most semiconductor level includes Input/Output (“I/O”) circuits designed to connect the device to external devices, and where the bonding is hybrid bonding.


In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than thirty microns; and forming heat removal paths designed to remove heat from the second circuits to an external surface of the 3D semiconductor device, where the bonding is hybrid bonding.


In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a power distribution network, where the power distribution network includes the third metal layer, and a plurality of connection paths from the power distribution network to the plurality of transistors.


In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and connection pads disposed over a portion of the fourth metal layer, where the connection pads are capable to include connection to external devices.


In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the first level, where the first level thickness is less than two microns.


In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon and plurality of first transistors; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, a second level including a plurality of second transistors, the first level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the second level, where the second level thickness is less than two microns, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than the typical thickness of the second metal layer by at least 50%.


In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, a second level including a plurality of second transistors, the first level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the second level, where the via has a diameter of less than 450 nm, where the fifth metal layer include global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.


In another aspect, a semiconductor device, the device including a first silicon layer including a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, a second level including a plurality of second transistors, the first level disposed over the third metal layer, a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the second level, where the via has a diameter of less than 450 nm, where at least one of the plurality of second transistors includes a metal gate, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.


In another aspect, a semiconductor device, the device including: a first silicon layer comprising a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer; a third metal layer disposed over the second metal layer, a second level comprising a plurality of second transistors, the second level disposed over the third metal layer, a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, a connection path from the fifth metal layer to the second metal layer, where the connection path includes a via disposed through the second level, where the via has a diameter of less than 450 nm, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.


In another aspect, a semiconductor device, the device including: a first silicon layer comprising a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a third metal layer disposed over the second metal layer; a second level comprising a plurality of second transistors, the second level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer; a connection path from the fifth metal layer to the second metal layer, where the connection path includes a via disposed through the second level, where the via has a diameter of less than 450 nm, where a typical thickness of the second metal layer is greater than a typical thickness of the third metal layer by at least 50%, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.


In another aspect, a semiconductor device, the device including: a first silicon layer comprising a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a third metal layer disposed over the second metal layer; a second level comprising a plurality of second transistors, the second level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer; a connection path from the fifth metal layer to the second metal layer, where the connection path includes a via disposed through the second level, where the via has a diameter of less than 450 nm, where the second level includes an array of memory cells, where each of the memory cells includes at least one of the plurality of second transistors, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.


In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the first level, where the first level thickness is less than two microns.


In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and connection pads disposed over a portion of the fourth metal layer, where the connection pads are capable to include connection to external devices.


In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a power distribution network, where the power distribution network includes the third metal layer, and a plurality of connection paths from the power distribution network to the plurality of transistors.


In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first single crystal silicon layer; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the first level, where the fourth metal layer provides a global power distribution, and where a typical thickness of the fourth metal layer is at least 50% greater than a typical thickness of the third metal.


In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first single crystal silicon layer; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and connection pads disposed under a portion of the first metal layer, where the connection pads are capable to include connection to external devices.


In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first single crystal silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and where at least one of the plurality of transistors includes a two sided gate.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 100 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where a typical thickness of the fourth metal layer is at least 50% greater than a typical thickness of the third metal.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and where the fourth metal layer is formed into heat removal paths designed to remove heat from the plurality of transistors to an external surface of the device.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 100 nm alignment error, and connection pads disposed above a portion of the fourth metal layer, where the connection pads are capable to include connection to external devices.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where the via is directly connected to at least one of the plurality of transistors.


In another aspect, a semiconductor device, the device including: a substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where at least a portion of the third metal layer and a portion of the fourth metal layer are part of heat removal paths, and where the heat removal paths are designed to remove heat from the plurality of transistors to an external surface of the device.


In another aspect, a semiconductor device, the device including: a substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, a global power distribution network; and a local power distribution network, where the global power distribution network includes the fourth metal layer, where the local power distribution network includes the third metal layer, and where a typical thickness of the fourth metal layer is at least 50% greater than a typical thickness of the third metal.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where the device includes at least one power supply circuit.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where a portion of the third metal layer and/or a portion of the fourth metal layer are part of heat removal paths, and where an average thickness of the fourth metal layer is greater than an average thickness of the second metal layer by at least 40%.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, a global power distribution network; a local power distribution network, where the global power distribution network includes the fourth metal layer, and where the local power distribution network includes the third metal layer; and connection pads disposed over a portion of the fourth metal layer, where the connection pads are capable to provide connection to external devices.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where processing of the device includes use of a carrier wafer.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a single crystal layer and a plurality of transistors, the first level disposed over the second metal layer, where an average thickness of the single crystal layer is less than one micron; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, and where processing of the single crystal layer to the thickness includes use of at least one etch rate selectivity.


In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, a global power distribution network; a local power distribution network, where the global power distribution network includes the fourth metal layer, and where the local power distribution network includes the third metal layer; and at least one direct contact, where the at least one direct contact connects at least one of the plurality of transistors to the third metal layer.





BRIEF DESCRIPTION OF THE DRAWINGS

Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:



FIG. 1 is an exemplary drawing illustration of a 3D integrated circuit;



FIG. 2 is an exemplary drawing illustration of another 3D integrated circuit;



FIG. 3 is an exemplary drawing illustration of the power distribution network of a 3D integrated circuit;



FIGS. 4A-4G are exemplary drawing illustrations of the integration of a shield/heat sink layer in a 3D-IC;



FIGS. 5A-5H are exemplary drawing illustrations of a process flow for manufacturing fully depleted MOSFET (FD-MOSFET) with an integrated shield/heat sink layer;



FIG. 6 shows a junction-less transistor as a switch for logic applications (prior art);



FIGS. 7A-7M show a one-mask per layer 3D floating body DRAM;



FIGS. 8A-8J show a zero-mask per layer 3D resistive memory with a junction-less transistor,



FIGS. 9A-9G show a zero-mask per layer 3D charge-trap memory;



FIGS. 10A-10B show periphery on top of memory layers;



FIGS. 11A-11E show polysilicon select devices for 3D memory and peripheral circuits at the bottom according to some embodiments of the current invention;



FIGS. 12A-12F show polysilicon select devices for 3D memory and peripheral circuits at the top according to some embodiments of the current invention;



FIGS. 13A-13D are exemplary drawing illustrations of at least one layer of connections below a layer of transistors, and macro-cell formation





DETAILED DESCRIPTION

Various embodiments of the invention are now described with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the appended claims.


Some drawing figures may describe process flows for building devices. The process flows, which may be a sequence of steps for building a device, may have many structures, numerals and labels that may be common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in the previous steps' figures.



FIG. 1 illustrates a 3D integrated circuit. Two crystalline layers, 0104 and 0116, which may include semiconductor materials such as, for example, mono-crystalline silicon, germanium, GaAs, InP, and graphene, are shown. For this illustration, mono-crystalline (single crystal) silicon may be used. Silicon layer 0116 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum. Silicon layer 0104 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum; however, due to strength considerations, silicon layer 0104 may also be of thicknesses greater than 100 um, depending on, for example, the strength of bonding to heat removal apparatus 0102. Silicon layer 0104 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0114, gate dielectric region 0112, source and drain junction regions (not shown), and shallow trench isolation (STI) regions 0110. Silicon layer 0116 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0134, gate dielectric region 0132, source and drain junction regions (not shown), and shallow trench isolation (STI) regions 0130. A through-silicon via (TSV) 0118 could be present and may have an associated surrounding dielectric region 0120. Wiring layers 0108 for silicon layer 0104 and wiring dielectric regions 0106 may be present and may form an associated interconnect layer or layers. Wiring layers 0138 for silicon layer 0116 and wiring dielectric 0136 may be present and may form an associated interconnect layer or layers.


Through-silicon via (TSV) 0118 may connect to wiring layers 0108 and wiring layers 0138 (not shown). The heat removal apparatus 0102 may include a heat spreader and/or a heat sink. The heat removal problem for the 3D integrated circuit shown in FIG. 1 is immediately apparent. The silicon layer 0116 is far away from the heat removal apparatus 0102, and it may be difficult to transfer heat among silicon layer 0116 and heat removal apparatus 0102. Furthermore, wiring dielectric regions 0106 may not conduct heat well, and this increases the thermal resistance among silicon layer 0116 and heat removal apparatus 0102. Silicon layer 0104 and silicon layer 0116 may be may be substantially absent of semiconductor dopants to form an undoped silicon region or layer, or doped, such as, for example, with elemental or compound species that form a p+, or p, or p−, or n+, or n, or n− silicon layer or region. The heat removal apparatus 0102 may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.



FIG. 2 illustrates an exemplary 3D integrated circuit that could be constructed, for example, using techniques described in U.S. Pat. Nos. 8,273,610, 8,557,632, and 8,581,349. The contents of the foregoing patent and applications are incorporated herein by reference. Two crystalline layers, 0204 and 0216, which may include semiconductor materials such as, for example, mono-crystalline silicon, germanium, GaAs, InP, and graphene, are shown. For this illustration, mono-crystalline (single crystal) silicon may be used. Silicon layer 0216 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum. Silicon layer 0204 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum; however, due to strength considerations, silicon layer 0204 may also be of thicknesses greater than 100 um, depending on, for example, the strength of bonding to heat removal apparatus 0202. Silicon layer 0204 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0214, gate dielectric region 0212, source and drain junction regions (not shown for clarity) and shallow trench isolation (STI) regions 0210. Silicon layer 0216 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0234, gate dielectric region 0232, source and drain junction regions (not shown for clarity), and shallow trench isolation (STI) regions 0222. It can be observed that the STI regions 0222 can go right through to the bottom of silicon layer 0216 and provide good electrical isolation. This, however, may cause challenges for heat removal from the STI surrounded transistors since STI regions 0222 are typically composed of insulators that do not conduct heat well. Therefore, the heat spreading capabilities of silicon layer 0216 with STI regions 0222 are low. A through-layer via (TLV) 0218 may be present and may include an associated surrounding dielectric region 0220. Wiring layers 0208 for silicon layer 0204 and wiring dielectric regions 0206 may be present and may form an associated interconnect layer or layers. Wiring layers 0238 for silicon layer 0216 and wiring dielectric 0236 may be present and may form an associated interconnect layer or layers. Through-layer via (TL V) 0218 may connect to wiring layers 0208 and wiring layers 0238 (not shown). The heat removal apparatus 0202 may include a heat spreader and/or a heat sink. The heat removal problem for the 3D integrated circuit shown in FIG. 2 is immediately apparent. The silicon layer 0216 may be far away from the heat removal apparatus 0202, and it may be difficult to transfer heat among silicon layer 0216 and heat removal apparatus 0202. Furthermore, wiring dielectric regions 0206 may not conduct heat well, and this increases the thermal resistance among silicon layer 0216 and heat removal apparatus 0202. The heat removal challenge is further exacerbated by the poor heat spreading properties of silicon layer 0216 with STI regions 0222. Silicon layer 0204 and silicon layer 0216 may be may be substantially absent of semiconductor dopants to form an undoped silicon region or layer, or doped, such as, for example, with elemental or compound species that form a p+, or p, or p−, or n+, or n, or n− silicon layer or region. The heat removal apparatus 0202 may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.



FIG. 3 illustrates how the power or ground distribution network of a 3D integrated circuit could assist heat removal. FIG. 3 illustrates an exemplary power distribution network or structure of the 3D integrated circuit. As shown in FIGS. 1 and 2, a 3D integrated circuit, could, for example, be constructed with two silicon layers, first silicon layer 0304 and second silicon layer 0316. The heat removal apparatus 0302 could include, for example, a heat spreader and/or a heat sink. The power distribution network or structure could consist of a global power grid 0310 that takes the supply voltage (denoted as Von) from the chip/circuit power pads and transfers Von to second local power grid 0308 and first local power grid 0306, which transfers the supply voltage to logic/memory cells, transistors, and/or gates such as second transistor 0314 and first transistor 0315. Second layer vias 0318 and first layer vias 0312, such as the previously described TSV or TL V, could be used to transfer the supply voltage from the global power grid 0310 to second local power grid 0308 and first local power grid 0306. The global power grid 0310 may also be present among first silicon layer 0304 and second silicon layer 0316. The 3D integrated circuit could have a similarly designed and laid-out distribution networks, such as for ground and other supply voltages, as well. The power grid may be designed and constructed such that each layer or strata of transistors and devices may be supplied with a different value Vdd. For example, first silicon layer 0304 may be supplied by its power grid to have a Vdd value of 1.0 volts and second silicon layer 0316 a Vdd value of 0.8 volts. Furthermore, the global power grid 0310 wires may be constructed with substantially higher conductivity, for example 30% higher, 50% higher, 2× higher, than local power grids, for example, such as first local power grid 0306 wires and second local power grid 0308 wires. The thickness, linewidth, and material composition for the global power grid 0310 wires may provide for the higher conductivity, for example, the thickness of the global power grid 0310 wires may be twice that of the local power grid wires and/or the linewidth of the global power grid 0310 wires may be 2× that of the local power grid wires. Moreover, the global power grid 0310 may be optimally located in the top strata or layer of transistors and devices.


Typically, many contacts may be made among the supply and ground distribution networks and first silicon layer 0304. Due to this, there could exist a low thermal resistance among the power/ground distribution network and the heat removal apparatus 0302. Since power/ground distribution networks may be typically constructed of conductive metals and could have low effective electrical resistance, the power/ground distribution networks could have a low thermal resistance as well. Each logic/memory cell or gate on the 3D integrated circuit (such as, for example, second transistor 0314) is typically connected to VDD and ground, and therefore could have contacts to the power and ground distribution network. The contacts could help transfer heat efficiently (for example, with low thermal resistance) from each logic/memory cell or gate on the 3D integrated circuit (such as, for example, second transistor 0314) to the heat removal apparatus 0302 through the power/ground distribution network and the silicon layer 0304. Silicon layer 0304 and silicon layer 0316 may be may be substantially absent of semiconductor dopants to form an undoped silicon region or layer, or doped, such as, for example, with elemental or compound species that form a p+, or p, or p−, or n+, or n, or n-silicon layer or region. The heat removal apparatus 0302 may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.


Defect annealing, such as furnace thermal or optical annealing, of thin layers of the crystalline materials generally included in 3D-ICs to the temperatures that may lead to substantial dopant activation or defect anneal, for example above 600° C., may damage or melt the underlying metal interconnect layers of the stacked 3D-IC, such as copper or aluminum interconnect layers. An embodiment of the invention is to form 3D-IC structures and devices wherein a heat spreading, heat conducting and/or optically reflecting or absorbent material layer or layers (which may be called a shield) is incorporated between the sensitive metal interconnect layers and the layer or regions being optically irradiated and annealed, or annealed from the top of the 3D-IC stack using other methods. An exemplary generalized process flow is shown in FIGS. 4A-F. An exemplary process flow for a FD-MOSFET with an optional integrated heat shield/spreader is shown in FIGS. 5A-5H. The 3D-ICs may be constructed in a 3D stacked layer using procedures outlined herein (such as, for example, FIGS. 39, 40, 41 of parent now U.S. Pat. No. 8,674,470) and in U.S. Pat. Nos. 8,273,610 and 8,557,632 and 8,581,349. The contents of the foregoing applications are incorporated herein by reference. The topside defect anneal may include optical annealing to repair defects in the crystalline 3D-IC layers and regions (which may be caused by the ion-cut implantation process), and may be utilized to activate semiconductor dopants in the crystalline layers or regions of a 3D-IC, such as, for example, LDD, halo, source/drain implants. The 3D-IC may include, for example, stacks formed in a monolithic manner with thin layers or stacks and vertical connection such as TL Vs, and stacks formed in an assembly manner with thick (>2 um) layers or stacks and vertical connections such as TSVs. Optical annealing beams or systems, such as, for example, a laser-spike anneal beam from a commercial semiconductor material oriented single or dual-beam continuous wave (CW) laser spike anneal DB-LSA system of Ultratech Inc., San Jose, CA, USA (10.6 um laser wavelength), or a short pulse laser (such as 160 ns), with 308 nm wavelength, and large area (die or step-field sized, including 1 cm2) irradiation such as offered by Excico of Gennevilliers, France, may be utilized (for example, see Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012). Additionally, the defect anneal may include, for example, laser anneals (such as suggested in Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64), Ultrasound Treatments (UST), megasonic treatments, and/or microwave treatments. The topside defect anneal ambient may include, for example, vacuum, high pressure (greater than about 760 torr), oxidizing atmospheres (such as oxygen or partial pressure oxygen), and/or reducing atmospheres (such as nitrogen or argon). The topside defect anneal may include temperatures of the layer being annealed above about 400° C. (a high temperature thermal anneal), including, for example, 600° C., 800° C., 900° C., 1000° C., 1050° C., 1100° C. and/or 1120° C., and the sensitive metal interconnect (for example, may be copper or aluminum containing) and/or device layers below may not be damaged by the annealing process, for example, which may include sustained temperatures that do not exceed 200° C., exceed 300° C., exceed 370° C., or exceed 400° C. As understood by those of ordinary skill in the art, short-timescale (nanosceonds to miliseconds) temperatures above 400° C. may also be acceptable for damage avoidance, depending on the acceptor layer interconnect metal systems used. The topside defect anneal may include activation of semiconductor dopants, such as, for example, ion implanted dopants or PLAD applied dopants. It will also be understood by one of ordinary skill in the art that the methods, such as the heat sink/shield layer and/or use of short pulse and short wavelength optical anneals, may allow almost any type of transistor, for example, such as FinFets, bipolar, nanowire transistors, to be constructed in a monolithic 3D fashion as the thermal limit of damage to the underlying metal interconnect systems is overcome. Moreover, multiple pulses of the laser, other optical annealing techniques, or other anneal treatments such as microwave, may be utilized to improve the anneal, activation, and yield of the process. The transistors formed as described herein may include many types of materials; for example, the channel and/or source and drain may include single crystal materials such as silicon, germanium, or compound semiconductors such as GaAs, InP, GaN, SiGe, and although the structures may be doped with the tailored dopants and concentrations, they may still be substantially crystalline or mono-crystalline.


As illustrated in FIG. 4A, a generalized process flow may begin with a donor wafer 400 that may be preprocessed with wafer sized layers 402 of conducting, semi-conducting or insulating materials that may be formed by deposition, ion implantation and anneal, oxidation, epitaxial growth, combinations of above, or other semiconductor processing steps and methods. For example, donor wafer 400 and wafer sized layers 402 may include semiconductor materials such as, for example, mono-crystalline silicon, germanium, GaAs, InP, and graphene. For this illustration, mono-crystalline (single crystal) silicon and associated silicon oriented processing may be used. The donor wafer 400 may be preprocessed with a layer transfer demarcation plane (shown as dashed line) 499, such as, for example, a hydrogen implant cleave plane, before or after (typical) wafer sized layers 402 are formed. Layer transfer demarcation plane 499 may alternatively be formed within wafer sized layers 402. Other layer transfer processes, some described in the referenced patent documents, may alternatively be utilized. Damage/defects to the crystalline structure of donor wafer 400 may be annealed by some of the annealing methods described, for example the short wavelength pulsed laser techniques, wherein the donor wafer 400 wafer sized layers 402 and portions of donor wafer 400 may be heated to defect annealing temperatures, but the layer transfer demarcation plane 499 may be kept below the temperate for cleaving and/or significant hydrogen diffusion. Dopants in at least a portion of wafer sized layers 402 may also be electrically activated. Thru the processing, donor wafer 400 and/or wafer sized layers 402 could be thinned from its original thickness, and their/its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum. Donor wafer 400 and wafer sized layers 402 may include preparatory layers for the formation of horizontally or vertically oriented types of transistors such as, for example, MOSFETS, FinFets, FD-RCATs, BJTs, HEMTs, HBTs, JFETs, JLTs, or partially processed transistors (for example, the replacement gate HKMG process described in the referenced patent documents). Donor wafer 400 and wafer sized layers 402 may include the layer transfer devices and/or layer or layers contained herein this document or referenced patent documents, for example, DRAM Si/SiO2 layers, RCAT doped layers, multi-layer doped structures, or starting material doped or undoped monocrystalline silicon, or polycrystalline silicon. Donor wafer 400 and wafer sized layers 402 may have alignment marks (not shown). Acceptor wafer 410 may be a preprocessed wafer, for example, including monocrystalline bulk silicon or SOI, that may have fully functional circuitry including metal layers (including aluminum or copper metal interconnect layers that may connect acceptor wafer 410 transistors and metal structures, such as TL V landing strips and pads, prepared to connect to the transferred layer devices) or may be a wafer with previously transferred layers, or may be a blank carrier or holder wafer, or other kinds of substrates suitable for layer transfer processing. Acceptor wafer 410 may have alignment marks 490 and metal connect pads or strips 480 and ray blocked metal interconnect 481. Acceptor wafer 410 may include transistors such as, for example, MOSFETS, FinFets, FD-RCATs, BJTs, JFETs, JLTs, HEMTs, and/or HBTs. Acceptor wafer 410 may include shield/heat sink layer 488, which may include materials such as, for example, Aluminum, Tungsten (a refractory metal), Copper, silicon or cobalt based silicides, or forms of carbon such as carbon nanotubes or DLC (Diamond Like Carbon). Shield/heat sink layer 488 may have a thickness range of about 50 nm to about 1 mm, for example, 50 nm, 100 nm, 200 nm, 300 nm, 500 nm, 0.1 um, 1 um, 2 um, and 10 um. Shield/heat sink layer 488 may include isolation openings 486, and alignment mark openings 487, which may be utilized for short wavelength alignment of top layer (donor) processing to the acceptor wafer alignment marks 490. Shield/heat sink layer 488 may include shield path connect 485 and shield path via 483. Shield path via 483 may thermally and/or electrically couple and connect shield path connect 485 to acceptor wafer 410 interconnect metallization layers such as, for example, metal connect pads or strips 480 (shown). If two shield/heat sink layers 488 are utilized, one on top of the other and separated by an isolation layer common in semiconductor BEOL, such as carbon doped silicon oxide, shield path connect 485 may also thermally and/or electrically couple and connect each shield/heat sink layer 488 to the other and to acceptor wafer 410 interconnect metallization layers such as, for example, metal connect pads or strips 480, thereby creating a heat conduction path from the shield/heat sink layer 488 to the acceptor wafer substrate, and a heat sink (shown in FIG. 4F). The topmost shield/heat sink layer may include a higher melting point material, for example a refractory metal such as Tungsten, and the lower heat shield layer may include a lower melting point material such as copper.


As illustrated in FIG. 4B, two exemplary top views of shield/heat sink layer 488 are shown. In shield/heat sink portion 420 a shield area 422 of the shield/heat sink layer 488 materials described above and in the incorporated references may include TL V/TSV connects 424 and isolation openings 486. Isolation openings 486 may be the absence of the material of shield area 422. TLV/TSV connects 424 are an example of a shield path connect 485. TLV/TSV connects 424 and isolation openings 486 may be drawn in the database of the 3D-IC stack and may formed during the acceptor wafer 410 processing. In shield/heat sink portion 430 a shield area 432 of the shield/heat sink layer 488 materials described above and in the incorporated references may have metal interconnect strips 434 and isolation openings 486. Metal interconnect strips 434 may be surrounded by regions, such as isolation openings 486, where the material of shield area 432 may be etched away, thereby stopping electrical conduction from metal interconnect strips 434 to shield area 432 and to other metal interconnect strips. Metal interconnect strips 434 may be utilized to connect/couple the transistors formed in the donor wafer layers, such as 402, to themselves from the ‘backside’ or ‘underside’ and/or to transistors in the acceptor wafer level/layer. Metal interconnect strips 434 and shield/heat sink layer 488 regions such as shield area 422 and shield area 432 may be utilized as a ground plane for the transistors above it residing in the donor wafer layer or layers and/or may be utilized as power supply or back-bias, such as Vdd or Vsb, for the transistors above it residing in the transferred donor wafer layer or layers. The strips and/or regions of shield/heat sink layer 488 may be controlled by second layer transistors when supplying power or other signals such as data or control. For example, as illustrated in FIG. 4G, the topmost shield/heat sink layer 488 may include a topmost shield/heat sink portion 470, which may be configured as fingers or stripes of conductive material, such as top strips 474 and strip isolation spaces 476, which may be utilized, for example, to provide back-bias, power, or ground to the second layer transistors above it residing in the donor wafer layer or layers (for example donor wafer device structures 450). A second shield/heat sink layer 488, below the topmost shield/heat sink layer, may include a second shield/heat sink portion 472, which may be configured as fingers or stripes of conductive material, such as second strips 478 and strip isolation spaces 476, may be oriented in a different direction (although not necessarily so) than the topmost strips, and may be utilized, for example, to provide back-bias, power, or ground to the second layer transistors above it residing in the donor wafer layer or layers (for example donor wafer device structures 450). Openings, such as opening 479, in the topmost shield/heat sink layer may be designed to allow connection from the second layer of transistors to the second shield/heat sink layer, such as from donor wafer device structures 450 to second strips 478. The strips or fingers may be illustrated as orthogonally oriented layer to layer, but may also take other drawn shapes and forms; for example, such as diagonal running shapes as in the X-architecture, overlapping parallel strips, and so on. The portions of the shield/heat sink layer 488 or layers may include a combination of the strip/finger shapes of FIG. 4G and the illustrated via connects and fill-in regions of FIG. 4B.


Bonding surfaces, donor bonding surface 401 and acceptor bonding surface 411, may be prepared for wafer bonding by depositions (such as silicon oxide), polishes, plasma, or wet chemistry treatments to facilitate successful wafer to wafer bonding. The insulation layer, such as deposited bonding oxides and/or before bonding preparation existing oxides, between the donor wafer transferred layer and the acceptor wafer topmost metal layer, may include thicknesses of less than 1 um, less than 500 nm, less than 400 nm, less than 300 nm, less than 200 nm, or less than 100 nm.


As illustrated in FIG. 4C, the donor wafer 400 with wafer sized layers 402 and layer transfer demarcation plane 499 may be flipped over, aligned, and bonded to the acceptor wafer 410. The donor wafer 400 with wafer sized layers 402 may have alignment marks (not shown). Various topside defect anneals may be utilized. For this illustration, an optical beam such as the laser annealing previously described is used. Optical anneal beams may be optimized to focus light absorption and heat generation at or near the layer transfer demarcation plane (shown as dashed line) 499 to provide a hydrogen bubble cleave with exemplary cleave ray 451. The laser assisted hydrogen bubble cleave with the absorbed heat generated by exemplary cleave ray 451 may also include a pre-heat of the bonded stack to, for example, about 100° C. to about 400° C., and/or a thermal rapid spike to temperatures above about 200° C. to about 600° C. The laser assisted ion-cut cleave may provide a smoother cleave surface upon which better quality transistors may be manufactured. Reflected ray 453 may be reflected and/or absorbed by shield/heat sink layer 488 regions thus blocking the optical absorption of ray blocked metal interconnect 481 and potentially enhancing the efficiency of optical energy absorption of the wafer sized layers 402. Additionally, shield/heat sink layer 488 may laterally spread and conduct the heat generated by the topside defect anneal, and in conjunction with the dielectric materials (low heat conductivity) above and below shield/heat sink layer 488, keep the interconnect metals and low-k dielectrics of the acceptor wafer interconnect layers cooler than a damage temperature, such as, for example, 400° C. Annealing of dopants or annealing of damage, such as from the H cleave implant damage, may be accomplished by optical annealing rays, such as repair ray 455. A small portion of the optical energy, such as unblocked ray 457, may hit and heat, or be reflected, by (a few rays as the area of the heat shield openings, such as 424, is small compared to the die or device area) such as metal connect pads or strips 480. Heat generated by absorbed photons from, for example, cleave ray 451, reflected ray 453, and/or repair ray 455 may also be absorbed by shield/heat sink layer 488 regions and dissipated laterally and may keep the temperature of underlying metal layers, such as ray blocked metal interconnect 481, and other metal layers below it, cooler and prevent damage. Shield/heat sink layer 488 may act as a heat spreader. A second layer of shield/heat sink layer 488 (not shown) may have been constructed (during the acceptor wafer 410 formation) with a low heat conductive material sandwiched between the two heat sink layers, such as silicon oxide or carbon doped ‘low-k’ silicon oxides, for improved thermal protection of the acceptor wafer interconnect layers, metal and dielectrics. Electrically conductive materials may be used for the two layers of shield/heat sink layer 488 and thus may provide, for example, a Vss and a Vdd plane for power delivery that may be connected to the donor layer transistors above, as well may be connected to the acceptor wafer transistors below. Shield/heat sink layer 488 may include materials with a high thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), Tungsten (about 173 W/m-K), Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). Shield/heat sink layer 488 may be sandwiched and/or substantially enclosed by materials with a low thermal conductivity less than 10 W/m-K, for example, silicon dioxide (about 1.4 W/m-K). The sandwiching of high and low thermal conductivity materials in layers, such as shield/heat sink layer 488 and under & overlying dielectric layers, spreads the localized heat/light energy of the topside anneal laterally and protect the underlying layers of interconnect metallization & dielectrics, such as in the acceptor wafer, from harmful temperatures or damage. Further, absorber layers or regions, for example, including amorphous carbon, amorphous silicon, and phase changing materials (see U.S. Pat. Nos. 6,635,588 and 6,479,821 to Hawryluk et al. for example), may be utilized to increase the efficiency of the optical energy capture in conversion to heat for the desired annealing or activation processes. For example, pre-processed layers 402 may include a layer or region of optical absorbers such as transferred absorber region 475, acceptor wafer 410 may include a layer or region of optical absorbers such as acceptor absorber region 473, and second device layer 405 may include a layer or region of optical absorbers such as post transfer absorber regions 477 (shown in FIG. 4E). Transferred absorber region 475, acceptor absorber region 473, and/or post transfer absorber regions 477 may be permanent (could be found within the device when manufacturing is complete) or temporary so is removed during the manufacturing process.


As illustrated in FIG. 4D, the donor wafer 400 may be cleaved at or thinned to (or past, not shown) the layer transfer demarcation plane 499, leaving donor wafer portion 403 and the pre-processed layers 402 bonded to the acceptor wafer 410, by methods such as, for example, ion-cut or other layer transfer methods. The layer transfer demarcation plane 499 may instead be placed in the pre-processed layers 402. Optical anneal beams, in conjunction with reflecting layers and regions and absorbing enhancement layers and regions, may be optimized to focus light absorption and heat generation within or at the surface of donor wafer portion 403 and provide surface smoothing and/or defect annealing (defects may be from the cleave and/or the ion-cut implantation), and/or post ion-implant dopant activation with exemplary smoothing/annealing ray 466. The laser assisted smoothing/annealing with the absorbed heat generated by exemplary smoothing/annealing ray 466 may also include a pre-heat of the bonded stack to, for example, about 100° C. to about 400° C., and/or a thermal rapid spike to temperatures above about 200° C. to about 600° C. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process. Reflected ray 463 may be reflected and/or absorbed by shield/heat sink layer 488 regions thus blocking the optical absorption of ray blocked metal interconnect 481. Annealing of dopants or annealing of damage, such as from the H cleave implant damage, may be also accomplished by a set of rays such as repair ray 465. A small portion of the optical energy, such as unblocked ray 467, may hit and heat, or be reflected, by a few rays (as the area of the heat shield openings, such as 424, is small) such as metal connect pads or strips 480. Heat generated by absorbed photons from, for example, smoothing/annealing ray 466, reflected ray 463, and/or repair ray 465 may also be absorbed by shield/heat sink layer 488 regions and dissipated laterally and may keep the temperature of underlying metal layers, such as ray blocked metal interconnect 481, and other metal layers below it, cooler and prevent damage. A second layer of shield/heat sink layer 488 may be constructed with a low heat conductive material sandwiched between the two heat sink layers, such as silicon oxide or carbon doped ‘low-k’ silicon oxides, for improved thermal protection of the acceptor wafer interconnect layers, metal and dielectrics. Shield/heat sink layer 488 may act as a heat spreader. When there may be more than one shield/heat sink layer 488 in the device, the heat conducting layer closest to the second crystalline layer may be constructed with a different material, for example a high melting point material, for example a refractory metal such as tungsten, than the other heat conducting layer or layers, which may be constructed with, for example, a lower melting point material such as aluminum or copper. Electrically conductive materials may be used for the two layers of shield/heat sink layer 488 and thus may provide, for example, a Vss and a Vdd plane that may be connected to the donor layer transistors above, as well may be connected to the acceptor wafer transistors below. Furthermore, some or all of the layers utilized as shield/heat sink layer 488, which may include shapes of material such as the strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits, to provide a programmable back-bias to at least a portion of the second layer transistors. The programmable back bias may utilize a circuit to do so, for example, such as shown in FIG. 17B of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the back-bias is being provided, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal lines connections 1723 and 1724 may include portions of the shield/heat sink layer 488 layer or layers. Moreover, some or all of the layers utilized as shield/heat sink layer 488, which may include strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits to provide a programmable power supply to at least a portion of the second layer transistors. The programmable power supply may utilize a circuit to do so, for example, such as shown in FIG. 17C of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the programmable power supplies are being provided to, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal line connections from Vout to the various second layer transistors may include portions of the shield/heat sink layer 488 layer or layers. The Vsupply on line 17C12 and the control signals on control line 17C16 may be controlled by and/or generated in the second layer transistors (donor, for example donor wafer device structures 450) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 493), or off chip circuits. Furthermore, some or all of the layers utilized as shield/heat sink layer 488, which may include strips or fingers as illustrated in FIG. 4G or other shapes such as those in FIG. 4B, may be utilized to distribute independent power supplies to various portions of the second layer transistors (donor, for example donor wafer device structures 450) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 493) and circuits; for example, one power supply and/or voltage may be routed to the sequential logic circuits of the second layer and a different power supply and/or voltage routed to the combinatorial logic circuits of the second layer. Patterning of shield/heat sink layer 488 or layers can impact their heat-shielding capacity. This impact may be mitigated, for example, by enhancing the top shield/heat sink layer 488 areal density, creating more of the secondary shield/heat sink layers 488, or attending to special CAD rules regarding their metal density, similar to CAD rules that are required to accommodate Chemical-Mechanical Planarization (CMP). These constraints would be integrated into a design and layout EDA tool.


As illustrated in FIG. 4E, the remaining donor wafer portion 403 may be removed by polishing or etching and the transferred layers 402 may be further processed to create second device layer 405 which may include donor wafer device structures 450 and metal interconnect layers (such as second device layer metal interconnect 461) that may be precisely aligned to the acceptor wafer alignment marks 490. Donor wafer device structures 450 may include, for example, CMOS transistors such as N type and P type transistors, or at least any of the other transistor or device types discussed herein this document or referenced patent documents. The details of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-4, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610. As discussed above and herein this document and referenced patent documents, annealing of dopants or annealing of damage, such as from the dopant application such as ion-implantation, or from etch processes during the formation of the transferred layer transistor and device structures, may be accomplished by optical annealing. Donor wafer device structures 450 may include transistors and/or semiconductor regions wherein the dopant concentration of the regions in the horizontal plane, such as shown as exemplary dopant plane 449, may have regions that differ substantially in dopant concentration, for example, 10× greater, and/or may have a different dopant type, such as, for example p-type or n-type dopant. Additionally, the annealing of deposited dielectrics and etch damage, for example, oxide depositions and silicon etches utilized in the transferred layer isolation processing, for example, STI (Shallow Trench Isolation) processing or strained source and drain processing, may be accomplished by optical annealing. Second device layer metal interconnect 461 may include electrically conductive materials such as copper, aluminum, conductive forms of carbon, and tungsten. Donor wafer device structures 450 may utilize second device layer metal interconnect 461 and thru layer vias (TL Vs) 460 to electrically couple (connection paths) the donor wafer device structures 450 to the acceptor wafer metal connect pads or strips 480, and thus couple donor wafer device structures (the second layer transistors) with acceptor wafer device structures (first layer transistors). Thermal TL Vs 462 may be constructed of thermally conductive but not electrically conductive materials, for example, DLC (Diamond Like Carbon), and may connect donor wafer device structures 450 thermally to shield/heat sink layer 488. TL Vs 460 may be constructed out of electrically and thermally conductive materials, such as Tungsten, Copper, or aluminum, and may provide a thermal and electrical connection path from donor wafer device structures 450 to shield/heat sink layer 488, which may be a ground or Vdd plane in the design/layout. TL Vs 460 and thermal TL Vs 462 may be also constructed in the device scribelanes (pre-designed in base layers or potential dicelines) to provide thermal conduction to the heat sink, and may be sawed/diced off when the wafer is diced for packaging. Shield/heat sink layer 488 may be configured to act as an emf (electro-motive force) shield to prevent direct layer to layer cross-talk between transistors in the donor wafer layer and transistors in the acceptor wafer. In addition to static ground or Vdd biasing, shield/heat sink layer 488 may be actively biased with an anti-interference signal from circuitry residing on, for example, a layer of the 3D-IC or off chip. TL Vs 460 may be formed through the transferred layers 402. As the transferred layers 402 may be thin, on the order of about 200 nm or less in thickness, the TL Vs may be easily manufactured as a typical metal to metal via may be, and said TL V may have state of the art diameters such as nanometers or tens to a few hundreds of nanometers, such as, for example about 150 nm or about 100 nm or about 50 nm. The thinner the transferred layers 402, the smaller the thru layer via diameter obtainable, which may result from maintaining manufacturable via aspect ratios. Thus, the transferred layers 402 (and hence, TL Vs 460) may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, less than about 100 nm thick, less than about 50 nm thick, less than about 20 nm thick, or less than about 5 nm thick. The thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable obtainable lithographic resolution (and enable the use of conventional state of the art lithographic tools), such as, for example, less than about 10 nm, 14 nm, 22 nm or 28 nm linewidth resolution and alignment capability, such as, for example, less than about 5 nm, 10 nm, 20 nm, or 40 nm alignment accuracy/precision/error, of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers. The above TL V dimensions and alignment capability and transferred layer thicknesses may be also applied to any of the discussed TLVs or transferred layers described elsewhere herein. Transferred layers 402 may be considered to be overlying the metal layer or layers of acceptor wafer 410. Alignment marks in acceptor wafer 410 and/or in transferred layers 402 may be utilized to enable reliable contact to transistors and circuitry in transferred layers 402 and donor wafer device structures 450 and electrically couple them to the transistors and circuitry in the acceptor wafer 410. The donor wafer 400 may now also be processed, such as smoothing and annealing, and reused for additional layer transfers. The transferred layers 402 and other additional regions created in the transferred layers during transistor processing are thin and small, having small volumes on the order of 2×1016 cm3 (2×105 nm3 for a 100 nm by 100 nm×20 nm thick device). As a result, the amount of energy to manufacture with known in the art transistor and device formation processing, for example, annealing of ion-cut created defects or activation of dopants and annealing of doping or etching damages, is very small and may lead to only a small amount of shield layer or layers or regions or none to effectively shield the underlying interconnect metallization and dielectrics from the manufacturing processing generated heat. The energy may be supplied by, for example, pulsed and short wavelength optical annealing techniques described herein and incorporated references, and may include the use of optical absorbers and reflectors and optical/thermal shielding and heat spreaders, some of which are described herein and incorporated references.


As illustrated in FIG. 4F, a thermal conduction path may be constructed from the devices in the upper layer, the transferred donor layer and formed transistors, to the acceptor wafer substrate and associated heat sink. The thermal conduction path from the donor wafer device structures 450 to the acceptor wafer heat sink 497 may include second device layer metal interconnect 461, TL Vs 460, shield path connect 485, shield path via 483, metal connect pads or strips 480, first (acceptor) layer metal interconnect 491, acceptor wafer transistors and devices 493, and acceptor substrate 495. The elements of the thermal conduction path may include materials that have a thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), and Tungsten (about 173 W/m-K), and may include material with thermal conductivity lower than 10 W/m-K but have a high heat transfer capacity due to the wide area available for heat transfer and thickness of the structure (Fourier's Law), such as, for example, acceptor substrate 495. The elements of the thermal conduction path may include materials that are thermally conductive but may not be substantially electrically conductive, for example, Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). The acceptor wafer interconnects may be substantially surrounded by BEOL dielectric 496. In general, within the active device or devices (that are generating the heat that is desired to be conducted away thru at least the thermal conduction path), it would be advantageous to have an effective conduction path to reduce the overall space and area that a designer would allocate for heat transfer out of the active circuitry space and area. A designer may select to use only materials with a high thermal conductivity (such as greater than 10 W/m-K), much higher for example than that for monocrystalline silicon, for the desired thermal conduction path. However, there may need to be lower than desired thermal conductivity materials in the heat conduction path due to requirements such as, for example, the mechanical strength of a thick silicon substrate, or another heat spreader material in the stack. The area and volume allocated to that structure, such as the silicon substrate, is far larger than the active circuit area and volume. Accordingly, since a copper wire of 1 um2 profile is about the same as a 286 um2 profile of a column of silicon, and the thermal conduction path may include both a copper wire/TLV/via and the bulk silicon substrate, a proper design may take into account and strive to align the different elements of the conductive path to achieve effective heat transfer and removal, for example, may attempt to provide about 286 times the silicon substrate area for each Cu thermal via utilized in the thermal conduction path. The heat removal apparatus, which may include acceptor wafer heat sink 497, may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.


Formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied to at least the FIG. 4 formation techniques.


A planar fully depleted n-channel MOSFET (FD-MOSFET) with an optional integrated heat shield/spreader suitable for a monolithic 3D IC may be constructed as follows. The FD-MOSFET may provide an improved transistor variability control and conduction channel electrostatic control, as well as the ability to utilize an updoped channel, thereby improving carrier mobility. In addition, the FD-MOSFET does not demand doping or pocket implants in the channel to control the electrostatic characteristics and tune the threshold voltages. Sub-threshold slope, DIBL, and other short channel effects are greatly improved due to the firm gate electrostatic control over the channel. Moreover, a heat spreading, heat conducting and/or optically reflecting material layer or layers may be incorporated between the sensitive metal interconnect layers and the layer or regions being optically irradiated and annealed to repair defects in the crystalline 3D-IC layers and regions and to activate semiconductor dopants in the crystalline layers or regions of a 3D-IC without harm to the sensitive metal interconnect and associated dielectrics. FIG. 5A-5H illustrates an exemplary n-channel FD-MOSFET which may be constructed in a 3D stacked layer using procedures outlined below and in U.S. Pat. Nos. 8,273,610 and 8,557,632 and 8,581,349. The contents of the foregoing applications are incorporated herein by reference.


As illustrated in FIG. 5A, a P− substrate donor wafer 500 may be processed to include a wafer sized layer of doping across the wafer. The channel layer 502 may be formed by ion implantation and thermal anneal. P− substrate donor wafer 500 may include a crystalline material, for example, mono-crystalline (single crystal) silicon. P− substrate donor wafer 500 may be very lightly doped (less than 1e15 atoms/cm3) or nominally un-doped (less than 1e14 atoms/cm3). Channel layer 502 may have additional ion implantation and anneal processing to provide a different dopant level than P− substrate donor wafer 500 and may have graded or various layers of doping concentration. The layer stack may alternatively be formed by epitaxially deposited doped or undoped silicon layers, or by a combination of epitaxy and implantation, or by layer transfer. Annealing of implants and doping may include, for example, conductive/inductive thermal, optical annealing techniques or types of Rapid Thermal Anneal (RTA or spike). The preferred crystalline channel layer 502 will be undoped to eventually create an FD-MOSFET transistor with an updoped conduction channel.


As illustrated in FIG. 5B, the top surface of the P− substrate donor wafer 500 layer stack may be prepared for oxide wafer bonding with a deposition of an oxide or by thermal oxidation of channel layer 502 to form oxide layer 580. A layer transfer demarcation plane (shown as dashed line) 599 may be formed by hydrogen implantation or other methods as described in the incorporated references. The P− substrate donor wafer 500, such as surface 582, and acceptor wafer 510 may be prepared for wafer bonding as previously described and low temperature (less than approximately 400° C.) bonded. Acceptor wafer 510, as described in the incorporated references, may include, for example, transistors, circuitry, and metal, such as, for example, aluminum or copper, interconnect wiring, a metal shield/heat sink layer or layers, and thru layer via metal interconnect strips or pads. Acceptor wafer 510 may be substantially comprised of a crystalline material, for example mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate. Acceptor wafer 510 may include transistors such as, for example, MOSFETS, FD-MOSFETS, FinFets, FD-RCATs, BJTs, HEMTs, and/or HBTs. The portion of the channel layer 502 and the P− substrate donor wafer 500 that may be above (when the layer stack is flipped over and bonded to the acceptor wafer 510) the layer transfer demarcation plane 599 may be removed by cleaving or other low temperature processes as described in the incorporated references, such as, for example, ion-cut with mechanical or thermal cleave or other layer transfer methods, thus forming remaining channel layer 503. Damage/defects to crystalline structure of channel layer 502 may be annealed by some of the annealing methods described, for example the short wavelength pulsed laser techniques, wherein the channel layer 502 or portions of channel layer 502 may be heated to defect annealing temperatures, but the layer transfer demarcation plane 599 may be kept below the temperate for cleaving and/or significant hydrogen diffusion. The optical energy may be deposited in the upper layer of the stack, for example near surface 582, and annealing of a portion of channel layer 502 may take place via heat diffusion.


As illustrated in FIG. 5C, oxide layer 580 and remaining channel layer 503 have been layer transferred to acceptor wafer 510. The top surface of remaining channel layer 503 may be chemically or mechanically polished, and/or may be thinned by low temperature oxidation and strip processes, such as the TEL SPA tool radical oxidation and HF:H2O solutions as described herein and in referenced patents and patent applications. Thru the processing, the wafer sized layer remaining channel layer 503 could be thinned from its original total thickness, and its final total thickness could be in the range of about 5 nm to about 20 nm, for example, 5 nm, 7 nm, 10 nm, 12 nm, 15 nm, or 20 nm. Remaining channel layer 503 may have a thickness and doping that may allow fully-depleted channel operation when the FD-MOSFET transistor is substantially completely formed. Acceptor wafer 510 may include one or more (two are shown in this example) shield/heat sink layers 588, which may include materials such as, for example, Aluminum, Tungsten (a refractory metal), Copper, silicon or cobalt based silicides, or forms of carbon such as carbon nanotubes. Each shield/heat sink layer 588 may have a thickness range of about 50 nm to about 1 mm, for example, 50 nm, 100 nm, 200 nm, 300 nm, 500 nm, 0.1 um, 1 um, 2 um, and 10 um. Shield/heat sink layer 588 may include isolation openings 587, and alignment mark openings (not shown), which may be utilized for short wavelength alignment of top layer (donor) processing to the acceptor wafer alignment marks (not shown). Shield/heat sink layer 588 may include one or more shield path connects 585 and shield path vias 583. Shield path via 583 may thermally and/or electrically couple and connect shield path connect 585 to acceptor wafer 510 interconnect metallization layers such as, for example, exemplary acceptor metal interconnect 581 (shown). Shield path connect 585 may also thermally and/or electrically couple and connect each shield/heat sink layer 588 to the other and to acceptor wafer 510 interconnect metallization layers such as, for example, acceptor metal interconnect 581, thereby creating a heat conduction path from the shield/heat sink layer 588 to the acceptor substrate 595, and a heat sink (shown in FIG. 5G). Isolation openings 587 may include dielectric materials, similar to those of BEOL isolation 596. Acceptor wafer 510 may include first (acceptor) layer metal interconnect 591, acceptor wafer transistors and devices 593, and acceptor substrate 595. Various topside defect anneals may be utilized. For this illustration, an optical beam such as the laser annealing previously described is used. Optical anneal beams may be optimized to focus light absorption and heat generation within or at the surface of remaining channel layer 503 and provide surface smoothing and/or defect annealing (defects may be from the cleave and/or the ion-cut implantation) with exemplary smoothing/annealing ray 566. The laser assisted smoothing/annealing with the absorbed heat generated by exemplary smoothing/annealing ray 566 may also include a pre-heat of the bonded stack to, for example, about 100° C. to about 400° C., and/or a rapid thermal spike to temperatures above about 200° C. to about 600° C. Additionally, absorber layers or regions, for example, including amorphous carbon, amorphous silicon, and phase changing materials (see U.S. Pat. Nos. 6,635,588 and 6,479,821 to Hawryluk et al. for example), may be utilized to increase the efficiency of the optical energy capture in conversion to heat for the desired annealing or activation processes. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process. Reflected ray 563 may be reflected and/or absorbed by shield/heat sink layer 588 regions thus blocking the optical absorption of ray blocked metal interconnect 581. Annealing of dopants or annealing of damage, such as from the H cleave implant damage, may be also accomplished by a set of rays such as repair ray 565. Heat generated by absorbed photons from, for example, smoothing/annealing ray 566, reflected ray 563, and/or repair ray 565 may also be absorbed by shield/heat sink layer 588 regions and dissipated laterally and may keep the temperature of underlying metal layers, such as metal interconnect 581, and other metal layers below it, cooler and prevent damage. Shield/heat sink layer 588 and associated dielectrics may laterally spread and conduct the heat generated by the topside defect anneal, and in conjunction with the dielectric materials (low heat conductivity) above and below shield/heat sink layer 588, keep the interconnect metals and low-k dielectrics of the acceptor wafer interconnect layers cooler than a damage temperature, such as, for example, 400° C. A second layer of shield/heat sink layer 588 may be constructed (shown) with a low heat conductive material sandwiched between the two heat sink layers, such as silicon oxide or carbon doped ‘low-k’ silicon oxides, for improved thermal protection of the acceptor wafer interconnect layers, metal and dielectrics. Shield/heat sink layer 588 may act as a heat spreader. Electrically conductive materials may be used for the two layers of shield/heat sink layer 588 and thus may provide, for example, a Vss and a Vdd plane that may be connected to the donor layer transistors above, as well may be connected to the acceptor wafer transistors below, and/or may provide below transferred layer device interconnection. Shield/heat sink layer 588 may include materials with a high thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), Tungsten (about 173 W/m-K), Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). Shield/heat sink layer 588 may be sandwiched and/or substantially enclosed by materials with a low thermal conductivity (less than 10 W/m-K), for example, silicon dioxide (about 1.4 W/m-K). The sandwiching of high and low thermal conductivity materials in layers, such as shield/heat sink layer 588 and under & overlying dielectric layers, spreads the localized heat/light energy of the topside anneal laterally and protects the underlying layers of interconnect metallization & dielectrics, such as in the acceptor wafer 510, from harmful temperatures or damage. When there may be more than one shield/heat sink layer 588 in the device, the heat conducting layer closest to the second crystalline layer or oxide layer 580 may be constructed with a different material, for example a high melting point material, for example a refractory metal such as tungsten, than the other heat conducting layer or layers, which may be constructed with, for example, a lower melting point material, for example, such as aluminum or copper. Now transistors may be formed with low effective temperature (less than approximately 400° C. exposure to the acceptor wafer 510 sensitive layers, such as interconnect and device layers) processing, and may be aligned to the acceptor wafer alignment marks (not shown) as described in the incorporated references. This may include further optical defect annealing or dopant activation steps. The donor wafer 500 may now also be processed, such as smoothing and annealing, and reused for additional layer transfers. The insulator layer, such as deposited bonding oxides (for example oxide layer 580) and/or before bonding preparation existing oxides (for example the BEOL isolation 596 on top of the topmost metal layer of shield/heat sink layer 588), between the donor wafer transferred monocrystalline layer and the acceptor wafer topmost metal layer, may include thicknesses of less than 1 um, less than 500 nm, less than 400 nm, less than 300 nm, less than 200 nm, or less than 100 nm.


As illustrated in FIG. 5D, transistor isolation regions 505 may be formed by mask defining and plasma/RIE etching remaining channel layer 503 substantially to the top of oxide layer 580 (not shown), substantially into oxide layer 580, or into a portion of the upper oxide layer of acceptor wafer 510 (not shown). Thus channel region 523 may be formed, which may substantially form the transistor body. A low-temperature gap fill dielectric, such as SACVD oxide, may be deposited and chemically mechanically polished, the oxide remaining in isolation regions 505. An optical step, such as illustrated by exemplary STI ray 567, may be performed to anneal etch damage and densify the STI oxide in isolation regions 505. The doping concentration of the channel region 523 may include gradients of concentration or layers of differing doping concentrations. Any additional doping, such as ion-implanted channel implants, may be activated and annealed with optical annealing, such as illustrated by exemplary implant ray 569, as described herein. The optical anneal, such as exemplary STI ray 567, and/or exemplary implant ray 569 may be performed at separate times and processing parameters (such as laser energy, frequency, etc.) or may be done in combination or as one optical anneal. Optical absorber and or reflective layers or regions may be employed to enhance the anneal and/or protect the underlying sensitive structures. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process.


As illustrated in FIG. 5E, a transistor forming process, such as a conventional HKMG with raised source and drains (S/D), may be performed. For example, a dummy gate stack (not shown), utilizing oxide and polysilicon, may be formed, gate spacers 530 may be formed, raised S/D regions 532 and channel stressors may be formed by etch and epitaxial deposition, for example, of SiGe and/or SiC depending on P or N channel, LDD and S/D ion-implantations may be performed, and first ILD 536 may be deposited and CMP'd to expose the tops of the dummy gates. Thus transistor channel 533 and S/D & LDD regions 535 may be formed. The dummy gate stack may be removed and a gate dielectric 507 may be formed and a gate metal material gate electrode 508, including a layer of proper work function metal (TixAly,Nz for example) and a conductive fill, such as aluminum, and may be deposited and CMP'd. The gate dielectric 507 may be an atomic layer deposited (ALD) gate dielectric that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes, for example, as described in the incorporated references. Alternatively, the gate dielectric 507 may be formed with a low temperature processes including, for example, LPCVD SiO2 oxide deposition (see Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, no. 4, pp. 186-188, April 1992) or low temperature microwave plasma oxidation of the silicon surfaces (see Kim, J. Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70 nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 4-5, 25-27 Apr. 2005) and a gate material with proper work function and less than approximately 400° C. deposition temperature such as, for example, tungsten or aluminum may be deposited. An optical step, such as represented by exemplary anneal ray 521, may be performed to densify and/or remove defects from gate dielectric 507, anneal defects and activate dopants such as LDD and S/D implants, denisfy the first ILD 536, and/or form contact and S/D silicides (not shown). The optical anneal may be performed at each sub-step as desired, or may be done at prior to the HKMG deposition, or various combinations. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process.


As illustrated in FIG. 5F, a low temperature thick oxide 509 may be deposited and planarized. Source, gate, and drain contacts openings may be masked and etched preparing the transistors to be connected via metallization. Thus gate contact 511 connects to gate electrode 508, and source & drain contacts 540 connect to raised S/D regions 532. An optical step, such as illustrated by exemplary ILD anneal ray 551, may be performed to anneal contact etch damage and densify the thick oxide 509.


As illustrated in FIG. 5G, thru layer vias (TL Vs) 560 may be formed by etching thick oxide 509, first ILD 536, isolation regions 505, oxide layer 580, into a portion of the upper oxide layer BEOL isolation 596 of acceptor wafer 510 BEOL, and filling with an electrically and thermally conducting material (such as tungsten or cooper) or an electrically non-conducting but thermally conducting material (such as described elsewhere within). Second device layer metal interconnect 561 may be formed by conventional processing. TLVs 560 may be constructed of thermally conductive but not electrically conductive materials, for example, DLC (Diamond Like Carbon), and may connect the FD-MOSFET transistor device and other devices on the top (second) crystalline layer thermally to shield/heat sink layer 588. TL Vs 560 may be constructed out of electrically and thermally conductive materials, such as Tungsten, Copper, or aluminum, and may provide a thermal and electrical connection path from the FD-MOSFET transistor device and other devices on the top (second) crystalline layer to shield/heat sink layer 588, which may be a ground or Vdd plane in the design/layout. TL Vs 560 may be also constructed in the device scribelanes (pre-designed in base layers or potential dicelines) to provide thermal conduction to the heat sink, and may be sawed/diced off when the wafer is diced for packaging not shown). Shield/heat sink layer 588 may be configured to act (or adapted to act) as an emf (electro-motive force) shield to prevent direct layer to layer cross-talk between transistors in the donor wafer layer and transistors in the acceptor wafer. In addition to static ground or Vdd biasing, shield/heat sink layer 588 may be actively biased with an anti-interference signal from circuitry residing on, for example, a layer of the 3D-IC or off chip. The formed FD-MOSFET transistor device may include semiconductor regions wherein the dopant concentration of neighboring regions of the transistor in the horizontal plane, such as traversed by exemplary dopant plane 534, may have regions, for example, transistor channel 533 and S/D & LDD regions 535, that differ substantially in dopant concentration, for example, a 10 times greater doping concentration in S/D & LDD regions 535 than in transistor channel 533, and/or may have a different dopant type, such as, for example p-type or n-type dopant, and/or may be doped and substantially undoped in the neighboring regions.


For example, transistor channel 533 may be very lightly doped (less than 1e15 atoms/cm3) or nominally un-doped (less than 1e14 atoms/cm3) and S/D & LDD regions 535 may be doped at greater than 1e15 atoms/cm3 or greater than 1e16 atoms/cm3. For example, transistor channel 533 may be doped with p-type dopant and S/D & LDD regions 535 may be doped with n-type dopant.


A thermal conduction path may be constructed from the devices in the upper layer, the transferred donor layer and formed transistors, to the acceptor wafer substrate and associated heat sink. The thermal conduction path from the FD-MOSFET transistor device and other devices on the top (second) crystalline layer, for example, raised S/D regions 532, to the acceptor wafer heat sink 597 may include source & drain contacts 540, second device layer metal interconnect 561, TL V 560, shield path connect 585 (shown as twice), shield path via 583 (shown as twice), metal interconnect 581, first (acceptor) layer metal interconnect 591, acceptor wafer transistors and devices 593, and acceptor substrate 595. The elements of the thermal conduction path may include materials that have a thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), and Tungsten (about 173 W/m-K), and may include material with thermal conductivity lower than 10 W/m-K but have a high heat transfer capacity due to the wide area available for heat transfer and thickness of the structure (Fourier's Law), such as, for example, acceptor substrate 595. The elements of the thermal conduction path may include materials that are thermally conductive but may not be substantially electrically conductive, for example, Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). The acceptor wafer interconnects may be substantially surrounded by BEOL isolation 596 dielectric. The heat removal apparatus, which may include acceptor wafer heat sink 597, may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.


Furthermore, some or all of the layers utilized as shield/heat sink layer 588, which may include shapes of material such as the strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits, to provide a programmable back-bias to at least a portion of the second layer transistors. The programmable back bias may utilize a circuit to do so, for example, such as shown in FIG. 17B of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the back-bias is being provided, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal lines connections 1723 and 1724 may include portions of the shield/heat sink layer 588 layer or layers. Moreover, some or all of the layers utilized as shield/heat sink layer 588, which may include strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits to provide a programmable power supply to at least a portion of the second layer transistors. The programmable power supply may utilize a circuit to do so, for example, such as shown in FIG. 17C of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the programmable power supplies are being provided to, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal line connections from Vout to the various second layer transistors may include portions of the shield/heat sink layer 588 layer or layers. The Vsupply on line 17C12 and the control signals on control line 17C16 may be controlled by and/or generated in the second layer transistors (for example donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 593), or off chip circuits. Furthermore, some or all of the layers utilized as shield/heat sink layer 588, which may include strips or fingers as illustrated in FIG. 4G or other shapes such as those in FIG. 4B, may be utilized to distribute independent power supplies to various portions of the second layer transistors (for example donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 593) and circuits; for example, one power supply and/or voltage may be routed to the sequential logic circuits of the second layer and a different power supply and/or voltage routed to the combinatorial logic circuits of the second layer. Patterning of shield/heat sink layer 588 or layers can impact their heat-shielding capacity. This impact may be mitigated, for example, by enhancing the top shield/heat sink layer 588 areal density, creating more of the secondary shield/heat sink layers 588, or attending to special CAD rules regarding their metal density, similar to CAD rules that are required to accommodate Chemical-Mechanical Planarization (CMP). These constraints would be integrated into a design and layout EDA tool.


TL Vs 560 may be formed through the transferred layers. As the transferred layers may be thin, on the order of about 200 nm or less in thickness, the TL Vs may be easily manufactured as a typical metal to metal via may be, and said TL V may have state of the art diameters such as nanometers or tens to a few hundreds of nanometers, such as, for example about 150 nm or about 100 nm or about 50 nm. The thinner the transferred layers, the smaller the thru layer via diameter obtainable, which may result from maintaining manufacturable via aspect ratios. The thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable obtainable lithographic resolution (and enable the use of conventional state of the art lithographic tools), such as, for example, less than about 10 nm, 14 nm, 22 nm or 28 nm linewidth resolution and alignment capability, such as, for example, less than about 5 nm, 10 nm, 20 nm, or 40 nm alignment accuracy/precision/error, of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers.


As illustrated in FIG. 5H, at least one conductive bond pad 564 for interfacing electrically (and may thermally) to external devices may be formed on top of the completed device and may include at least one metal layer of second device layer metal interconnect 561. Bond pad 564 may overlay second device layer metal interconnect 561 or a portion of (some of the metal and insulator layers of) second device layer metal interconnect 561. Bond pad 564 may be directly aligned to the acceptor wafer alignment marks (not shown) and the I/O driver circuitry may be formed by the second layer (donor) transistors, for example, donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5. Bond pad 564 may be connected to the second layer transistors thru the second device layer metal interconnect 561 which may include vias 562. The I/O driver circuitry may be formed by transistors from the acceptor wafer transistors and devices 593, or from transistors in other strata if the 3DIC device has more than two layers of transistors. I/O pad control metal segment 567 may be formed directly underneath bond pad 564 and may influence the noise and ESD (Electro Static Discharge) characteristics of bond pad 564. The emf influence of I/O pad control metal segment 567 may be controlled by circuitry formed from a portion of the second layer transistors. I/O pad control metal segment 567 may be formed with second device layer metal interconnect 561. Furthermore, metal segment 589 of the topmost shield/heat sink layer 588 may be used to influence the FD-MOSFET transistor or transistors above it by emf, and influence the noise and ESD (Electro Static Discharge) characteristics of bond pad 564. Metal segment 589 may be controlled by second layer (donor) transistors, for example, donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5 and/or by transistors from the acceptor wafer transistors and devices 593, or from transistors in other strata if the 3DIC device has more than two layers of transistors.


Formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied to at least the FIG. 5 formation techniques herein.


Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 5A through 5H are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, a p-channel FD-MOSFET may be formed with changing the types of dopings appropriately. Moreover, the P− substrate donor wafer 500 may be n type or un-doped. Furthermore, isolation regions 505 may be formed by a hard mask defined process flow, wherein a hard mask stack, such as, for example, silicon oxide and silicon nitride layers, or silicon oxide and amorphous carbon layers, may be utilized. Moreover, CMOS FD MOSFET s may be constructed with n-MOSFETs in a first mono-crystalline silicon layer and p− MOSFET s in a second mono-crystalline layer, which may include different crystalline orientations of the mono-crystalline silicon layers, such as for example, <100>, <111> or <551>, and may include different contact silicides for optimum contact resistance to p or n type source, drains, and gates. Further, dopant segregation techniques (DST) may be utilized to efficiently modulate the source and drain Schottky barrier height for both p and n type junctions formed. Furthermore, raised source and drain contact structures, such as etch and epi SiGe and SiC, may be utilized for strain and contact resistance improvements and the damage from the processes may be optically annealed. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.


In many applications it is desired to use a combination of N type transistors and P type transistors. While using two overlaid layers, at least one layer of P type transistors on top of at least one layer of N type transistors, has been previously described herein and n referenced patent applications, it might be desired to have those transistors connected by the same overlaying interconnection layers coupling to one transistor layer. In U.S. Pat. No. 8,273,610, the contents of which are incorporated herein by reference, there are at least two flows to provide such. The flows could be adapted to vertical transistors just as well. The first flow suggests using repeating rows of N type and P type and is detailed in at least FIGS. 20-35 and FIGS. 73-79 of U.S. Pat. No. 8,273,610. An alternative flow suggests using layers within the strata in a vertical manner, and is described in at least FIG. 95 of U.S. Pat. No. 8,273,610.


While concepts in this document have been described with respect to 3D-ICs with two stacked device layers, those of ordinary skill in the art will appreciate that it can be valid for 3D-ICs with more than two stacked device layers. Additionally, some of the concepts may be applied to 2D ICs.


An additional embodiment of the invention is to utilize the underlying interconnection layer or layers to provide connections and connection paths (electrical and/or thermal) for the overlying transistors. While the common practice in the IC industry is that interconnection layers are overlaying the transistors that they connect, the 3D IC technology may include the possibility of constructing connections underneath (below) the transistors as well. For example, some of the connections to, from, and in-between transistors in a layer of transistors may be provided by the interconnection layer or layers above the transistor layer, and some of the connections to, from, and in-between the transistors may be provided by the interconnection layer or layers below the transistor layer or layers. In general there is an advantage to have the interconnect closer to the transistors that they are connecting and using both sides of the transistors—both above and below—provides enhanced “closeness” to the transistors. In addition, there may be less interconnect routing congestion that would impede the efficient or possible connection of a transistor to transistors in other layers and to other transistors in the same layer.


The connection layers may, for example, include power delivery, heat removal, macro-cell connectivity, and routing between macro-cells.


One method to solve the issue of high-temperature source-drain junction processing is to make transistors without junctions i.e. Junction-Less Transistors (JLTs). An embodiment of this invention uses JLTs as a building block for 3D stacked semiconductor circuits and chips.



FIG. 6 shows a schematic of a junction-less transistor (JLT) also referred to as a gated resistor or nano-wire. A heavily doped silicon layer (typically above 1×1019/cm3, but can be lower as well) forms source 0604, drain 0602 as well as channel region of a JLT. A gate electrode 0606 and a gate dielectric 0608 are present over the channel region of the JLT. The JLT has a very small channel area (typically less than 20 nm on one side), so the gate can deplete the channel of charge carriers at 0V and turn it off. I-V curves of n channel (0612) and p channel (0610) junction-less transistors are shown in FIG. 6 as well. These indicate that the JLT can show comparable performance to a tri-gate transistor that is commonly researched by transistor developers. Further details of the JLT can be found in “Junctionless multigate field-effect transistor,” Appl. Phys. Lett., vol. 94, pp. 053511 2009 by C.-W. Lee, A. Afzalian, N. Dehdashti Akhavan, R. Yan, I. Ferain and J. P. Colinge (“C-W. Lee”). Contents of this publication are incorporated herein by reference.



FIGS. 7A-M describe an alternative process flow to construct a horizontally-oriented monolithic 3D DRAM. This monolithic 3D DRAM utilizes the floating body effect and double-gate transistors. One mask is utilized on a “per-memory-layer” basis for the monolithic 3D DRAM concept shown in FIG. 7A-M, while other masks are shared between different layers. The process flow may include several steps that occur in the following sequence.


Step (A): Peripheral circuits 702 with tungsten wiring are first constructed and above this oxide layer 704 is deposited. FIG. 7A illustrates the structure after Step (A).


Step (B): FIG. 7B shows a drawing illustration after Step (B). A p− Silicon wafer 706 has an oxide layer 708 grown or deposited above it. Following this, hydrogen is implanted into the p− Silicon wafer at a certain depth indicated by 710. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted p− Silicon wafer 706 forms the top layer 712. The bottom layer 714 may include the peripheral circuits 702 with oxide layer 704. The top layer 712 is flipped and bonded to the bottom layer 714 using oxide-to-oxide bonding.


Step (C): FIG. 7C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 710 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. At the end of this step, a single-crystal p− Si layer exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.


Step (D): FIG. 7D illustrates the structure after Step (D). Using lithography and then implantation, n+ regions 716 and p− regions 718 are formed on the transferred layer of p− Si after Step (C).


Step (E): FIG. 7E illustrates the structure after Step (E). An oxide layer 720 is deposited atop the structure obtained after Step (D). A first layer of Si/SiO2 722 is therefore formed atop the peripheral circuits 702.


Step (F): FIG. 7F illustrates the structure after Step (F). Using procedures similar to Steps (B)-(E), additional Si/SiO2 layers 724 and 726 are formed atop Si/SiO2 layer 722. A rapid thermal anneal (RTA) or spike anneal or flash anneal or laser anneal is then done to activate all implanted layers 722, 724 and 726 (and possibly also the peripheral circuits 702). Alternatively, the layers 722, 724 and 726 are annealed layer-by-layer as soon as their implantations are done using a laser anneal system.


Step (G): FIG. 7G illustrates the structure after Step (G). Lithography and etch processes are then utilized to make a structure as shown in the figure.


Step (H): FIG. 7H illustrates the structure after Step (H). Gate dielectric 728 and gate electrode 730 are then deposited following which a CMP is done to planarize the gate electrode 730 regions. Lithography and etch are utilized to define gate regions over the p− silicon regions (eg. p− Si region after Step (D)). Note that gate width could be slightly larger than p− region width to compensate for overlay errors in lithography.


Step (I): FIG. 7I illustrates the structure after Step (I). A silicon oxide layer 732 is then deposited and planarized. For clarity, the silicon oxide layer is shown transparent in the figure, along with word-line (WL) and source-line (SL) regions.


Step (J): FIG. 7J illustrates the structure after Step (J). Bit-line (BL) contacts 734 are formed by etching and deposition. These BL contacts are shared among all layers of memory.


Step (K): FIG. 7K illustrates the structure after Step (K). BLs 736 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be done in steps prior to Step (K) as well.



FIG. 7L shows cross-sectional views of the array for clarity. The double-gated transistors in FIG. 7 L can be utilized along with the floating body effect for storing information.



FIG. 7M shows a memory cell of the floating body RAM array with two gates on either side of the p− Si layer 719.


A floating-body DRAM has thus been constructed, with (1) horizontally-oriented transistors—i.e., current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.


While many of today's memory technologies rely on charge storage, several companies are developing non-volatile memory technologies based on resistance of a material changing. Examples of these resistance-based memories include phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, conductive bridge RAM, and MRAM. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W.; Kurdi, B. N.; Scott, J. C.; Lam, C. H.; Gopalakrishnan, K.; Shenoy, R. S.



FIG. 8A-J describe a novel memory architecture for resistance-based memories, and a procedure for its construction. The memory architecture utilizes junction-less transistors and has a resistance-based memory element in series with a transistor selector. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIG. 8A-J, and all other masks are shared between different layers. The process flow may include several steps that occur in the following sequence.


Step (A): Peripheral circuits 802 are first constructed and above this oxide layer 804 is deposited. FIG. 8A shows a drawing illustration after Step (A).


Step (B): FIG. 8B illustrates the structure after Step (B). N+ Silicon wafer 808 has an oxide layer 806 grown or deposited above it. Following this, hydrogen is implanted into the n+ Silicon wafer at a certain depth indicated by 814.


Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted n+ Silicon wafer 808 forms the top layer 810. The bottom layer 812 may include the peripheral circuits 802 with oxide layer 804.


The top layer 810 is flipped and bonded to the bottom layer 812 using oxide-to-oxide bonding.


Step (C): FIG. 8C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 814 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 818 is then deposited atop the n+ Silicon layer 816. At the end of this step, a single-crystal n+Si layer 816 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.


Step (D): FIG. 8D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 820 are formed with silicon oxide layers in between.


Step (E): FIG. 8E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure.


Step (F): FIG. 8F illustrates the structure after Step (F). Gate dielectric 826 and gate electrode 824 are then deposited following which a CMP is performed to planarize the gate electrode 824 regions. Lithography and etch are utilized to define gate regions.


Step (G): FIG. 8G illustrates the structure after Step (G). A silicon oxide layer 830 is then deposited and planarized. The silicon oxide layer is shown transparent in the figure for clarity, along with word-line (WL) 832 and source-line (SL) 834 regions.


Step (H): FIG. 8H illustrates the structure after Step (H). Vias are etched through multiple layers of silicon and silicon dioxide as shown in the figure. A resistance change memory material 836 is then deposited (preferably with atomic layer deposition (ALD)). Examples of such a material include hafnium oxide, well known to change resistance by applying voltage. An electrode for the resistance change memory element is then deposited (preferably using ALD) and is shown as electrode/BL contact 840. A CMP process is then conducted to planarize the surface. It can be observed that multiple resistance change memory elements in series with junction-less transistors are created after this step.


Step (I): FIG. 8I illustrates the structure after Step (I). BLs 838 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be achieved in steps prior to Step (I) as well.



FIG. 8J shows cross-sectional views of the array for clarity.


A 3D resistance change memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates that are simultaneously deposited over multiple memory layers for transistors, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.


While resistive memories described previously form a class of non-volatile memory, others classes of non-volatile memory exist. NAND flash memory forms one of the most common non-volatile memory types. It can be constructed of two main types of devices: floating-gate devices where charge is stored in a floating gate and charge-trap devices where charge is stored in a charge-trap layer such as Silicon Nitride. Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”) and “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. The architectures shown in FIG. 9A-G are relevant for any type of charge-trap memory.



FIG. 9A-G describes a memory architecture for single-crystal 3D charge-trap memories, and a procedure for its construction. It utilizes junction-less transistors. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D charge-trap memory concept shown in FIG. 9A-G, and all other masks are shared between different layers. The process flow may include several steps as described in the following sequence.


Step (A): Peripheral circuits 902 are first constructed and above this oxide layer 904 is deposited. FIG. 9A shows a drawing illustration after Step (A).


Step (B): FIG. 9B illustrates the structure after Step (B). A wafer of n+ Silicon 908 has an oxide layer 906 grown or deposited above it. Following this, hydrogen is implanted into the n+ Silicon wafer at a certain depth indicated by 914. Alternatively, some other atomic species such as Helium could be implanted. This hydrogen implanted n+ Silicon wafer 908 forms the top layer 910. The bottom layer 912 may include the peripheral circuits 902 with oxide layer 904. The top layer 910 is flipped and bonded to the bottom layer 912 using oxide-to-oxide bonding. Alternatively, n+ silicon wafer 908 may be doped differently, such as, for example, with elemental species that form a p+, or p−, or n− silicon wafer, or substantially absent of semiconductor dopants to form an undoped silicon wafer.


Step (C): FIG. 9C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 914 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 918 is then deposited atop the n+ Silicon layer 916. At the end of this step, a single-crystal n+Si layer 916 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.


Step (D): FIG. 9D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 920 are formed with silicon oxide layers in between.


Step (E): FIG. 9E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure.


Step (F): FIG. 9F illustrates the structure after Step (F). Gate dielectric 926 and gate electrode 924 are then deposited following which a CMP is done to planarize the gate electrode 924 regions. Lithography and etch are utilized to define gate regions. Gates of the NAND string 936 as well gates of select gates of the NAND string 938 are defined.


Step (G): FIG. 9G illustrates the structure after Step (G). A silicon oxide layer 930 is then deposited and planarized. It is shown transparent in the figure for clarity. Word-lines, bit-lines and source-lines are defined as shown in the figure. Contacts are formed to various regions/wires at the edges of the array as well. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be performed in steps prior to Step (G) as well.


A 3D charge-trap memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines—e.g., bit lines BL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers for transistors, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut. This use of single-crystal silicon obtained with ion-cut is a key differentiator from past work on 3D charge-trap memories such as “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. that used polysilicon.


While the 3D DRAM and 3D resistive memory implementations herein have been described with single crystal silicon constructed with ion-cut technology, other options exist. One could construct them with selective epi technology. Procedures for doing these will be clear to those skilled in the art.



FIG. 10A-B show it is not the only option for the architecture, as depicted in, for example, FIG. 28-FIG. 40A-H, and FIGS. 70-71 of U.S. Pat. No. 8,476,145, to have the peripheral transistors below the memory layers. Peripheral transistors could also be constructed above the memory layers, as shown in FIG. 10B. This periphery layer would utilize technologies described herein, and could utilize transistors including, such as, junction-less transistors or recessed channel transistors.


The monolithic 3D integration concepts described in this patent application can lead to novel embodiments of poly-silicon-based memory architectures as well. Poly silicon based architectures could potentially be cheaper than single crystal silicon based architectures when a large number of memory layers need to be constructed. While the below concepts are explained by using resistive memory architectures as an example, it will be clear to one skilled in the art that similar concepts can be applied to NAND flash memory and DRAM architectures described previously in this patent application.



FIG. 11A-E shows one embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps as described in the following sequence:


Step (A): As illustrated in FIG. 11A, peripheral circuits 1102 are constructed above which oxide layer 1104 is made.


Step (B): As illustrated in FIG. 11B, multiple layers of n+ doped amorphous silicon or polysilicon 1106 are deposited with layers of silicon dioxide 1108 in between. The amorphous silicon or polysilicon layers 1106 could be deposited using a chemical vapor deposition process, such as Low Pressure Chemical Vapor Deposition (LPCVD) or Plasma Enhanced Chemical Vapor Deposition (PECVD).


Step (C): As illustrated in FIG. 11C, a Rapid Thermal Anneal (RTA) is conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (B). Temperatures during this RTA could be as high as 110° C. or more, and could even be as high as 800° C. The polysilicon region obtained after Step (C) is indicated as 1110. Alternatively, a laser anneal could be conducted, either for all amorphous silicon or polysilicon layers 1106 at the same time or layer by layer. The thickness of the oxide layer 1104 would need to be optimized if that process were conducted.


Step (D): As illustrated in FIG. 11D, procedures similar to those described in FIG. 32E-H are utilized to construct the structure shown. The structure in FIG. 11D has multiple levels of junction-less transistor selectors for resistive memory devices. The resistance change memory is indicated as 1136 while its electrode and contact to the BL is indicated as 1140. The WL is indicated as 1132, while the SL is indicated as 1134. Gate dielectric of the junction-less transistor is indicated as 1126 while the gate electrode of the junction-less transistor is indicated as 1124, this gate electrode also serves as part of the WL 1132.


Step (E): As illustrated in FIG. 1I E, bit lines (indicated as BL 1138) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.



FIG. 12A-F show another embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps occurring in sequence:


Step (A): As illustrated in FIG. 12A, a layer of silicon dioxide 1204 is deposited or grown above a silicon substrate without circuits 1202.


Step (B): As illustrated in FIG. 12B, multiple layers of n+ doped amorphous silicon or polysilicon 1206 are deposited with layers of silicon dioxide 1208 in between. The amorphous silicon or polysilicon layers 1206 could be deposited using a chemical vapor deposition process, such as LPCVD or PECVD.


Step (C): As illustrated in FIG. 12C, a Rapid Thermal Anneal (RTA) or standard anneal is conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (B). Temperatures during this RTA could be as high as 700° C. or more, and could even be as high as 1400° C. The polysilicon region obtained after Step (C) is indicated as 1210. Since there are no circuits under these layers of polysilicon, very high temperatures (such as, for example, 1400° C.) can be used for the anneal process, leading to very good quality polysilicon with few grain boundaries and very high mobilities approaching those of single crystal silicon. Alternatively, a laser anneal could be conducted, either for all amorphous silicon or polysilicon layers 1206 at the same time or layer by layer at different times.


Step (D): This is illustrated in FIG. 12D. Procedures similar to those described in FIG. 32E-H are utilized to get the structure shown in FIG. 12D that has multiple levels of junction-less transistor selectors for resistive memory devices. The resistance change memory is indicated as 1236 while its electrode and contact to the BL is indicated as 1240. The WL is indicated as 1232, while the SL is indicated as 1234. Gate dielectric of the junction-less transistor is indicated as 1226 while the gate electrode of the junction-less transistor is indicated as 1224, this gate electrode also serves as part of the WL 1232.


Step (E): This is illustrated in FIG. 12E. Bit lines (indicated as BL 1238) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.


Step (F): Using procedures described in Section 1 and Section 2 of this patent application, peripheral circuits 1298 (with transistors and wires) could be formed well aligned to the multiple memory layers shown in Step (E). For the periphery, one could use the process flow shown in Section 2 where replacement gate processing is used, or one could use sub-400° C. processed transistors such as junction-less transistors or recessed channel transistors. Alternatively, one could use laser anneals for peripheral transistors' source-drain processing. Various other procedures described in Section 1 and Section 2 could also be used. Connections can then be formed between the multiple memory layers and peripheral circuits. By proper choice of materials for memory layer transistors and memory layer wires (e.g., by using tungsten and other materials that withstand high temperature processing for wiring), even standard transistors processed at high temperatures (>1000° C.) for the periphery could be used.


In many applications it is desired to use a combination of N type transistors and P type transistors. While using two overlaid layers, at least one layer of P type transistors on top of at least one layer of N type transistors, has been previously described herein and in referenced patent applications, it might be desired to have those transistors connected by the same overlaying interconnection layers coupling to one transistor layer. In U.S. Pat. No. 8,273,610, the contents of which are incorporated herein by reference, there are at least two flows to provide such. The flows could be adapted to vertical transistors just as well. The first flow suggests using repeating rows of N type and P type and is detailed in at least FIGS. 20-35 and FIGS. 73-79 of U.S. Pat. No. 8,273,610. An alternative flow suggests using layers within the strata in a vertical manner, and is described in at least FIG. 95 of U.S. Pat. No. 8,273,610.


While some concepts in this document have been described with respect to 3D-ICs with two stacked device layers, those of ordinary skill in the art will appreciate that it can be valid for 3D-ICs with more than two stacked device layers. Additionally, some of the concepts may be applied to 2D ICs.


An additional embodiment of the invention is to utilize the underlying interconnection layer or layers to provide connections and connection paths (electrical and/or thermal) for the overlying transistors. While the common practice in the IC industry is that interconnection layers are overlaying the transistors that they connect, the 3D IC technology may include the possibility of constructing connections underneath (below) the transistors as well. For example, some of the connections to, from, and in-between transistors in a layer of transistors may be provided by the interconnection layer or layers above the transistor layer, and some of the connections to, from, and in-between the transistors may be provided by the interconnection layer or layers below the transistor layer or layers. In general there is an advantage to have the interconnect closer to the transistors that they are connecting and using both sides of the transistors—both above and below—provides enhanced “closeness” to the transistors. In addition, there may be less interconnect routing congestion that would impede the efficient or possible connection of a transistor to transistors in other layers and to other transistors in the same layer.


The connection layers may, for example, include power delivery, heat removal, macro-cell connectivity, and routing between macro-cells. As illustrated in FIG. 13A-D, an exemplary illustration and description of connections below a layer of transistors and macro-cell formation and connection is shown. When the same reference numbers are used in different drawing figures (among FIGS. 13A-D), they may indicate analogous, similar or identical structures to enhance the understanding of the embodiments of the invention being discussed by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures. The term macro-cell may include one or more logic cells.


An important advantage is that the connections could be made above and below the transistor layers. A Macro-cell library could use under the transistor layer connections and over the transistor layer connections. A router can use under the transistor layer connections and over the transistor layer connections, and power delivery could use under the transistor layer connections and over the transistor layer connections. Some of the connections could be solely for the transistor of that layer and other connections could include connections to other transistor or device layers.


As illustrated in FIG. 13A, a repeating device or circuit structure, such as, for example, a gate-array like transistor structure, may be constructed in a layer, such as for example, monocrystalline silicon, as described elsewhere herein and in U.S. Pat. No. 8,273,610, whose contents are incorporated by reference. FIG. 13A is an exemplary illustration of the top view of three of the repeating elements of the gate-array like transistor structure layer. The exemplary repeating elements of the structure may include a first element 4218, a second element 4220, and a third element 4222, and each element may include two transistor pairs, for example, N transistor pair 4212 and P transistor pair 4214. N transistor pair 4212 may include common diffusion 4292 and a portion of first common gate 4216 and second common gate 4217. P transistor pair 4214 may include common diffusion 4294 and a portion of first common gate 4216 and second common gate 4217. The structure of FIG. 13A can represent a small section of a gate-array in which the structure keeps repeating.


As illustrated in FIG. 13B, the interconnection layers underneath (below) the transistors of FIG. 13A may be constructed to provide connections (along with the vias of FIG. 13C) between the transistors of FIG. 13A. Underneath (below) the transistors may be defined as being in the direction of the TL Vs (thru Layer Vias) or TSVs (Thru Silicon Vias) that are going through the layer of transistor structures and transistors referred to in the FIG. 13A discussion. The view of exemplary illustration FIG. 13B is from below the interconnection layers which are below the repeating device or circuit structure; however, the orientation of the repeating device or circuit structure is kept the same as FIG. 13A for clarity. The interconnection layers underneath may include a ground-‘Vss’ power grid 4224 and a power-‘Vdd’ power grid 4226. The interconnection layers underneath may include macro-cell construction connections such as, for example, NOR gate macro-cell connection 4228 for a NOR gate cell formation formed by the four transistors of first element 4218, NAND gate macro-cell connection 4230 for a NAND gate cell formation formed by the four transistors of second element 4220, and Inverter macro-gate cell connection 4232 for an Inverter gate cell formation formed by two of the four transistors of third element 4222. The interconnection layers may include routing connection 4240 which connects the output of the NOR gate of first element 4218 to the input of the NAND gate of second element 4220, and additional routing connection 4242 which connects the output of the NAND gate of second element 4220 to the input of the inverter gate of third element 4222. The macro-cells and the routing connections (or routing structures) are part of the logic cell and logic circuit construction. The connection material may include for example, copper, aluminum, and/or conductive carbon.


As illustrated in FIG. 13C, generic connections 4250 may be formed to electrically connect the transistors of FIG. 13A to the underlying connection layer or layers presented in FIG. 13B. Generic connections 4250 may also be called contacts as they represent the contact made between the interconnection layers and the transistors themselves, and may also be called TLVs (Thru Layer Vias), as described elsewhere herein. The diameter of the connections, such as, for example, generic connections 4250, may be, for example, less than 1 um, less than 100 nm, or less than 40 nm, and the alignment of the connections to the underlying interconnection layer or layers or to the transistors may be less than 40 nm or even less than 10 nm, and may utilize conventional industry lithography tools.


The process flow may involve first processing the connection layers such as presented in FIG. 13B. Connections such as power busses ground-‘Vss’ power grid 4224 and a power-‘Vdd’ power grid 4226 and macro cell connections segments NOR gate macro-cell connection 4228, NAND gate macro-cell connection 4230, and Inverter macro-gate cell connection 4232 and routing segments routing connection 4240 and additional routing connection 4242, could substantially all be processed at the top metal interconnect layers of the base wafer, and accordingly be aligned to the base wafer alignment marks with far less than 40 nm alignment error. An oxide layer could be deposited and a layer of single crystal silicon could be transferred over using a process flow such as been described herein or in referenced patents and patent applications. And may be followed by processing steps for forming transistors such as presented in FIG. 13A (N transistor pair 4212 and P transistor pair 4214) aligned to the base wafer alignment marks using a process flow such as been described herein or in reference patents and patent applications. The monolithic 3D transistors in the transistor layer could be made by any of the techniques presented herein or other techniques. The connections between the transistors and the underlying connection layers may be processed. For example, as illustrated in FIG. 13C (now viewing from the topside, in the direction opposite that of FIG. 13B), generic connections 4250 may be specifically employed as power grid connections, such as Vss connection 4252 and second Vss connection 4251, and Vdd connection 4253. Further, generic connections 4250 may be specifically employed as macro-cell connections, such as macro-cell connection 4254 and second macro-cell connection 4255, connecting/coupling a specific location of common diffusion 4292 to a specific location of common diffusion 4294 with NOR gate macro-cell connection 4228. Moreover, generic connections 4250 may be specifically employed as connections to routing, such as, for example, routing connection 4260 and second routing connection 4262. FIG. 13C also includes an illustration of the logic schematic 4270 represented by the physical illustrations of FIG. 13A, FIG. 13B and FIG. 13C.


As illustrated in FIG. 13D, and with reference to the discussion of at least FIGS. 47A and 47B of U.S. patent application Ser. No. 13/441,923 and FIGS. 59 and 60 of U.S. Pat. No. 8,273,610, thru silicon connection 4289, which may be the generic connections 4250 previously discussed, may provide connection from the transistor layer 4284 to the underlying interconnection layer 4282. Underlying interconnection layer 4282 may include one or more layers of ‘1×’ thickness metals, isolations and spacing as described with respect to the referenced FIGS. 47A&B and FIGS. 59 and 60. Alternatively, thru layer connection 4288, which may be the generic connections 4250 previously discussed, may provide connection from the transistor layer 4284 to the underlying interconnection layer 4282 by connecting to the above interconnection layer 4286 which connects to the transistor layer 4284. Further connection to the substrate transistor layer 4272 may utilize making a connection from underlying interconnection layer 4282 to 2× interconnection layer 4280, which may be connected to 4× interconnection layer 4278, which may be connected to substrate 2× interconnection layer 4276, which may be connected to substrate 1× interconnection layer 4274, which may connect to substrate transistor layer 4272. Underlying interconnection layer 4282, above interconnection layer 4286, 2× interconnection layer 4280, 4× interconnection layer 4278, substrate 2× interconnection layer 4276, and substrate 1× interconnection layer 4274 may include one or more interconnect layers, each of which may include metal interconnect lines, vias, and isolation materials. As described in detail in the referenced FIGS. 47A&B and FIGS. 59 and 60 discussions, 1× layers may be thinner than 2× layers, and 2× layers may be thinner than 4× layers.


The design flow of a 3D IC that incorporates the “below-transistor” connections, such as are described for example, with respect to FIGS. 13A-D, would need to be modified accordingly. The chip power grid may need to be designed to include the below-transistors grid and connection of this grid to the overall chip power grid structure. The macro-cell library may need to be designed to include below-transistor connections. The Place and Route tool may need to be modified to make use of the below-transistor routing resources. The resources might include the power grid aspect, the macro-cell aspect, the allocation of routing resources underneath (below), heat transfer considerations, and the number of layers underneath that may be allocated for the routing task. Typically, at least two interconnection layers underneath may be allocated.


For the case of connecting below-transistor routing layers to the conventional above-transistor routing layers, each connection may pass through generic connections 4250 to cross the transistor-forming layers. Such contacts may already exist for many nets that directly connect to transistor sources, drains, and gates; and hence, such nets can be relatively freely routed using both below- and above-transistors interconnection routing layers. Other nets that may not normally include generic connections 4250 in their structure may be routed on either side of the transistor layer but not both, as crossing the transistor layer may incur creating additional generic connections 4250; and hence, potentially congest the transistor layer.


Consequently, a good approach for routing in such a situation may be to use the below-transistor layers for short-distance wiring and create wiring library macros that may tend to be short-distanced in nature. Macro outputs, on the other hand, frequently need to additionally connect to remote locations and should be made available at contacts, such as generic connections 4250, that are to be used on both sides of the transistor layer. When routing, nets that are targeted for both below and above the transistor layer and that do not include contacts such as generic connections 4250 may need special prioritized handling that may split them into two or more parts and insert additional contact[s] in the transistor layer before proceeding to route the design. An additional advantage of the availability and use of an increased number of routing layers on both sides of the transistor layer is the router's greater ability to use relaxed routing rules while not increasing routing congestion. For example, relaxing routing rules such as wider traces, wherein 1.5× or more the width of those traces used for the same layer in one sided routing for the same process node could be utilized in the two sided routing (above and below transistor layer), and may result in reduced resistance; and larger metal spacing, wherein 1.5× or more the space of those spaces used for the same layer in one sided routing for the same process node, could be utilized in the two sided routing (above and below transistor layer), and may result in decreased crosstalk and capacitance.


Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 13A through 13D are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the interconnection layer or layer below or above the transistor layer may also be utilized for connection to other strata and transistor layers, not just the transistor layer that is between the above and below interconnection layer or layers. Furthermore, connections made directly underneath and to common diffusions, such as common diffusion 4292 and second common diffusion 4294, may be problematic in some process flows and TLVs through the adjacent STI (shallow trench isolation) area with routing thru the first layer of interconnect above the transistor layer to the TL V may instead be utilized. Moreover, silicon connection 4289 may be more than just a diffusion connection such as Vss connection 4252, second Vss connection 4251, and Vdd connection 4253, such as, for example, macro-cell connection 4254, second macro-cell connection 4255, routing connection 4260, or second routing connection 4262. Furthermore, substrate transistor layer 4272 may also be a transistor layer above a lower transistor layer in a 3D IC stack. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.


Some embodiments of the invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3D IC systems. Some embodiments of the invention may enable device solutions with far less power consumption than prior art. The device solutions could be very useful for the growing application of mobile electronic devices and mobile systems such as, for example, mobile phones, smart phone, and cameras, those mobile systems may also connect to the internet. For example, incorporating the 3D IC semiconductor devices according to some embodiments of the invention within the mobile electronic devices and mobile systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology.


Smart mobile systems may be greatly enhanced by complex electronics at a limited power budget. The 3D technology described in the multiple embodiments of the invention would allow the construction of low power high complexity mobile electronic systems. For example, it would be possible to integrate into a small form function a complex logic circuit with high density high speed memory utilizing some of the 3D DRAM embodiments of the invention and add some non-volatile 3D NAND charge trap or RRAM described in some embodiments of the invention. Mobile system applications of the 3DIC technology described herein may be found at least in FIG. 156 of U.S. Pat. No. 8,273,610, the contents of which are incorporated by reference.


In this document, the connection made between layers of, generally single crystal, transistors, which may be variously named for example as thermal contacts and vias, Thru Layer Via (TL V), TSV (Thru Silicon Via), may be made and include electrically and thermally conducting material or may be made and include an electrically non-conducting but thermally conducting material or materials. A device or method may include formation of both of these types of connections, or just one type. By varying the size, number, composition, placement, shape, or depth of these connection structures, the coefficient of thermal expansion exhibited by a layer or layers may be tailored to a desired value. For example, the coefficient of thermal expansion of the second layer of transistors may be tailored to substantially match the coefficient of thermal expansion of the first layer, or base layer of transistors, which may include its (first layer) interconnect layers.


Base wafers or substrates, or acceptor wafers or substrates, or target wafers substrates herein may be substantially comprised of a crystalline material, for example, mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate.


It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. For example, drawings or illustrations may not show n or p wells for clarity in illustration. Moreover, transistor channels illustrated or discussed herein may include doped semiconductors, but may instead include undoped semiconductor material. Further, any transferred layer or donor substrate or wafer preparation illustrated or discussed herein may include one or more undoped regions or layers of semiconductor material. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims
  • 1. A semiconductor device, the device comprising: a first substrate;a first metal layer disposed over said first substrate;a second metal layer disposed over said first metal layer;a first level comprising a plurality of transistors, said first level disposed over said second metal layer, wherein said plurality of transistors each comprise single crystal silicon;a third metal layer disposed over said first level;a fourth metal layer disposed over said third metal layer, wherein said fourth metal layer is aligned to said first metal layer with a less than 200 nm alignment error; anda via disposed through said first level, wherein said via has a diameter of less than 450 nm,wherein said fourth metal layer provides a global power distribution, andwherein processing of said device comprises use of a carrier wafer.
  • 2. The device according to claim 1, wherein said via comprises tungsten.
  • 3. The device according to claim 1, wherein said first substrate comprises single crystal silicon.
  • 4. The device according to claim 1, further comprising: connection pads disposed over portions of said fourth metal layer, wherein said connection pads are capable to provide connections to external devices.
  • 5. The device according to claim 1, wherein an average thickness of said first level is less than two microns.
  • 6. The device according to claim 1, wherein at least one of said plurality of transistors comprises a gate-all-around transistor structure.
  • 7. The device according to claim 1, further comprising: a plurality of power decoupling capacitors.
  • 8. A semiconductor device, the device comprising: a first substrate;a first metal layer disposed over said first substrate;a second metal layer disposed over said first metal layer;a first level comprising a single crystal layer and a plurality of transistors, said first level disposed over said second metal layer, wherein an average thickness of said single crystal layer is less than one micron;a third metal layer disposed over said first level;a fourth metal layer disposed over said third metal layer, wherein said fourth metal layer is aligned to said first metal layer with a less than 200 nm alignment error; anda via disposed through said first level, and wherein processing of said single crystal layer to said thickness comprises use of at least one etch rate selectivity.
  • 9. The device according to claim 8, further comprising: a plurality of heat removal paths, wherein said heat removal paths are designed to remove heat from said plurality of transistors to an external surface of said device.
  • 10. The device according to claim 8, wherein an average thickness of said fourth metal layer is greater than an average thickness of said second metal layer by at least 40%.
  • 11. The device according to claim 8, wherein said first substrate comprises single crystal silicon.
  • 12. The device according to claim 8, further comprising: a global power distribution network; anda local power distribution network, wherein said global power distribution network comprises said fourth metal layer.
  • 13. The device according to claim 8, wherein at least one of said plurality of transistors comprises a four-sided-gate transistor structure.
  • 14. The device according to claim 8, further comprising: a plurality of power decoupling capacitors.
  • 15. A semiconductor device, the device comprising: a first substrate;a first metal layer disposed over said first substrate;a second metal layer disposed over said first metal layer;a first level comprising a plurality of transistors, said first level disposed over said second metal layer, wherein said plurality of transistors comprise single crystal silicon;a third metal layer disposed over said first level;a fourth metal layer disposed over said third metal layer, wherein said fourth metal layer is aligned to said first metal layer with a less than 200 nm alignment error;a global power distribution network;a local power distribution network, wherein said global power distribution network comprises said fourth metal layer, andwherein said local power distribution network comprises said third metal layer; andat least one direct contact, wherein said at least one direct contact connects at least one of said plurality of transistors to said third metal layer.
  • 16. The device according to claim 15, wherein said first substrate comprises single crystal silicon.
  • 17. The device according to claim 15, wherein an average thickness of said first level is less than two microns.
  • 18. The device according to claim 15, wherein at least one of said plurality of transistors comprises a gate-all-around transistor structure.
  • 19. The device according to claim 15, wherein an average thickness of said fourth metal layer is at least 50% greater than an average thickness of said third metal.
  • 20. The device according to claim 15, further comprising: a plurality of power decoupling capacitors.
Parent Case Info

This application is a continuation-in-part of U.S. patent application Ser. No. 18/236,325, filed on Aug. 21, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 18/214,524, filed on Jun. 27, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 18/141,415, filed on Apr. 29, 2023, now U.S. Pat. No. 11,784,169 issued on Oct. 10, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 18/105,826, filed on Feb. 4, 2023, now U.S. Pat. No. 11,676,945 issued on Jun. 13, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 17/986,831, filed on Nov. 14, 2022, now U.S. Pat. No. 11,605,616 issued on Mar. 14, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 17/882,607, filed on Aug. 8, 2022, now U.S. Pat. No. 11,532,599 issued on Dec. 20, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/750,338, filed on May 21, 2022, now U.S. Pat. No. 11,450,646 issued on Sep. 20, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/680,297, filed on Feb. 25, 2022, now U.S. Pat. No. 11,424,222 issued on Aug. 23, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/536,019, filed on Nov. 27, 2021, now U.S. Pat. No. 11,309,292 issued on Apr. 19, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/334,928, filed on May 31, 2021, now U.S. Pat. No. 11,217,565 issued on Jan. 4, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/195,517, filed on Mar. 8, 2021, now U.S. Pat. No. 11,063,024 issued on Jul. 13, 2021, which is a continuation-in-part of U.S. patent application Ser. No. 17/020,766, filed on Sep. 14, 2020, now U.S. Pat. No. 11,018,116 issued on May 25, 2021, which is a continuation-in-part of U.S. patent application Ser. No. 16/683,244, filed on Nov. 13, 2019, now U.S. Pat. No. 10,811,395 issued on Oct. 20, 2020, which is a continuation-in-part of U.S. patent application Ser. No. 16/409,840, filed on May 12, 2019, now U.S. Pat. No. 10,515,935 issued on Dec. 24, 2019, which is a continuation-in-part of U.S. patent application Ser. No. 15/990,684, filed on May 28, 2018, now U.S. Pat. No. 10,297,580 issued on May 21, 2019, which is a continuation-in-part of U.S. patent application Ser. No. 15/721,955, filed on Oct. 1, 2017, now U.S. Pat. No. 10,014,282 issued on Jul. 3, 2018, which is a continuation-in-part of U.S. patent application Ser. No. 15/008,444, filed on Jan. 28, 2016, now U.S. Pat. No. 9,786,636 issued on Oct. 10, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 14/541,452, filed on Nov. 14, 2014, now U.S. Pat. No. 9,252,134 issued on Feb. 2, 2016, which is a continuation of U.S. patent application Ser. No. 14/198,041, filed on Mar. 5, 2014, now U.S. Pat. No. 8,921,970 issued on Dec. 30, 2014, which is a continuation of U.S. patent application Ser. No. 13/726,091, filed on Dec. 22, 2012, now U.S. Pat. No. 8,674,470 issued on Mar. 18, 2014. The entire contents of the foregoing applications & issued patents are incorporated herein by reference.

US Referenced Citations (947)
Number Name Date Kind
3007090 Rutz Oct 1961 A
3819959 Chang et al. Jun 1974 A
4009483 Clark Feb 1977 A
4197555 Uehara et al. Apr 1980 A
4213139 Rao et al. Jul 1980 A
4400715 Barbee et al. Aug 1983 A
4487635 Kugimiya et al. Dec 1984 A
4510670 Schwabe Apr 1985 A
4522657 Rohatgi et al. Jun 1985 A
4612083 Yasumoto et al. Sep 1986 A
4643950 Ogura et al. Feb 1987 A
4704785 Curran Nov 1987 A
4711858 Harder et al. Dec 1987 A
4721885 Brodie Jan 1988 A
4732312 Kennedy et al. Mar 1988 A
4733288 Sato Mar 1988 A
4829018 Wahlstrom May 1989 A
4854986 Raby Aug 1989 A
4866304 Yu Sep 1989 A
4939568 Kato et al. Jul 1990 A
4956307 Pollack et al. Sep 1990 A
5012153 Atkinson et al. Apr 1991 A
5032007 Silverstein et al. Jul 1991 A
5047979 Leung Sep 1991 A
5087585 Hayashi Feb 1992 A
5093704 Sato et al. Mar 1992 A
5106775 Kaga et al. Apr 1992 A
5152857 Ito et al. Oct 1992 A
5162879 Gill Nov 1992 A
5189500 Kusunoki Feb 1993 A
5217916 Anderson et al. Jun 1993 A
5250460 Yamagata et al. Oct 1993 A
5258643 Cohen Nov 1993 A
5265047 Leung et al. Nov 1993 A
5266511 Takao Nov 1993 A
5277748 Sakaguchi et al. Jan 1994 A
5286670 Kang et al. Feb 1994 A
5294556 Kawamura Mar 1994 A
5308782 Mazure et al. May 1994 A
5312771 Yonehara May 1994 A
5317236 Zavracky et al. May 1994 A
5324980 Kusunoki Jun 1994 A
5355022 Sugahara et al. Oct 1994 A
5371037 Yonehara Dec 1994 A
5374564 Bruel Dec 1994 A
5374581 Ichikawa et al. Dec 1994 A
5424560 Norman et al. Jun 1995 A
5475280 Jones et al. Dec 1995 A
5478762 Chao Dec 1995 A
5485031 Zhang et al. Jan 1996 A
5498978 Takahashi et al. Mar 1996 A
5527423 Neville et al. Jun 1996 A
5535342 Taylor Jul 1996 A
5554870 Fitch et al. Sep 1996 A
5563084 Ramm et al. Oct 1996 A
5583349 Norman et al. Dec 1996 A
5583350 Norman et al. Dec 1996 A
5586291 Lasker Dec 1996 A
5594563 Larson Jan 1997 A
5604137 Yamazaki et al. Feb 1997 A
5617991 Pramanick et al. Apr 1997 A
5627106 Hsu May 1997 A
5656548 Zavracky et al. Aug 1997 A
5656553 Leas et al. Aug 1997 A
5659194 Iwamatsu Aug 1997 A
5670411 Yonehara Sep 1997 A
5681756 Norman et al. Oct 1997 A
5695557 Yamagata et al. Dec 1997 A
5701027 Gordon et al. Dec 1997 A
5707745 Forrest et al. Jan 1998 A
5714395 Bruel Feb 1998 A
5721160 Forrest et al. Feb 1998 A
5737748 Shigeeda Apr 1998 A
5739552 Kimura et al. Apr 1998 A
5744979 Goetting Apr 1998 A
5748161 Lebby et al. May 1998 A
5757026 Forrest et al. May 1998 A
5770483 Kadosh Jun 1998 A
5770881 Pelella et al. Jun 1998 A
5781031 Bertin et al. Jul 1998 A
5817574 Gardner Oct 1998 A
5829026 Leung et al. Oct 1998 A
5835396 Zhang Nov 1998 A
5854123 Sato et al. Dec 1998 A
5861929 Spitzer Jan 1999 A
5877034 Ramm Mar 1999 A
5877070 Goesele et al. Mar 1999 A
5882987 Srikrishnan Mar 1999 A
5883525 Tavana et al. Mar 1999 A
5889903 Rao Mar 1999 A
5893721 Huang et al. Apr 1999 A
5915167 Leedy Jun 1999 A
5920788 Reinberg Jul 1999 A
5937312 Iyer et al. Aug 1999 A
5943574 Tehrani et al. Aug 1999 A
5952680 Strite Sep 1999 A
5952681 Chen Sep 1999 A
5965875 Merrill Oct 1999 A
5977579 Noble Nov 1999 A
5977961 Rindal Nov 1999 A
5980633 Yamagata et al. Nov 1999 A
5985742 Henley et al. Nov 1999 A
5994746 Reisinger Nov 1999 A
5998808 Matsushita Dec 1999 A
6001693 Yeouchung et al. Dec 1999 A
6009496 Tsai Dec 1999 A
6020252 Aspar et al. Feb 2000 A
6020263 Shih et al. Feb 2000 A
6027958 Vu et al. Feb 2000 A
6030700 Forrest et al. Feb 2000 A
6052498 Paniccia Apr 2000 A
6054370 Doyle Apr 2000 A
6057212 Chan et al. May 2000 A
6071795 Cheung et al. Jun 2000 A
6075268 Gardner et al. Jun 2000 A
6103597 Aspar et al. Aug 2000 A
6111260 Dawson et al. Aug 2000 A
6125217 Paniccia et al. Sep 2000 A
6153495 Kub et al. Nov 2000 A
6191007 Matsui et al. Feb 2001 B1
6200878 Yamagata Mar 2001 B1
6222203 Ishibashi et al. Apr 2001 B1
6226197 Nishimura May 2001 B1
6229161 Nemati et al. May 2001 B1
6242324 Kub et al. Jun 2001 B1
6242778 Marmillion et al. Jun 2001 B1
6252465 Katoh Jun 2001 B1
6259623 Takahashi Jul 2001 B1
6261935 See et al. Jul 2001 B1
6264805 Forrest et al. Jul 2001 B1
6281102 Cao et al. Aug 2001 B1
6294018 Hamm et al. Sep 2001 B1
6306705 Parekh et al. Oct 2001 B1
6321134 Henley et al. Nov 2001 B1
6322903 Siniaguine et al. Nov 2001 B1
6331468 Aronowitz et al. Dec 2001 B1
6331790 Or-Bach et al. Dec 2001 B1
6331943 Naji et al. Dec 2001 B1
6353492 McClelland et al. Mar 2002 B2
6355501 Fung et al. Mar 2002 B1
6355976 Faris Mar 2002 B1
6358631 Forrest et al. Mar 2002 B1
6365270 Forrest et al. Apr 2002 B2
6376337 Wang et al. Apr 2002 B1
6377504 Hilbert Apr 2002 B1
6380046 Yamazaki Apr 2002 B1
6392253 Saxena May 2002 B1
6404043 Isaak Jun 2002 B1
6417108 Akino et al. Jul 2002 B1
6420215 Knall et al. Jul 2002 B1
6423614 Doyle Jul 2002 B1
6429481 Mo et al. Aug 2002 B1
6429484 Yu Aug 2002 B1
6430734 Zahar Aug 2002 B1
6448615 Forbes Sep 2002 B1
6475869 Yu Nov 2002 B1
6476493 Or-Bach et al. Nov 2002 B2
6479821 Hawryluk et al. Nov 2002 B1
6483707 Freuler et al. Nov 2002 B1
6507115 Hofstee Jan 2003 B2
6515334 Yamazaki et al. Feb 2003 B2
6515511 Sugibayashi et al. Feb 2003 B2
6526559 Schiefele et al. Feb 2003 B2
6528391 Henley et al. Mar 2003 B1
6534352 Kim Mar 2003 B1
6534382 Sakaguchi et al. Mar 2003 B1
6544837 Divakauni et al. Apr 2003 B1
6545314 Forbes et al. Apr 2003 B2
6555901 Yoshihara et al. Apr 2003 B1
6563139 Hen May 2003 B2
6580124 Cleeves Jun 2003 B1
6580289 Cox Jun 2003 B2
6600173 Tiwari Jul 2003 B2
6617694 Kodaira et al. Sep 2003 B2
6620659 Emmma et al. Sep 2003 B2
6624046 Zavracky et al. Sep 2003 B1
6627518 Inoue et al. Sep 2003 B1
6627985 Huppenthal et al. Sep 2003 B2
6630713 Geusic Oct 2003 B2
6635552 Gonzalez Oct 2003 B1
6635588 Hawryluk et al. Oct 2003 B1
6638834 Gonzalez Oct 2003 B2
6642744 Or-Bach et al. Nov 2003 B2
6653209 Yamagata Nov 2003 B1
6653712 Knall et al. Nov 2003 B2
6661085 Kellar et al. Dec 2003 B2
6677204 Cleeves et al. Jan 2004 B2
6686253 Or-Bach Feb 2004 B2
6689660 Noble Feb 2004 B1
6701071 Wada et al. Mar 2004 B2
6703328 Tanaka et al. Mar 2004 B2
6756633 Wang et al. Jun 2004 B2
6756811 Or-Bach Jun 2004 B2
6759282 Campbell et al. Jul 2004 B2
6762076 Kim et al. Jul 2004 B2
6774010 Chu et al. Aug 2004 B2
6805979 Ogura et al. Oct 2004 B2
6806171 Ulyashin et al. Oct 2004 B1
6809009 Aspar et al. Oct 2004 B2
6815781 Vyvoda et al. Nov 2004 B2
6819136 Or-Bach Nov 2004 B2
6821826 Chan et al. Nov 2004 B1
6841813 Walker et al. Jan 2005 B2
6844243 Gonzalez Jan 2005 B1
6864534 Ipposhi et al. Mar 2005 B2
6875671 Faris Apr 2005 B2
6882572 Wang et al. Apr 2005 B2
6888375 Feng et al. May 2005 B2
6917219 New Jul 2005 B2
6927431 Gonzalez Aug 2005 B2
6930511 Or-Bach Aug 2005 B2
6943067 Greenlaw Sep 2005 B2
6943407 Ouyang et al. Sep 2005 B2
6949421 Padmanabhan et al. Sep 2005 B1
6953956 Or-Bach et al. Oct 2005 B2
6967149 Meyer et al. Nov 2005 B2
6985012 Or-Bach Jan 2006 B2
6989687 Or-Bach Jan 2006 B2
6995430 Langdo et al. Feb 2006 B2
6995456 Nowak Feb 2006 B2
7015719 Feng et al. Mar 2006 B1
7016569 Mule et al. Mar 2006 B2
7018875 Madurawe Mar 2006 B2
7019557 Madurawe Mar 2006 B2
7043106 West et al. May 2006 B2
7052941 Lee May 2006 B2
7064579 Madurawe Jun 2006 B2
7067396 Aspar et al. Jun 2006 B2
7067909 Reif et al. Jun 2006 B2
7068070 Or-Bach Jun 2006 B2
7068072 New et al. Jun 2006 B2
7078739 Nemati et al. Jul 2006 B1
7094667 Bower Aug 2006 B1
7098691 Or-Bach et al. Aug 2006 B2
7105390 Brask et al. Sep 2006 B2
7105871 Or-Bach et al. Sep 2006 B2
7109092 Tong Sep 2006 B2
7110629 Bjorkman et al. Sep 2006 B2
7111149 Eilert Sep 2006 B2
7112815 Prall Sep 2006 B2
7115945 Lee et al. Oct 2006 B2
7115966 Ido et al. Oct 2006 B2
7141853 Campbell et al. Nov 2006 B2
7148119 Sakaguchi et al. Dec 2006 B1
7157787 Kim et al. Jan 2007 B2
7157937 Apostol et al. Jan 2007 B2
7166520 Henley Jan 2007 B1
7170807 Fazan et al. Jan 2007 B2
7173369 Forrest et al. Feb 2007 B2
7180091 Yamazaki et al. Feb 2007 B2
7180379 Hopper et al. Feb 2007 B1
7183611 Bhattacharyya Feb 2007 B2
7189489 Kunimoto et al. Mar 2007 B2
7205204 Ogawa et al. Apr 2007 B2
7209384 Kim Apr 2007 B1
7217636 Atanackovic May 2007 B1
7223612 Sarma May 2007 B2
7242012 Leedy Jul 2007 B2
7245002 Akino et al. Jul 2007 B2
7256104 Ito et al. Aug 2007 B2
7259091 Schuehrer et al. Aug 2007 B2
7265421 Madurawe Sep 2007 B2
7271420 Cao Sep 2007 B2
7274207 Sugawara et al. Sep 2007 B2
7282951 Huppenthal et al. Oct 2007 B2
7284226 Kondapalli Oct 2007 B1
7296201 Abramovici Nov 2007 B2
7304355 Zhang Dec 2007 B2
7312109 Madurawe Dec 2007 B2
7312487 Alam et al. Dec 2007 B2
7314788 Shaw Jan 2008 B2
7335573 Takayama et al. Feb 2008 B2
7337425 Kirk Feb 2008 B2
7338884 Shimoto et al. Mar 2008 B2
7342415 Teig et al. Mar 2008 B2
7351644 Henley Apr 2008 B2
7358601 Plants et al. Apr 2008 B1
7362133 Madurawe Apr 2008 B2
7369435 Forbes May 2008 B2
7371660 Henley et al. May 2008 B2
7378702 Lee May 2008 B2
7381989 Kim Jun 2008 B2
7385283 Wu Jun 2008 B2
7393722 Issaq et al. Jul 2008 B1
7402483 Yu et al. Jul 2008 B2
7402897 Leedy Jul 2008 B2
7419844 Lee et al. Sep 2008 B2
7432185 Kim Oct 2008 B2
7436027 Ogawa et al. Oct 2008 B2
7439773 Or-Bach et al. Oct 2008 B2
7446563 Madurawe Nov 2008 B2
7459752 Doris et al. Dec 2008 B2
7459763 Issaq et al. Dec 2008 B1
7459772 Speers Dec 2008 B2
7463062 Or-Bach et al. Dec 2008 B2
7463502 Stipe Dec 2008 B2
7470142 Lee Dec 2008 B2
7470598 Lee Dec 2008 B2
7476939 Okhonin et al. Jan 2009 B2
7477540 Okhonin et al. Jan 2009 B2
7485968 Enquist et al. Feb 2009 B2
7486563 Waller et al. Feb 2009 B2
7488980 Takafuji et al. Feb 2009 B2
7492632 Carman Feb 2009 B2
7495473 McCollum et al. Feb 2009 B2
7498675 Farnworth et al. Mar 2009 B2
7499352 Singh Mar 2009 B2
7499358 Bauser Mar 2009 B2
7508034 Takafuji et al. Mar 2009 B2
7514748 Fazan et al. Apr 2009 B2
7521806 Trezza Apr 2009 B2
7525186 Kim et al. Apr 2009 B2
7535089 Fitzgerald May 2009 B2
7541616 Fazan et al. Jun 2009 B2
7547589 Iriguchi Jun 2009 B2
7553745 Lim Jun 2009 B2
7557367 Rogers et al. Jul 2009 B2
7558141 Katsumata et al. Jul 2009 B2
7563659 Kwon et al. Jul 2009 B2
7566855 Olsen et al. Jul 2009 B2
7566974 Konevecki Jul 2009 B2
7586778 Ho et al. Sep 2009 B2
7589375 Jang et al. Sep 2009 B2
7608848 Ho et al. Oct 2009 B2
7612411 Walker Nov 2009 B2
7615462 Kim et al. Nov 2009 B2
7622367 Nuzzo et al. Nov 2009 B1
7632738 Lee Dec 2009 B2
7633162 Lee Dec 2009 B2
7666723 Frank et al. Feb 2010 B2
7670912 Yeo Mar 2010 B2
7671371 Lee Mar 2010 B2
7671460 Lauxtermann et al. Mar 2010 B2
7674687 Henley Mar 2010 B2
7687372 Jain Mar 2010 B2
7687872 Cazaux Mar 2010 B2
7688619 Lung et al. Mar 2010 B2
7692202 Bensch Apr 2010 B2
7692448 Solomon Apr 2010 B2
7692944 Bernstein et al. Apr 2010 B2
7697316 Lai et al. Apr 2010 B2
7709932 Nemoto et al. May 2010 B2
7718508 Lee May 2010 B2
7719876 Chevallier May 2010 B2
7723207 Alam et al. May 2010 B2
7728326 Yamazaki et al. Jun 2010 B2
7732301 Pinnington et al. Jun 2010 B1
7741673 Tak et al. Jun 2010 B2
7742331 Watanabe Jun 2010 B2
7745250 Han Jun 2010 B2
7749884 Mathew et al. Jul 2010 B2
7750669 Spangaro Jul 2010 B2
7755622 Yvon Jul 2010 B2
7759043 Tanabe et al. Jul 2010 B2
7768115 Lee et al. Aug 2010 B2
7772039 Kerber Aug 2010 B2
7772096 DeSouza et al. Aug 2010 B2
7774735 Sood Aug 2010 B1
7776715 Wells et al. Aug 2010 B2
7777330 Pelley et al. Aug 2010 B2
7786460 Lung et al. Aug 2010 B2
7786535 Abou-Khalil et al. Aug 2010 B2
7790524 Abadeer et al. Sep 2010 B2
7795619 Hara Sep 2010 B2
7799675 Lee Sep 2010 B2
7800099 Yamazaki et al. Sep 2010 B2
7800148 Lee et al. Sep 2010 B2
7800163 Izumi et al. Sep 2010 B2
7800199 Oh et al. Sep 2010 B2
7816721 Yamazaki Oct 2010 B2
7843718 Koh et al. Nov 2010 B2
7846814 Lee Dec 2010 B2
7863095 Sasaki et al. Jan 2011 B2
7864568 Fujisaki et al. Jan 2011 B2
7867822 Lee Jan 2011 B2
7888764 Lee Feb 2011 B2
7910432 Tanaka et al. Mar 2011 B2
7915164 Konevecki et al. Mar 2011 B2
7919845 Karp Apr 2011 B2
7965102 Bauer et al. Jun 2011 B1
7968965 Kim Jun 2011 B2
7969193 Wu et al. Jun 2011 B1
7973314 Yang Jul 2011 B2
7982250 Yamazaki et al. Jul 2011 B2
7983065 Samachisa Jul 2011 B2
8008732 Kiyotoshi Aug 2011 B2
8013399 Thomas et al. Sep 2011 B2
8014166 Yazdani Sep 2011 B2
8014195 Okhonin et al. Sep 2011 B2
8022493 Bang Sep 2011 B2
8030780 Kirby et al. Oct 2011 B2
8031544 Kim et al. Oct 2011 B2
8032857 McIlrath Oct 2011 B2
8044448 Kamigaichi et al. Oct 2011 B2
8044464 Yamazaki et al. Oct 2011 B2
8068364 Maejima Nov 2011 B2
8106520 Keeth et al. Jan 2012 B2
8107276 Breitwisch et al. Jan 2012 B2
8129256 Farooq et al. Mar 2012 B2
8129258 Hosier et al. Mar 2012 B2
8130547 Widjaja et al. Mar 2012 B2
8136071 Solomon Mar 2012 B2
8138502 Nakamura et al. Mar 2012 B2
8153520 Chandrashekar Apr 2012 B1
8158515 Farooq et al. Apr 2012 B2
8178919 Fujiwara et al. May 2012 B2
8183630 Batude et al. May 2012 B2
8184463 Saen et al. May 2012 B2
8185685 Selinger May 2012 B2
8203187 Lung et al. Jun 2012 B2
8208279 Lue Jun 2012 B2
8209649 McIlrath Jun 2012 B2
8228684 Losavio et al. Jul 2012 B2
8266560 McIlrath Aug 2012 B2
8264065 Su et al. Sep 2012 B2
8288816 Komori et al. Oct 2012 B2
8294199 Yahashi et al. Oct 2012 B2
8324680 Izumi et al. Dec 2012 B2
8338882 Tanaka et al. Dec 2012 B2
8343851 Kim et al. Jan 2013 B2
8354308 Kang et al. Jan 2013 B2
8355273 Liu Jan 2013 B2
8374033 Kito et al. Feb 2013 B2
8426294 Lung et al. Apr 2013 B2
8432719 Lue Apr 2013 B2
8432751 Hafez Apr 2013 B2
8455941 Ishihara et al. Jun 2013 B2
8470689 Desplobain et al. Jun 2013 B2
8497512 Nakamura et al. Jul 2013 B2
8501564 Suzawa Aug 2013 B2
8507972 Oota et al. Aug 2013 B2
8508994 Okhonin Aug 2013 B2
8513725 Sakuma et al. Aug 2013 B2
8514623 Widjaja et al. Aug 2013 B2
8516408 Dell Aug 2013 B2
8566762 Morimoto et al. Aug 2013 B2
8525342 Chandrasekaran Oct 2013 B2
8546956 Nguyen Oct 2013 B2
8603888 Liu Dec 2013 B2
8611388 Krasulick et al. Dec 2013 B2
8619490 Yu Dec 2013 B2
8630326 Krasulick et al. Jan 2014 B2
8643162 Madurawe Feb 2014 B2
8650516 McIlrath Feb 2014 B2
8654584 Kim et al. Feb 2014 B2
8679861 Bose Mar 2014 B2
8736068 Bartley et al. May 2014 B2
8773562 Fan Jul 2014 B1
8775998 Morimoto Jul 2014 B2
8824183 Samachisa et al. Sep 2014 B2
8841777 Farooq Sep 2014 B2
8853785 Augendre Oct 2014 B2
8896054 Sakuma et al. Nov 2014 B2
8928119 Leedy Jan 2015 B2
8971114 Kang Mar 2015 B2
9105689 Fanelli Aug 2015 B1
9172008 Hwang Oct 2015 B2
9227456 Chien Jan 2016 B2
9230973 Pachamuthu et al. Jan 2016 B2
9269608 Fanelli Feb 2016 B2
9334582 See May 2016 B2
9391090 Manorotkul et al. Jul 2016 B2
9472568 Shin et al. Oct 2016 B2
9564450 Sakuma et al. Feb 2017 B2
9570683 Jo Feb 2017 B1
9589982 Cheng et al. Mar 2017 B1
9595530 Zhou Mar 2017 B1
9627287 Engelhardt et al. Apr 2017 B2
9673257 Takaki Jun 2017 B1
9997530 Yon et al. Jun 2018 B2
10199354 Modi et al. Feb 2019 B2
11830757 Or-Bach Nov 2023 B1
20010000005 Forrest et al. Mar 2001 A1
20010014391 Forrest et al. Aug 2001 A1
20010028059 Emma et al. Oct 2001 A1
20020024140 Nakajima et al. Feb 2002 A1
20020025604 Tiwari Feb 2002 A1
20020074668 Hofstee et al. Jun 2002 A1
20020081823 Cheung et al. Jun 2002 A1
20020090758 Henley et al. Jul 2002 A1
20020096681 Yamazaki et al. Jul 2002 A1
20020113289 Cordes et al. Aug 2002 A1
20020132465 Leedy Sep 2002 A1
20020140091 Callahan Oct 2002 A1
20020141233 Hosotani et al. Oct 2002 A1
20020153243 Forrest et al. Oct 2002 A1
20020153569 Katayama Oct 2002 A1
20020175401 Huang et al. Nov 2002 A1
20020180069 Houston Dec 2002 A1
20020190232 Chason Dec 2002 A1
20020199110 Kean Dec 2002 A1
20030015713 Yoo Jan 2003 A1
20030032262 Dennison et al. Feb 2003 A1
20030059999 Gonzalez Mar 2003 A1
20030060034 Beyne et al. Mar 2003 A1
20030061555 Kamei Mar 2003 A1
20030067043 Zhang Apr 2003 A1
20030076706 Andoh Apr 2003 A1
20030102079 Kalvesten et al. Jun 2003 A1
20030107117 Antonelli et al. Jun 2003 A1
20030113963 Wurzer Jun 2003 A1
20030119279 Enquist Jun 2003 A1
20030139011 Cleeves et al. Jul 2003 A1
20030153163 Letertre Aug 2003 A1
20030157748 Kim et al. Aug 2003 A1
20030160888 Yoshikawa Aug 2003 A1
20030173631 Murakami Sep 2003 A1
20030206036 Or-Bach Nov 2003 A1
20030213967 Forrest et al. Nov 2003 A1
20030224582 Shimoda et al. Dec 2003 A1
20030224596 Marxsen et al. Dec 2003 A1
20040007376 Urdahl et al. Jan 2004 A1
20040014299 Moriceau et al. Jan 2004 A1
20040033676 Coronel et al. Feb 2004 A1
20040036126 Chau et al. Feb 2004 A1
20040047539 Okubora et al. Mar 2004 A1
20040061176 Takafuji et al. Apr 2004 A1
20040113207 Hsu et al. Jun 2004 A1
20040143797 Nguyen Jul 2004 A1
20040150068 Leedy Aug 2004 A1
20040150070 Okada Aug 2004 A1
20040152272 Fladre et al. Aug 2004 A1
20040155301 Zhang Aug 2004 A1
20040156172 Lin et al. Aug 2004 A1
20040156233 Bhattacharyya Aug 2004 A1
20040164425 Urakawa Aug 2004 A1
20040166649 Bressot et al. Aug 2004 A1
20040174732 Morimoto Sep 2004 A1
20040175902 Rayssac et al. Sep 2004 A1
20040178819 New Sep 2004 A1
20040195572 Kato et al. Oct 2004 A1
20040219765 Reif et al. Nov 2004 A1
20040229444 Couillard Nov 2004 A1
20040259312 Schlosser et al. Dec 2004 A1
20040262635 Lee Dec 2004 A1
20040262772 Ramanathan et al. Dec 2004 A1
20050003592 Jones Jan 2005 A1
20050010725 Eilert Jan 2005 A1
20050023656 Leedy Feb 2005 A1
20050045919 Kaeriyama et al. Mar 2005 A1
20050067620 Chan et al. Mar 2005 A1
20050067625 Hata Mar 2005 A1
20050073060 Datta et al. Apr 2005 A1
20050082526 Bedell et al. Apr 2005 A1
20050098822 Mathew May 2005 A1
20050110041 Boutros et al. May 2005 A1
20050121676 Fried et al. Jun 2005 A1
20050121789 Madurawe Jun 2005 A1
20050130351 Leedy Jun 2005 A1
20050130429 Rayssac et al. Jun 2005 A1
20050148137 Brask et al. Jul 2005 A1
20050176174 Leedy Aug 2005 A1
20050218521 Lee Oct 2005 A1
20050225237 Winters Oct 2005 A1
20050266659 Ghyselen et al. Dec 2005 A1
20050273749 Kirk Dec 2005 A1
20050280061 Lee Dec 2005 A1
20050280090 Anderson et al. Dec 2005 A1
20050280154 Lee Dec 2005 A1
20050280155 Lee Dec 2005 A1
20050280156 Lee Dec 2005 A1
20050282019 Fukushima et al. Dec 2005 A1
20060014331 Tang et al. Jan 2006 A1
20060024923 Sarma et al. Feb 2006 A1
20060033110 Alam et al. Feb 2006 A1
20060033124 Or-Bach et al. Feb 2006 A1
20060043367 Chang et al. Feb 2006 A1
20060049449 Iino Mar 2006 A1
20060065953 Kim et al. Mar 2006 A1
20060067122 Verhoeven Mar 2006 A1
20060071322 Kitamura Apr 2006 A1
20060071332 Speers Apr 2006 A1
20060083280 Tauzin et al. Apr 2006 A1
20060108613 Song May 2006 A1
20060108627 Choi et al. May 2006 A1
20060113522 Lee et al. Jun 2006 A1
20060118935 Kamiyama et al. Jun 2006 A1
20060121690 Pogge et al. Jun 2006 A1
20060150137 Madurawe Jul 2006 A1
20060158511 Harrold Jul 2006 A1
20060170046 Hara Aug 2006 A1
20060179417 Madurawe Aug 2006 A1
20060181202 Liao et al. Aug 2006 A1
20060189095 Ghyselen et al. Aug 2006 A1
20060194401 Hu et al. Aug 2006 A1
20060195729 Huppenthal et al. Aug 2006 A1
20060207087 Jafri et al. Sep 2006 A1
20060224814 Kim et al. Oct 2006 A1
20060237777 Choi Oct 2006 A1
20060249859 Eiles et al. Nov 2006 A1
20060275962 Lee Dec 2006 A1
20070004150 Huang Jan 2007 A1
20070014508 Chen et al. Jan 2007 A1
20070035329 Madurawe Feb 2007 A1
20070063259 Derderian et al. Mar 2007 A1
20070072391 Pocas et al. Mar 2007 A1
20070076509 Zhang Apr 2007 A1
20070077694 Lee Apr 2007 A1
20070077743 Rao et al. Apr 2007 A1
20070090416 Doyle et al. Apr 2007 A1
20070102737 Kashiwabara et al. May 2007 A1
20070103191 Sugawara et al. May 2007 A1
20070108523 Ogawa et al. May 2007 A1
20070109831 RaghuRam May 2007 A1
20070111386 Kim et al. May 2007 A1
20070111406 Joshi et al. May 2007 A1
20070132049 Stipe Jun 2007 A1
20070132369 Forrest et al. Jun 2007 A1
20070135013 Faris Jun 2007 A1
20070141781 Park Jun 2007 A1
20070158659 Bensce Jul 2007 A1
20070158831 Cha et al. Jul 2007 A1
20070176214 Kwon et al. Aug 2007 A1
20070187775 Okhonin et al. Aug 2007 A1
20070190746 Ito et al. Aug 2007 A1
20070194453 Chakraborty et al. Aug 2007 A1
20070206408 Schwerin Sep 2007 A1
20070210336 Madurawe Sep 2007 A1
20070211535 Kim Sep 2007 A1
20070215903 Sakamoto et al. Sep 2007 A1
20070218622 Lee et al. Sep 2007 A1
20070228383 Bernstein et al. Oct 2007 A1
20070252201 Kito et al. Nov 2007 A1
20070252203 Zhu et al. Nov 2007 A1
20070262457 Lin Nov 2007 A1
20070275520 Suzuki Nov 2007 A1
20070281439 Bedell et al. Dec 2007 A1
20070283298 Bernstein et al. Dec 2007 A1
20070287224 Alam et al. Dec 2007 A1
20070296073 Wu Dec 2007 A1
20070297232 Iwata Dec 2007 A1
20080001204 Lee Jan 2008 A1
20080003818 Seidel et al. Jan 2008 A1
20080030228 Amarilio Feb 2008 A1
20080032463 Lee Feb 2008 A1
20080038902 Lee Feb 2008 A1
20080048239 Huo Feb 2008 A1
20080048327 Lee Feb 2008 A1
20080054359 Yang et al. Mar 2008 A1
20080067573 Jang et al. Mar 2008 A1
20080070340 Borrelli et al. Mar 2008 A1
20080072182 He et al. Mar 2008 A1
20080099780 Tran May 2008 A1
20080099819 Kito et al. May 2008 A1
20080108171 Rogers et al. May 2008 A1
20080123418 Widjaja May 2008 A1
20080124845 Yu et al. May 2008 A1
20080128745 Mastro et al. Jun 2008 A1
20080128780 Nishihara Jun 2008 A1
20080135949 Lo et al. Jun 2008 A1
20080136455 Diamant et al. Jun 2008 A1
20080142937 Chen et al. Jun 2008 A1
20080142959 DeMulder et al. Jun 2008 A1
20080143379 Norman Jun 2008 A1
20080150579 Madurawe Jun 2008 A1
20080160431 Scott et al. Jul 2008 A1
20080160726 Lim et al. Jul 2008 A1
20080165521 Bernstein et al. Jul 2008 A1
20080175032 Tanaka et al. Jul 2008 A1
20080179678 Dyer et al. Jul 2008 A1
20080180132 Ishikawa Jul 2008 A1
20080185648 Jeong Aug 2008 A1
20080191247 Yin et al. Aug 2008 A1
20080191312 Oh et al. Aug 2008 A1
20080194068 Temmler et al. Aug 2008 A1
20080203452 Moon et al. Aug 2008 A1
20080213982 Park et al. Sep 2008 A1
20080220558 Zehavi et al. Sep 2008 A1
20080220565 Hsu et al. Sep 2008 A1
20080224260 Schmit et al. Sep 2008 A1
20080237591 Leedy Oct 2008 A1
20080239818 Mokhlesi Oct 2008 A1
20080242028 Mokhlesi Oct 2008 A1
20080248618 Ahn et al. Oct 2008 A1
20080251862 Fonash et al. Oct 2008 A1
20080254561 Yoo Oct 2008 A2
20080254572 Leedy Oct 2008 A1
20080254623 Chan Oct 2008 A1
20080261378 Yao et al. Oct 2008 A1
20080266960 Kuo Oct 2008 A1
20080272492 Tsang Nov 2008 A1
20080277778 Furman et al. Nov 2008 A1
20080283873 Yang Nov 2008 A1
20080283875 Mukasa et al. Nov 2008 A1
20080284611 Leedy Nov 2008 A1
20080296681 Georgakos et al. Dec 2008 A1
20080315253 Yuan Dec 2008 A1
20080315351 Kakehata Dec 2008 A1
20090001469 Yoshida et al. Jan 2009 A1
20090001504 Takei et al. Jan 2009 A1
20090016716 Ishida Jan 2009 A1
20090026541 Chung Jan 2009 A1
20090026618 Kim Jan 2009 A1
20090032899 Irie Feb 2009 A1
20090032951 Andry et al. Feb 2009 A1
20090039918 Madurawe Feb 2009 A1
20090052827 Durfee et al. Feb 2009 A1
20090055789 McIlrath Feb 2009 A1
20090057879 Garrou et al. Mar 2009 A1
20090061572 Hareland et al. Mar 2009 A1
20090064058 McIlrath Mar 2009 A1
20090065827 Hwang Mar 2009 A1
20090066365 Solomon Mar 2009 A1
20090066366 Solomon Mar 2009 A1
20090070721 Solomon Mar 2009 A1
20090070727 Solomon Mar 2009 A1
20090078970 Yamazaki Mar 2009 A1
20090079000 Yamazaki et al. Mar 2009 A1
20090081848 Erokhin Mar 2009 A1
20090087759 Matsumoto et al. Apr 2009 A1
20090096009 Dong et al. Apr 2009 A1
20090096024 Shingu et al. Apr 2009 A1
20090108318 Yoon et al. Apr 2009 A1
20090115042 Koyanagi May 2009 A1
20090134397 Yokoi et al. May 2009 A1
20090144669 Bose et al. Jun 2009 A1
20090144678 Bose et al. Jun 2009 A1
20090146172 Pumyea Jun 2009 A1
20090159870 Lin et al. Jun 2009 A1
20090160482 Karp et al. Jun 2009 A1
20090161401 Bigler et al. Jun 2009 A1
20090162993 Yui et al. Jun 2009 A1
20090166627 Han Jul 2009 A1
20090174018 Dungan Jul 2009 A1
20090179268 Abou-Khalil et al. Jul 2009 A1
20090185407 Park Jul 2009 A1
20090194152 Liu et al. Aug 2009 A1
20090194768 Leedy Aug 2009 A1
20090194829 Chung Aug 2009 A1
20090194836 Kim Aug 2009 A1
20090204933 Rezgui Aug 2009 A1
20090212317 Kolodin et al. Aug 2009 A1
20090218627 Zhu Sep 2009 A1
20090221110 Lee et al. Sep 2009 A1
20090224330 Hong Sep 2009 A1
20090224364 Oh et al. Sep 2009 A1
20090230462 Tanaka et al. Sep 2009 A1
20090234331 Langereis et al. Sep 2009 A1
20090236749 Otemba et al. Sep 2009 A1
20090242893 Tomiyasu Oct 2009 A1
20090242935 Fitzgerald Oct 2009 A1
20090250686 Sato et al. Oct 2009 A1
20090262572 Krusin-Elbaum Oct 2009 A1
20090262583 Lue Oct 2009 A1
20090263942 Ohnuma et al. Oct 2009 A1
20090267233 Lee Oct 2009 A1
20090268983 Stone et al. Oct 2009 A1
20090272989 Shum et al. Nov 2009 A1
20090290434 Kurjanowicz Nov 2009 A1
20090294822 Batude et al. Dec 2009 A1
20090294836 Kiyotoshi Dec 2009 A1
20090294861 Thomas et al. Dec 2009 A1
20090294990 Ishino et al. Dec 2009 A1
20090302294 Kim Dec 2009 A1
20090302387 Joshi et al. Dec 2009 A1
20090302394 Fujita Dec 2009 A1
20090309152 Knoefler et al. Dec 2009 A1
20090315095 Kim Dec 2009 A1
20090317950 Okihara Dec 2009 A1
20090321830 Maly Dec 2009 A1
20090321853 Cheng Dec 2009 A1
20090321948 Wang et al. Dec 2009 A1
20090325343 Lee Dec 2009 A1
20100001282 Mieno Jan 2010 A1
20100013049 Tanaka Jan 2010 A1
20100025766 Nuttinck et al. Feb 2010 A1
20100025825 DeGraw et al. Feb 2010 A1
20100031217 Sinha et al. Feb 2010 A1
20100032635 Schwerin Feb 2010 A1
20100038699 Katsumata et al. Feb 2010 A1
20100038743 Lee Feb 2010 A1
20100045849 Yamasaki Feb 2010 A1
20100052134 Werner et al. Mar 2010 A1
20100058580 Yazdani Mar 2010 A1
20100059796 Scheuerlein Mar 2010 A1
20100059864 Mahler et al. Mar 2010 A1
20100078770 Purushothaman et al. Apr 2010 A1
20100081232 Furman et al. Apr 2010 A1
20100089627 Huang et al. Apr 2010 A1
20100090188 Fatasuyama Apr 2010 A1
20100112753 Lee May 2010 A1
20100112810 Lee et al. May 2010 A1
20100117048 Lung et al. May 2010 A1
20100123202 Hofmann May 2010 A1
20100123480 Kitada et al. May 2010 A1
20100133695 Lee Jun 2010 A1
20100133704 Marimuthu et al. Jun 2010 A1
20100137143 Rothberg et al. Jun 2010 A1
20100139836 Horikoshi Jun 2010 A1
20100140790 Setiadi et al. Jun 2010 A1
20100155932 Gambino Jun 2010 A1
20100157117 Wang Jun 2010 A1
20100159650 Song Jun 2010 A1
20100181600 Law Jul 2010 A1
20100190334 Lee Jul 2010 A1
20100193884 Park et al. Aug 2010 A1
20100193964 Farooq et al. Aug 2010 A1
20100219392 Awaya Sep 2010 A1
20100221867 Bedell et al. Sep 2010 A1
20100224876 Zhu Sep 2010 A1
20100224915 Kawashima et al. Sep 2010 A1
20100225002 Law et al. Sep 2010 A1
20100232200 Shepard Sep 2010 A1
20100252934 Law Oct 2010 A1
20100264551 Farooq Oct 2010 A1
20100276662 Colinge Nov 2010 A1
20100289144 Farooq Nov 2010 A1
20100297844 Yelehanka Nov 2010 A1
20100307572 Bedell et al. Dec 2010 A1
20100308211 Cho et al. Dec 2010 A1
20100308863 Gliese et al. Dec 2010 A1
20100320514 Tredwell Dec 2010 A1
20100320526 Kidoh et al. Dec 2010 A1
20100330728 McCarten Dec 2010 A1
20100330752 Jeong Dec 2010 A1
20110001172 Lee Jan 2011 A1
20110003438 Lee Jan 2011 A1
20110024724 Frolov et al. Feb 2011 A1
20110026263 Xu Feb 2011 A1
20110027967 Beyne Feb 2011 A1
20110037052 Schmidt et al. Feb 2011 A1
20110042696 Smith et al. Feb 2011 A1
20110049336 Matsunuma Mar 2011 A1
20110050125 Medendorp et al. Mar 2011 A1
20110053332 Lee Mar 2011 A1
20110101537 Barth et al. May 2011 A1
20110102014 Madurawe May 2011 A1
20110111560 Purushothaman May 2011 A1
20110115023 Cheng May 2011 A1
20110128777 Yamazaki Jun 2011 A1
20110134683 Yamazaki Jun 2011 A1
20110143506 Lee Jun 2011 A1
20110147791 Norman et al. Jun 2011 A1
20110147849 Augendre et al. Jun 2011 A1
20110159635 Doan et al. Jun 2011 A1
20110170331 Oh Jul 2011 A1
20110204917 O'Neill Aug 2011 A1
20110221022 Toda Sep 2011 A1
20110222356 Banna Sep 2011 A1
20110227158 Zhu Sep 2011 A1
20110241082 Bernstein et al. Oct 2011 A1
20110284946 Kiyotoshi Nov 2011 A1
20110284992 Zhu Nov 2011 A1
20110286283 Lung et al. Nov 2011 A1
20110304765 Yogo et al. Dec 2011 A1
20110309432 Ishihara et al. Dec 2011 A1
20110314437 McIlrath Dec 2011 A1
20120001184 Ha et al. Jan 2012 A1
20120003815 Lee Jan 2012 A1
20120013013 Sadaka et al. Jan 2012 A1
20120025388 Law et al. Feb 2012 A1
20120032250 Son et al. Feb 2012 A1
20120034759 Sakaguchi et al. Feb 2012 A1
20120063090 Hsiao et al. Mar 2012 A1
20120074466 Setiadi et al. Mar 2012 A1
20120086100 Andry Apr 2012 A1
20120126197 Chung May 2012 A1
20120146193 Stuber et al. Jun 2012 A1
20120161310 Brindle et al. Jun 2012 A1
20120169319 Dennard Jul 2012 A1
20120178211 Hebert Jul 2012 A1
20120181654 Lue Jul 2012 A1
20120182801 Lue Jul 2012 A1
20120187444 Oh Jul 2012 A1
20120193785 Lin Aug 2012 A1
20120241919 Mitani Sep 2012 A1
20120286822 Madurawe Nov 2012 A1
20120304142 Morimoto Nov 2012 A1
20120317528 McIlrath Dec 2012 A1
20120319728 Madurawe Dec 2012 A1
20130026663 Radu et al. Jan 2013 A1
20130037802 England Feb 2013 A1
20130049796 Pang Feb 2013 A1
20130070506 Kajigaya Mar 2013 A1
20130082235 Gu et al. Apr 2013 A1
20130097574 Balabanov et al. Apr 2013 A1
20130100743 Lue Apr 2013 A1
20130128666 Avila May 2013 A1
20130187720 Ishii Jul 2013 A1
20130193550 Sklenard et al. Aug 2013 A1
20130196500 Batude et al. Aug 2013 A1
20130203248 Ernst et al. Aug 2013 A1
20130207243 Fuergut Aug 2013 A1
20130263393 Mazumder Oct 2013 A1
20130337601 Kapur Dec 2013 A1
20140015136 Gan et al. Jan 2014 A1
20140030871 Arriagada et al. Jan 2014 A1
20140035616 Oda et al. Feb 2014 A1
20140048867 Toh Feb 2014 A1
20140099761 Kim et al. Apr 2014 A1
20140103959 Andreev Apr 2014 A1
20140117413 Madurawe May 2014 A1
20140120695 Ohtsuki May 2014 A1
20140131885 Samadi et al. May 2014 A1
20140137061 McIlrath May 2014 A1
20140145347 Samadi et al. May 2014 A1
20140146630 Xie et al. May 2014 A1
20140149958 Samadi et al. May 2014 A1
20140151774 Rhie Jun 2014 A1
20140191357 Lee Jul 2014 A1
20140225218 Du Aug 2014 A1
20140225235 Du Aug 2014 A1
20140252306 Du Sep 2014 A1
20140253196 Du et al. Sep 2014 A1
20140264228 Toh Sep 2014 A1
20140357054 Son et al. Dec 2014 A1
20150021785 Lin Jan 2015 A1
20150034898 Wang Feb 2015 A1
20150243887 Saitoh Aug 2015 A1
20150255418 Gowda Sep 2015 A1
20150279829 Kuo Oct 2015 A1
20150340369 Lue Nov 2015 A1
20160049201 Lue Feb 2016 A1
20160104780 Mauder Apr 2016 A1
20160133603 Ahn May 2016 A1
20160141299 Hong May 2016 A1
20160141334 Takaki May 2016 A1
20160307952 Huang Oct 2016 A1
20160343687 Vadhavkar Nov 2016 A1
20170069601 Park Mar 2017 A1
20170092371 Harari Mar 2017 A1
20170098596 Lin Apr 2017 A1
20170148517 Harari May 2017 A1
20170179146 Park Jun 2017 A1
20170221900 Widjaja Aug 2017 A1
20170278858 Walker et al. Sep 2017 A1
20180090219 Harari Mar 2018 A1
20180090368 Kim Mar 2018 A1
20180108416 Harari Apr 2018 A1
20180294284 Tarakji Oct 2018 A1
20190006009 Harari Jan 2019 A1
20190043836 Fastow et al. Feb 2019 A1
20190067327 Herner et al. Feb 2019 A1
20190157296 Harari et al. May 2019 A1
20200020408 Norman Jan 2020 A1
20200020718 Harari et al. Jan 2020 A1
20200051990 Harari et al. Feb 2020 A1
20200105773 Morris et al. Apr 2020 A1
20200227123 Salahuddin et al. Jul 2020 A1
20200243486 Quader et al. Jul 2020 A1
20230170244 Or-Bach Jun 2023 A1
20230275111 Or-Bach Aug 2023 A1
20230307283 Or-Bach Sep 2023 A1
20230343632 Or-Bach Oct 2023 A1
20230352333 Or-Bach Nov 2023 A1
20230378339 Or-Bach Nov 2023 A1
20230395572 Or-Bach Dec 2023 A1
Foreign Referenced Citations (2)
Number Date Country
1267594 Dec 2002 EP
PCTUS2008063483 May 2008 WO
Non-Patent Literature Citations (277)
Entry
Colinge, J. P., et al., “Nanowire transistors without Junctions”, Nature Nanotechnology, Feb. 21, 2010, pp. 1-5.
Kim, J.Y., et al., “The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor (RCAT) for 88 nm feature size and beyond,” 2003 Symposium on VLSI Technology Digest of Technical Papers, pp. 11-12, Jun. 10-12, 2003.
Kim, J.Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 33-34, Apr. 25-27, 2005.
Abramovici, Breuer and Friedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990, pp. 432-447.
Yonehara, T., et al., “ELTRAN: SOI-Epi Wafer by Epitaxial Layer transfer from porous Silicon”, the 198th Electrochemical Society Meeting, abstract No. 438 (2000).
Yonehara, T. et al., “Eltran®, Novel SOI Wafer Technology,” JSAP International, Jul. 2001, pp. 10-16, No. 4.
Suk, S. D., et al., “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720.
Bangsaruntip, S., et al., “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, pp. 297-300, Dec. 7-9, 2009.
Burr, G. W., et al., “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, No. 4.5, pp. 449-464, Jul. 2008.
Bez, R., et al., “Introduction to Flash memory,” Proceedings IEEE, 91(4), 489-502 (2003).
Auth, C., et al., “45nm High-k + Metal Gate Strain-Enchanced Transistors,” Symposium on VLSI Technology Digest of Technical Papers, 2008, pp. 128-129.
Jan, C. H., et al., “A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,” IEEE International Electronic Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Mistry, K., “A 45nm Logic Technology With High-K+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-Free Packaging,” Electron Devices Meeting, 2007, IEDM 2007, IEEE International, Dec. 10-12, 2007, p. 247.
Ragnarsson, L., et al., “Ultralow-EOT (5 A) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009.
Sen, P & Kim, C.J., “A Fast Liquid-Metal Droplet Microswitch Using EWOD-Driven Contact-Line Sliding”, Journal of Microelectromechanical Systems, vol. 18, No. 1, Feb. 2009, pp. 174-185.
Iwai, H., et.al., “NiSi Salicide Technology for Scaled CMOS,” Microelectronic Engineering, 60 (2002), pp. 157-169.
Froment, B., et.al., “Nickel vs. Cobalt Silicide integration for sub-50nm CMOS”, IMEC ESS Circuits, 2003. pp. 215-219.
James, D., “65 and 45-nm Devices—an Overview”, Semicon West, Jul. 2008, paper No. ctr_024377.
Davis, J.A., et.al., “Interconnect Limits on Gigascale Integration(GSI) in the 21st Century”, Proc. IEEE, vol. 89, No. 3, pp. 305-324, Mar. 2001.
Shino, T., et al., “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” Electron Devices Meeting, 2006, IEDM '06, International, pp. 1-4, Dec. 11-13, 2006.
Hamamoto, T., et al., “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, vol. 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC'08, Jul. 2009, pp. 676-683.
Okhonin, S., et al., “New Generation of Z-RAM”, Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 925-928, Dec. 10-12, 2007.
Henttinen, K. et al., “Mechanically Induced Si Layer Transfer in Hydrogen-Implanted Si Wafers,” Applied Physics Letters, Apr. 24, 2000, p. 2370-2372, vol. 76, No. 17.
Lee, C.-W., et al., “Junctionless multigate field-effect transistor,” Applied Physics Letters, vol. 94, pp. 053511-1 to -2, 2009.
Park, S. G., et al., “Implementation of HfSiON gate dielectric for sub-60nm DRAM dual gate oxide with recess channel array transistor (RCAT) and tungsten gate,” International Electron Devices Meeting, IEDM 2004, pp. 515-518, Dec. 13-15, 2004.
Kim, J.Y., et al., “S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond,” 2005 Symposium on VLSI Technology Digest of Technical Papers, 2005 pp. 34-35, Jun. 14-16, 2005.
Oh, H.J., et al., “High-density low-power-operating DRAM device adopting 6F2 cell scheme with novel S-RCAT structure on 80nm feature size and beyond,” Solid-State Device Research Conference, ESSDERC 2005. Proceedings of 35th European , pp. 177-180, Sep. 12-16, 2005.
Chung, S.-W., et al., “Highly Scalable Saddle-Fin (S-Fin) Transistor for Sub-50nm DRAM Technology,” 2006 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33.
Lee, M. J., et al., “A Proposal on an Optimized Device Structure With Experimental Studies on Recent Devices for the DRAM Cell Transistor,” IEEE Transactions on Electron Devices, vol. 54, No. 12, pp. 3325-3335, Dec. 2007.
Henttinen, K. et al., “Cold ion-cutting of hydrogen implanted Si,” J. Nucl. Instr. and Meth. in Phys. Res. B, 2002, pp. 761-766, vol. 190.
Brumfiel, G., “Solar cells sliced and diced”, May 19, 2010, Nature News.
Dragoi, et al., “Plasma-activated wafer bonding: the new low-temperature tool for MEMS fabrication”, Proc. SPIE, vol. 6589, 65890T (2007).
Vengurlekar, A., et al., “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, vol. 864, Spring 2005, E9.28.1-6.
Yamada, M. et al., “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well,” Japanese Journal of Applied Physics, 2002, pp. L246-L248, vol. 41.
Guo, X. et al., “Cascade single-chip phosphor-free white light emitting diodes,” Applied Physics Letters, 2008, pp. 013507-1-013507-3, vol. 92.
Takafuji, Y. et al., “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate,” IEEE International Electron Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Wierer, J.J. et al., “High-power AlGaInN flip-chip light-emitting diodes,” Applied Physics Letters, May 28, 2001, pp. 3379-3381, vol. 78, No. 22.
El-Gamal, A., “Trends in CMOS Image Sensor Technology and Design,” International Electron Devices Meeting Digest of Technical Papers, Dec. 2002.
Ahn, S.W., “Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography,” Nanotechnology, 2005, pp. 1874-1877, vol. 16, No. 9.
Johnson, R.C., “Switching LEDs on and off to enlighten wireless communications,” EE Times, Jun. 2010, last accessed Oct. 11, 2010, <http://www.embeddedinternetdesign.com/design/225402094>.
Ohsawa, et al., “Autonomous Refresh of Floating Body Cell (FBC)”, International Electron Device Meeting, 2008, pp. 801-804.
Chen, P., et al., “Effects of Hydrogen Implantation Damage on the Performance of InP/InGaAs/InP p-i-n Photodiodes, Transferred on Silicon,” Applied Physics Letters, vol. 94, No. 1, Jan. 2009, pp. 012101-1 to 012101-3.
Lee, D., et al., “Single-Crystalline Silicon Micromirrors Actuated by Self-Aligned Vertical Electrostatic Combdrives with Piston-Motion and Rotation Capability,” Sensors and Actuators A114, 2004, pp. 423-428.
Shi, X., et al., “Characterization of Low-Temperature Processed Single-Crystalline Silicon Thin-Film Transistor on Glass,” IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 574-576.
Chen, W., et al., “InP Layer Transfer with Masked Implantation,” Electrochemical and Solid-State Letters, Issue 12, No. 4, Apr. 2009, H149-150.
Feng, J., et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” IEEE Electron Device Letters, vol. 27, No. 11, Nov. 2006, pp. 911-913.
Zhang, S., et al., “Stacked CMOS Technology on SOI Substrate,” IEEE Electron Device Letters, vol. 25, No. 9, Sep. 2004, pp. 661-663.
Brebner, G., “Tooling up for Reconfigurable System Design,” IEE Colloquium on Reconfigurable Systems, 1999, Ref. No. 1999/061, pp. 2/1-2/4.
Bae, Y.-D., “A Single-Chip Programmable Platform Based on a Multithreaded Processor and Configurable Logic Clusters,” 2002 IEEE International Solid-State Circuits Conference, Feb. 3-7, 2002, Digest of Technical Papers, ISSCC, vol. 1, pp. 336-337.
Lu, N.C.C., et al., “A Buried-Trench DRAM Cell Using a Self-aligned Epitaxy Over Trench Technology,” Electron Devices Meeting, IEDM '88 Technical Digest, International, 1988, pp. 588-591.
Valsamakis, E.A., “Generator for a Custom Statistical Bipolar Transistor Model,” IEEE Journal of Solid-State Circuits, Apr. 1985, pp. 586-589, vol. SC-20, No. 2.
Srivastava, P. et al., “Silicon Substrate Removal of GaN DHFETs for enhanced (>1100V) Breakdown Voltage,” Aug. 2010, IEEE Electron Device Letters, vol. 31, No. 8, pp. 851-852.
Gosele, U., et al., “Semiconductor Wafer Bonding,” Annual Review of Materials Science, Aug. 1998, pp. 215-241, vol. 28.
Spangler, L.J. et al., “A Technology for High Performance Single-Crystal Silicon-on-Insulator Transistors,” IEEE Electron Device Letters, Apr. 1987, pp. 137-139, vol. 8, No. 4.
Larrieu, G., et al., “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp. 147-150.
Qui, Z., et al., “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, No. 1, Jan. 2008, pp. 396-403.
Khater, M.H., et al., “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, No. 4, Apr. 2010, pp. 275-277.
Abramovici, M., “In-system silicon validation and debug”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 216-223.
Saxena, P., et al., “Repeater Scaling and Its Impact on CAD”, IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, vol. 23, No. 4, Apr. 2004.
Abrmovici, M., et al., A reconfigurable design-for-debug infrastructure for SoCs, (2006) Proceedings—Design Automation Conference, pp. 7-12.
Anis, E., et al., “Low cost debug architecture using lossy compression for silicon debug”, (2007) Proceedings of the IEEE/ACM Design, pp. 225-230.
Anis, E., et al., “On using lossless compression of debug data in embedded logic analysis”, (2007) Proceedings of the IEEE International Test Conference, paper 18.3, pp. 1-10.
Boule, M., et al., “Adding debug enhancements to assertion checkers for hardware emulation and silicon debug”, (2006) Proceedings of the IEEE International Conference on Computer Design, pp. 294-299.
Boule, M., et al., “Assertion checkers in verification, silicon debug and in-field diagnosis”, (2007) Proceedings—Eighth International Symposium on Quality Electronic Design, ISQED 2007, pp. 613-618.
Burtscher, M., et al., “The VPC trace-compression algorithms”, (2005) IEEE Transactions on Computers, 54(11), Nov. 2005, pp. 1329-1344.
Frieden, B., “Trace port on powerPC 405 cores”, (2007) Electronic Product Design, 28 (6), pp. 12-14.
Hopkins, A.B.T., et al., “Debug support for complex systems on-chip: A review”, (2006) IEEE Proceedings: Computers and Digital Techniques, 153 (4), Jul. 2006, pp. 197-207.
Hsu, Y.-C., et al., “Visibility enhancement for silicon debug”, (2006) Proceedings—Design Automation Conference, Jul. 24-28, 2006, San Francisco, pp. 13-18.
Josephson, D., et al., “The crazy mixed up world of silicon debug”, (2004) Proceedings of the Custom Integrated Circuits Conference, paper 30-1, pp. 665-670.
Josephson, D.D., “The manic depression of microprocessor debug”, (2002) IEEE International Test Conference (TC), paper 23.4, pp. 657-663.
Ko, H.F., et al., “Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (2), pp. 285-297.
Ko, H.F., et al., “Distributed embedded logic analysis for post-silicon validation of SOCs”, (2008) Proceedings of the IEEE International Test Conference, paper 16.3, pp. 755-763.
Ko, H.F., et al., “Functional scan chain design at RTL for skewed-load delay fault testing”, (2004) Proceedings of the Asian Test Symposium, pp. 454-459.
Ko, H.F., et al., “Resource-efficient programmable trigger units for post-silicon validation”, (2009) Proceedings of the 14th IEEE European Test Symposium, ETS 2009, pp. 17-22.
Liu, X., et al., “On reusing test access mechanisms for debug data transfer in SoC post-silicon validation”, (2008) Proceedings of the Asian Test Symposium, pp. 303-308.
Liu, X., et al., “Trace signal selection for visibility enhancement in post-silicon validation”, (2009) Proceedings DATE, pp. 1338-1343.
McLaughlin, R., et al., “Automated debug of speed path failures using functional tests”, (2009) Proceedings of the IEEE VLSI Test Symposium, pp. 91-96.
Morris, K., “On-Chip Debugging—Built-in Logic Analyzers on your FPGA”, (2004) Journal of FPGA and Structured ASIC, 2 (3).
Nicolici, N., et al., “Design-for-debug for post-silicon validation: Can high-level descriptions help?”, (2009) Proceedings—IEEE International High-Level Design Validation and Test Workshop, HLDVT, pp. 172-175.
Park, S.-B., et al., “IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization”, (2008) Design Automation Conference (DAC08), Jun. 8-13, 2008, Anaheim, CA, USA, pp. 373-378.
Park, S.-B., et al., “Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA)”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (10), pp. 1545-1558.
Moore, B., et al., “High Throughput Non-contact SiP Testing”, (2007) Proceedings—International Test Conference, paper 12.3.
Riley, M.W., et al., “Cell broadband engine debugging for unknown events”, (2007) IEEE Design and Test of Computers, 24 (5), pp. 486-493.
Vermeulen, B., “Functional debug techniques for embedded systems”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 208-215.
Vermeulen, B., et al., “Automatic Generation of Breakpoint Hardware for Silicon Debug”, Proceeding of the 41st Design Automation Conference, Jun. 7-11, 2004, p. 514-517.
Vermeulen, B., et al., “Design for debug: Catching design errors in digital chips”, (2002) IEEE Design and Test of Computers, 19 (3), pp. 37-45.
Vermeulen, B., et al., “Core-based scan architecture for silicon debug”, (2002) IEEE International Test Conference (TC), pp. 638-647.
Vanrootselaar, G. J., et al., “Silicon debug: scan chains alone are not enough”, (1999) IEEE International Test Conference (TC), pp. 892-902.
Kim, G.-S., et al., “A 25-mV-sensitivity 2-GB/s optimum-logic-threshold capacitive-coupling receiver for wireless wafer probing systems”, (2009) IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (9), pp. 709-713.
Sellathamby, C.V., et al., “Non-contact wafer probe using wireless probe cards”, (2005) Proceedings—International Test Conference, 2005, pp. 447-452.
Jung, S.-M., et al., “Soft Error Immune 0.46pm2 SRAM Cell with MIM Node Capacitor by 65nm CMOS Technology for Ultra High Speed SRAM”, IEDM 2003, pp. 289-292.
Brillouet, M., “Emerging Technologies on Silicon”, IEDM 2004, pp. 17-24.
Meindl, J. D., “Beyond Moore's Law: The Interconnect Era”, IEEE Computing in Science & Engineering, Jan./Feb. 2003, pp. 20-24.
Lin, X., et al., “Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction”, IEEE Transactions on electron Devices, vol. 53, No. 6, Jun. 2006, pp. 1405-1410.
He, T., et al., “Controllable Molecular Modulation of Conductivity in Silicon-Based Devices”, J. Am. Chem. Soc. 2009, 131, 10023-10030.
Henley, F., “Engineered Substrates Using the Nanocleave Process”, SemiconWest, TechXPOT Conference—Challenges in Device Scaling, Jul. 19, 2006, San Francisco.
Diamant, G., et al., “Integrated Circuits based on Nanoscale Vacuum Phototubes”, Applied Physics Letters 92, 262903-1 to 262903-3 (2008).
Landesberger, C., et al., “Carrier techniques for thin wafer processing”, CS MANTECH Conference, May 14-17, 2007 Austin, Texas, pp. 33-36.
Shen, W., et al., “Mercury Droplet Micro switch for Re-configurable Circuit Interconnect”, The 12th International Conference on Solid State Sensors, Actuators and Microsystems. Boston, Jun. 8-12, 2003, pp. 464-467.
Bangsaruntip, S., et al., “Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3 nm”, 2010 Symposium on VLSI Technology Digest of papers, pp. 21-22.
Borland, J.O., “Low Temperature Activation Of Ion Implanted Dopants: A Review”, International Workshop on Junction technology 2002, S7-3, Japan Society of Applied Physics, pp. 85-88.
Vengurlekar, A., et al., “Hydrogen Plasma Enhancement of Boron Activation in Shallow Junctions”, Applied Physics Letters, vol. 85, No. 18, Nov. 1, 2004, pp. 4052-4054.
El-Maleh, A. H., et al., “Transistor-Level Defect Tolerant Digital System Design at the Nanoscale”, Research Proposal Submitted to Internal Track Research Grant Programs, 2007. Internal Track Research Grant Programs.
Austin, T., et al., “Reliable Systems on Unreliable Fabrics”, IEEE Design & Test of Computers, Jul./Aug. 2008, vol. 25, issue 4, pp. 322-332.
Borkar, S., “Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation”, IEEE Micro, IEEE Computer Society, Nov.-Dec. 2005, pp. 10-16.
Zhu, S., et al., “N-Type Schottky Barrier Source/Drain MOSFET Using Ytterbium Silicide”, IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, pp. 565-567.
Zhang, Z., et al., “Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering With Silicides as Diffusion Sources,” IEEE Electron Device Letters, vol. 31, No. 7, Jul. 2010, pp. 731-733.
Lee, R. T.P., et al., “Novel Epitaxial Nickel Aluminide-Silicide with Low Schottky-Barrier and Series Resistance for Enhanced Performance of Dopant-Segregated Source/Drain N-channel MuGFETs”, 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 108-109.
Awano, M., et al., “Advanced DSS MOSFET Technology for Ultrahigh Performance Applications”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 24-25.
Choi, S.-J., et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices”, 2009 Symposium of VLSI Technology Digest, pp. 222-223.
Zhang, M., et al., “Schottky barrier height modulation using dopant segregation in Schottky-barrier SOI-MOSFETs”, Proceeding of ESSDERC, Grenoble, France, 2005, pp. 457-460.
Larrieu, G., et al., “Arsenic-Segregated Rare-Earth Silicide Junctions: Reduction of Schottky Barrier and Integration in Metallic n-MOSFETs on SOI”, IEEE Electron Device Letters, vol. 30, No. 12, Dec. 2009, pp. 1266-1268.
Ko, C.H., et al., “NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications”, 2006 Symposium on VLSI Technology Digest of Technical Papers.
Kinoshita, A., et al., “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 168-169.
Kinoshita, A., et al., “High-performance 50-nm-Gate-Length Schottky-Source/Drain MOSFETs with Dopant-Segregation Junctions”, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Kaneko, A., et al., “High-Performance FinFET with Dopant-Segregated Schottky Source/Drain”, IEDM 2006.
Kinoshita, A., et al., “Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors”, IEDM 2006.
Kinoshita, A., et al., “Comprehensive Study on Injection Velocity Enhancement in Dopant-Segregated Schottky MOSFETs”, IEDM 2006.
Choi, S.-J., et al., “High Speed Flash Memory and 1T-DRAM on Dopant Segregated Schottky Barrier (DSSB) FinFET SONOS Device for Multi-functional SoC Applications”, 2008 IEDM, pp. 223-226.
Chin, Y.K., et al., “Excimer Laser-Annealed Dopant Segregated Schottky (ELA-DSS) Si Nanowire Gate-All- Around (GAA) pFET with Near Zero Effective Schottky Barrier Height (SBH)”, IEDM 2009, pp. 935-938.
Agoura Technologies white paper, “Wire Grid Polarizers: a New High Contrast Polarizer Technology for Liquid Crystal Displays”, 2008, pp. 1-12.
Unipixel Displays, Inc. white paper, “Time Multi-plexed Optical Shutter (TMOS) Displays”, Jun. 2007, pp. 1-49.
Azevedo, I. L., et al., “The Transition to Solid-State Lighting”, Proc. IEEE, vol. 97, No. 3, Mar. 2009, pp. 481-510.
Crawford, M.H., “LEDs for Solid-State Lighting: Performance Challenges and Recent Advances”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 15, No. 4, Jul./Aug. 2009, pp. 1028-1040.
Tong, Q.-Y., et al., “A “smarter-cut” approach to low temperature silicon layer transfer”, Applied Physics Letters, vol. 72, No. 1, Jan. 5, 1998, pp. 49-51.
Tong, Q.-Y., et al., “Low Temperature Si Layer Splitting”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 126-127.
Nguyen, P., et al., “Systematic study of the splitting kinetic of H/He co-implanted substrate”, SOI Conference, 2003, pp. 132-134.
Ma, X., et al., “A high-quality SOI structure fabricated by low-temperature technology with B+/H+ co-implantation and plasma bonding”, Semiconductor Science and Technology, vol. 21, 2006, pp. 959-963.
Yu, C.Y., et al., “Low-temperature fabrication and characterization of Ge-on-insulator structures”, Applied Physics Letters, vol. 89, 101913-1 to 101913-2 (2006).
Li, Y. A., et al., “Surface Roughness of Hydrogen Ion Cut Low Temperature Bonded Thin Film Layers”, Japan Journal of Applied Physics, vol. 39 (2000), Part 1, No. 1, pp. 275-276.
Hoechbauer, T., et al., “Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers”, Nuclear Instruments and Methods in Physics Research B, vol. 216 (2004), pp. 257-263.
Aspar, B., et al., “Transfer of structured and patterned thin silicon films using the Smart-Cut process”, Electronics Letters, Oct. 10, 1996, vol. 32, No. 21, pp. 1985-1986.
Agarwal, A., et al., “Efficient production of silicon-on-insulator films by co-implantation of He+ with H+” Applied Physics Letters, vol. 72, No. 9, Mar. 1998, pp. 1086-1088.
Cook III, G. O., et al., “Overview of transient liquid phase and partial transient liquid phase bonding,” Journal of Material Science, vol. 46, 2011, pp. 5305-5323.
Moustris, G. P., et al., “Evolution of autonomous and semi-autonomous robotic surgical systems: a review of the literature,” International Journal of Medical Robotics and Computer Assisted Surgery, Wiley Online Library, 2011, DOI: 10.10002/rcs.408.
Subbarao, M., et al., “Depth from Defocus: A Spatial Domain Approach,” International Journal of Computer Vision, vol. 13, No. 3, pp. 271-294 (1994).
Subbarao, M., et al., “Focused Image Recovery from Two Defocused Images Recorded with Different Camera Settings,” IEEE Transactions on Image Processing, vol. 4, No. 12, Dec. 1995, pp. 1613-1628.
Guseynov, N. A., et al., “Ultrasonic Treatment Restores the Photoelectric Parameters of Silicon Solar Cells Degraded under the Action of 60Cobalt Gamma Radiation,” Technical Physics Letters, vol. 33, No. 1, pp. 18-21 (2007).
Gawlik, G., et al., “GaAs on Si: towards a low-temperature “smart-cut” technology”, Vacuum, vol. 70, pp. 103-107 (2003).
Weldon, M. K., et al., “Mechanism of Silicon Exfoliation Induced by Hydrogen/Helium Co-implantation,” Applied Physics Letters, vol. 73, No. 25, pp. 3721-3723 (1998).
Miller, D.A.B., “Optical interconnects to electronic chips,” Applied Optics, vol. 49, No. 25, Sep. 1, 2010, pp. F59-F70.
En, W. G., et al., “The Genesis Process: A New SOI wafer fabrication method”, Proceedings 1998 IEEE International SOI Conference, Oct. 1998, pp. 163-164.
Uchikoga, S., et al., “Low temperature poly-Si TFT-LCD by excimer laser anneal,” Thin Solid Films, vol. 383 (2001), pp. 19-24.
He, M., et al., “Large Polycrystalline Silicon Grains Prepared by Excimer Laser Crystallization of Sputtered Amorphous Silicon Film with Process Temperature at 100 C,” Japanese Journal of Applied Physics, vol. 46, No. 3B, 2007, pp. 1245-1249.
Kim, S.D., et al., “Advanced source/drain engineering for box-shaped ultra shallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS,” IEEE Trans. Electron Devices, vol. 49, No. 10, pp. 1748-1754, Oct. 2002.
Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, No. 4, pp. 186-188, Apr. 1992.
Yang, M., et al., “High Performance CMOS Fabricated on Hybrid Substrate with Different Crystal Orientation,” Proceedings IEDM 2003.
Yin, H., et al., “Scalable 3-D finlike poly-Si TFT and its nonvolatile memory application,” IEEE Trans. Electron Devices, vol. 55, No. 2, pp. 578-584, Feb. 2008.
Kawaguchi, N., et al., “Pulsed Green-Laser Annealing for Single-Crystalline Silicon Film Transferred onto Silicon wafer and Non-alkaline Glass by Hydrogen-Induced Exfoliation,” Japanese Journal of Appl,ied Physics, vol. 46, No. 1, 2007, pp. 21-23.
Faynot, O. et al., “Planar Fully depleted SOI technology: A Powerful architecture for the 20nm node and beyond,” Electron Devices Meeting (IEDM), 2010 IEEE International, vol. no., pp. 3.2.1, 3.2.4, Dec. 6-8, 2010.
Khakifirooz, A., “ETSOI Technology for 20nm and Beyond”, SOI Consortium Workshop: Fully Depleted SOI, Apr. 28, 2011, Hsinchu Taiwan.
Kim, I.-K., et al., “Advanced Integration Technology for a Highly Scalable SOI DRAM with SOC (Silicon-On-Capacitors)”, IEDM 1996, pp. 96-605-608, 22.5.4.
Lee, B.H., et al., “A Novel CMP Method for cost-effective Bonded SOI Wafer Fabrication,” Proceedings 1995 IEEE International SOI Conference, Oct. 1995, pp. 60-61.
Choi, Sung-Jin, et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOs Devices,” paper 11B-3, 2009 Symposium on VLSI Technology, Digest of Technical Papers, pp. 222-223.
Chang, Wei, et al., “Drain-induced Schottky barrier source-side hot carriers and its application to program local bits of nanowire charge-trapping memories,” Japanese Journal of Applied Physics 53, 094001 (2014) pp. 094001-1 to 094001-5.
Topol, A.W., et al., “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, Dec. 5, 2005, pp. 363-366.
Demeester, P. et al., “Epitaxial lift-off and its applications,” Semicond. Sci. Technol., 1993, pp. 1124-1135, vol. 8.
Yoon, J., et al., “GaAs Photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies”, Nature, vol. 465, May 20, 2010, pp. 329-334.
Bakir and Meindl, “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009, Chapter 13, pp. 389-419.
Tanaka, H., et al., “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on , vol. no., pp. 14-15, Jun. 12-14, 2007.
Lue, H.-T., et al., “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010, pp. 131-132.
Kim, W., et al., “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology Digest of Technical Papers, 2009, pp. 188-189.
Dicioccio, L., et al., “Direct bonding for wafer level 3D integration”, ICICDT 2010, pp. 110-113.
Kim, W., et al., “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” Symposium on VLSI Technology, 2009, pp. 188-189.
Walker, A. J., “Sub-50nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, No. 11, pp. 2703-2710, Nov. 2009.
Hubert, A., et al., “A Stacked SONOS Technology, Up to 4 Levels and 6nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (ϕFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009, pp. 637-640.
Celler, G.K. et al., “Frontiers of silicon-on-insulator,” J. App. Phys., May 1, 2003, pp. 4955-4978, vol. 93, No. 9.
Rajendran, B., et al., “Electrical Integrity of MOS Devices in Laser Annealed 3D IC Structures”, proceedings VLSI Multi Level Interconnect Conference 2004, pp. 73-74.
Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64.
Jung, S.-M., et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 (stacked single-crystal Si) cell, 0.16um2, and SSTFT (stacked single-crystal thin film transistor) for ultra high density SRAM,” VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229, Jun. 15-17, 2004.
Hui, K. N., et al., “Design of vertically-stacked polychromatic light-emitting diodes,” Optics Express, Jun. 8, 2009, pp. 9873-9878, vol. 17, No. 12.
Chuai, D. X., et al., “A Trichromatic Phosphor-Free White Light-Emitting Diode by Using Adhesive Bonding Scheme,” Proc. SPIE, 2009, vol. 7635.
Suntharalingam, V. et al., “Megapixel CMOS Image Sensor Fabricated in Three-Dimensional Integrated Circuit Technology,” Solid-State Circuits Conference, Digest of Technical Papers, ISSCC, Aug. 29, 2005, pp. 356-357, vol. 1.
Coudrain, P. et al., “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-Depleted SOI Transistors,” IEDM, 2008, pp. 1-4.
Flamand, G. et al., “Towards Highly Efficient 4-Terminal Mechanical Photovoltaic Stacks,” III-Vs Review, Sep.-Oct. 2006, pp. 24-27, vol. 19, Issue 7.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” Photovoltaic Specialists Conference, Conference Record of the Twenty-Ninth IEEE, May 19-24, 2002, pp. 1039-1042.
Sekar, D. C., et al., “A 3D-IC Technology with Integrated Microchannel Cooling”, Proc. Intl. Interconnect Technology Conference, 2008, pp. 13-15.
Brunschweiler, T., et al., “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008, pp. 1114-1125.
Yu, H., et al., “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 14, No. 3, Article 41, May 2009, pp. 41.1-41.31.
Motoyoshi, M., “3D-IC Integration,” 3rd Stanford and Tohoku University Joint Open Workshop, Dec. 4, 2009, pp. 1-52.
Wong, S., et al., “Monolithic 3D Integrated Circuits,” VLSI Technology, Systems and Applications, 2007, International Symposium on VLSI-TSA 2007, pp. 1-4.
Batude, P., et al., “Advances in 3D CMOS Sequential Integration,” 2009 IEEE International Electron Devices Meeting (Baltimore, Maryland), Dec. 7-9, 2009, pp. 345-348.
Tan, C.S., et al., “Wafer Level 3-D ICs Process Technology,” ISBN-10: 0387765328, Springer, 1st Ed., Sep. 19, 2008, pp. v-xii, 34, 58, and 59.
Yoon, S.W. et al., “Fabrication and Packaging of Microbump Interconnections for 3D TSV,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, pp. 1-5.
Franzon, P.D. et al., “Design and CAD for 3D Integrated Circuits,” 45th ACM/IEEE Design, Automation Conference (DAC), Jun. 8-13, 2008, pp. 668-673.
Lajevardi, P., “Design of a 3-Dimension FPGA,” Thesis paper, University of British Columbia, Submitted to Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Jul. 2005, pp. 1-71.
Dong, C. et al., “Reconfigurable Circuit Design with Nanomaterials,” Design, Automation & Test in Europe Conference & Exhibition, Apr. 20-24, 2009, pp. 442-447.
Razavi, S.A., et al., “A Tileable Switch Module Architecture for Homogeneous 3D FPGAs,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, 4 pages.
Bakir M., et al., “3D Device-Stacking Technology for Memory,” Chptr. 13.4, pp. 407-410, in “Integrated Interconnect Technologies for 3D Nano Electronic Systems”, 2009, Artech House.
Weis, M. et al., “Stacked 3-Dimensional 6T SRAM Cell with Independent Double Gate Transistors,” IC Design and Technology, May 18-20, 2009.
Doucette, P., “Integrating Photonics: Hitachi, Oki Put LEDs on Silicon,” Solid State Technology, Jan. 2007, p. 22, vol. 50, No. 1.
Luo, Z.S. et al., “Enhancement of (In, Ga)N Light-emitting Diode Performance by Laser Liftoff and Transfer from Sapphire to Silicon,” Photonics Technology Letters, Oct. 2002, pp. 1400-1402, vol. 14, No. 10.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” NCPV and Solar Program Review Meeting, 2003, pp. 723-726.
Kada, M., “Updated results of R&D on functionally innovative 3D-integrated circuit (dream chip) technology in FY2009”, (2010) International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings.
Kada, M., “Development of functionally innovative 3D-integrated circuit (dream chip) technology / high-density 3D-integration technology for multifunctional devices”, (2009) IEEE International Conference on 3D System Integration, 3DIC 2009.
Marchal, P., et al., “3-D technology assessment: Path-finding the technology/design sweet-spot”, (2009) Proceedings of the IEEE, 97 (1), pp. 96-107.
Xie, Y., et al., “Design space exploration for 3D architectures”, (2006) ACM Journal on Emerging Technologies in Computing Systems, 2 (2), Apr. 2006, pp. 65-103.
Souri, S., et al., “Multiple Si layers ICs: motivation, performance analysis, and design Implications”, (2000) Proceedings—Design Automation Conference, pp. 213-220.
Vinet, M., et.al., “3D monolithic integration: Technological challenges and electrical results”, Microelectronic Engineering Apr. 2011 vol. 88, Issue 4, pp. 331-335.
Bobba, S. et al., “CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits”, Asia pacific DAC 2011, paper 4A-4.
Choudhury, D., “3D Integration Technologies for Emerging Microsystems”, IEEE Proceedings of the IMS 2010, pp. 1-4.
Lee, Y.-J., et al., “3D 65nm CMOS with 320° C. Microwave Dopant Activation”, IEDM 2010, pp. 1-4.
Crnogorac, F., et al., “Semiconductor crystal islands for three-dimensional integration”, J. Vac. Sci. Technol. B 28(6), Nov./Dec. 2010, pp. C6P53-C6P58.
Park, J.-H., et al., “N-Channel Germanium MOSFET Fabricated Below 360° C. by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs”, IEEE Electron Device Letters, vol. 32, No. 3, Mar. 2011, pp. 234-236.
Jung, S.-M., et al., “Highly Area Efficient and Cost Effective Double Stacked S3( Stacked Single-crystal Si ) Peripheral CMOS SSTFT and SRAM Cell Technology for 512M bit density SRAM”, IEDM 2003, pp. 265-268.
Joyner, J.W., “Opportunities and Limitations of Three-dimensional Integration for Interconnect Design”, PhD Thesis, Georgia Institute of Technology, Jul. 2003.
Choi, S.-J., “A Novel TFT with a Laterally Engineered Bandgap for of 3D Logic and Flash Memory”, 2010 Symposium of VLSI Technology Digest, pp. 111-112.
Radu, I., et al., “Recent Developments of Cu—Cu non-thermo compression bonding for wafer-to-wafer 3D stacking”, IEEE 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010.
Gaudin, G., et al., “Low temperature direct wafer to wafer bonding for 3D integration”, 3D Systems Integration Conference (3DIC), IEEE, 2010, Munich, Nov. 16-18, 2010, pp. 1-4.
“Jung, S.-M., et al., “Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node””, IEDM 2006, Dec. 11-13, 2006.
Souri, S. J., “Interconnect Performance in 3-Dimensional Integrated Circuits”, PhD Thesis, Stanford, Jul. 2003.
Uemoto, Y., et al., “A High-Performance Stacked-CMOS SRAM Cell by Solid Phase Growth Technique”, Symposium on VLSI Technology, 2010, pp. 21-22.
Jung, S.-M., et al., “Highly Cost Effective and High Performance 65nm S3( Stacked Single-crystal Si ) SRAM Technology with 25F2, 0.16um2 cell and doubly Stacked SSTFT Cell Transistors for Ultra High Density and High Speed Applications”, 2005 Symposium on VLSI Technology Digest of Technical papers, pp. 220-221.
Steen, S.E., et al., “Overlay as the key to drive wafer scale 3D integration”, Microelectronic Engineering 84 (2007) 1412-1415.
Maeda, N., et al., “Development of Sub 10-μm Ultra-Thinning Technology using Device Wafers for 3D Manufacturing of Terabit Memory”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 105-106.
Chan, M., et al., “3-Dimensional Integration for Interconnect Reduction in for Nano-CMOS Technologies”, IEEE Tencon, Nov. 23, 2006, Hong Kong.
Dong, X., et al., “Chapter 10: System-Level 3D IC Cost Analysis and Design Exploration”, in Xie, Y., et al., “Three-Dimensional Integrated Circuit Design”, book in series “Integrated Circuits and Systems” ed. A. Andrakasan, Springer 2010.
Naito, T., et al., “World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 219-220.
Bernard, E., et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 16-17.
Cong, J., et al., “Quantitative Studies of Impact of 3D IC Design on Repeater Usage”, Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, pp. 344-348, 2008.
Gutmann, R.J., et al., “Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals”, Journal of Semiconductor Technology and Science, vol. 4, No. 3, Sep. 2004, pp. 196-203.
Crnogorac, F., et al., “Nano-graphoepitaxy of semiconductors for 3D integration”, Microelectronic Engineering 84 (2007) 891-894.
Koyanagi, M, “Different Approaches to 3D Chips”, 3D IC Review, Stanford University, May 2005.
Koyanagi, M, “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009 presentation.
Koyanagi, M., et al., “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009, paper 4D-1, pp. 409-415.
Hayashi, Y., et al., “A New Three Dimensional IC Fabrication Technology Stacking Thin Film Dual-CMOS Layers”, IEDM 1991, paper 25.6.1, pp. 657-660.
Clavelier, L., et al., “Engineered Substrates for Future More Moore and More Than Moore Integrated Devices”, IEDM 2010, paper 2.6.1, pp. 42-45.
Kim, K., “From The Future Si Technology Perspective: Challenges and Opportunities”, IEDM 2010, pp. 1.1.1-1.1.9.
Ababei, C., et al., “Exploring Potential Benefits of 3D FPGA Integration”, in book by Becker, J.et al. Eds., “Field Programmable Logic 2004”, LNCS 3203, pp. 874-880, 2004, Springer-Verlag Berlin Heidelberg.
Ramaswami, S., “3D TSV IC Processing”, 3DIC Technology Forum Semicon Taiwan 2010, Sep. 9, 2010.
Davis, W.R., et al., “Demystifying 3D Ics: Pros and Cons of Going Vertical”, IEEE Design and Test of Computers, Nov.-Dec. 2005, pp. 498-510.
Lin, M., et al., “Performance Benefits of Monolithically Stacked 3DFPGA”, FPGA06, Feb. 22-24, 2006, Monterey, California, pp. 113-122.
Dong, C., et al., “Performance and Power Evaluation of a 3D CMOS/Nanomaterial Reconfigurable Architecture”, ICCAD 2007, pp. 758-764.
Gojman, B., et al., “3D Nanowire-Based Programmable Logic”, International Conference on Nano-Networks (Nanonets 2006), Sep. 14-16, 2006.
Dong, C., et al., “3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits”, IEEE Transactions on Circuits and Systems, vol. 54, No. 11, Nov. 2007, pp. 2489-2501.
Golshani, N., et al., “Monolithic 3D Integration of SRAM and Image Sensor Using Two Layers of Single Grain Silicon”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010, pp. 1-4.
Rajendran, B., et al., “Thermal Simulation of laser Annealing for 3D Integration”, Proceedings VMIC 2003.
Woo, H.-J., et al., “Hydrogen Ion Implantation Mechanism in GaAs-on-insulator Wafer Formation by Ion-cut Process”, Journal of Semiconductor Technology and Science, vol. 6, No. 2, Jun. 2006, pp. 95-100.
Sadaka, M., et al., “Building Blocks for wafer level 3D integration”,www.electroiq.com, Aug. 18, 2010, last accessed Aug. 18, 2010.
Madan, N., et al., “Leveraging 3D Technology for Improved Reliability,” Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), IEEE Computer Society.
Hayashi, Y., et al., “Fabrication of Three Dimensional IC Using “Cumulatively Bonded IC” (CUBIC) Technology”, 1990 Symposium on VLSI Technology, pp. 95-96.
Akasaka, Y., “Three Dimensional IC Trends,” Proceedings of the IEEE, vol. 24, No. 12, Dec. 1986.
Guarini, K. W., et al., “Electrical Integrity of State-of-the-Art 0.13um SOI Device and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication,” IEDM 2002, paper 16.6, pp. 943-945.
Kunio, T., et al., “Three Dimensional Ics, Having Four Stacked Active Device Layers,” IEDM 1989, paper 34.6, pp. 837-840.
Gaillardon, P-E., et al., “Can We Go Towards True 3-D Architectures?,” DAC 2011, paper 58, pp. 282-283.
Yun, J-G., et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, Apr. 2011, pp. 1006-1014.
Kim, Y., et al., “Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array,” IEEE Transactions on Electron Devices, vol. 59, No. 1, Jan. 2012, pp. 35-45.
Goplen, B., et al., “Thermal Via Placement in 3DICs,” Proceedings of the International Symposium on Physical Design, Apr. 3-6, 2005, San Francisco.
Bobba, S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits,” 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 2010, Munich, pp. 1-4.
Batude, P., et al., “Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length,” 2011 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Batude, P., et al., “Advances, Challenges and Opportunties in 3D CMOS Sequential Integration,” 2011 IEEE International Electron Devices Meeting, paper 7.3, Dec. 2011, pp. 151-154.
Yun, C. H., et al., “Transfer of patterned ion-cut silicon layers”, Applied Physics Letters, vol. 73, No. 19, Nov. 1998, pp. 2772-2774.
Ishihara, R., et al., “Monolithic 3D-ICs with single grain Si thin film transistors,” Solid-State Electronics 71 (2012) pp. 80-87.
Lee, S. Y., et al., “Architecture of 3D Memory Cell Array on 3D IC,” IEEE International Memory Workshop, May 20, 2012, Monterey, CA.
Lee, S. Y., et al., “3D IC Architecture for High Density Memories,” IEEE International Memory Workshop, p. 1-6, May 2010.
Rajendran, B., et al., “CMOS transistor processing compatible with monolithic 3-D Integration,” Proceedings VMIC 2005.
Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012.
Derakhshandeh, J., et al., “A Study of the CMP Effect on the Quality of Thin Silicon Films Crystallized by Using the u-Czochralski Process,” Journal of the Korean Physical Society, vol. 54, No. 1, 2009, pp. 432-436.
Kim, J., et al., “A Stacked Memory Device on Logic 3D Technology for Ultra-high-density Data Storage,” Nanotechnology, vol. 22, 254006 (2011).
Lee, K. W., et al., “Three-dimensional shared memory fabricated using wafer stacking technology,” IEDM Tech. Dig., 2000, pp. 165-168.
Chen, H. Y., et al., “HfOx Based Vertical Resistive Random Access Memory for Cost Effective 3D Cross-Point Architecture without Cell Selector,” Proceedings IEDM 2012, pp. 497-499.
Huet, K., et al., “Ultra Low Thermal Budget Anneals for 3D Memories: Access Device Formation,” Ion Implantation Technology 2012, AIP Conf Proceedings 1496, 135-138 (2012).
Batude, P., et al., “3D Monolithic Integration,” ISCAS 2011 pp. 2233-2236.
Batude, P., et al., “3D Sequential Integration: A Key Enabling Technology for Heterogeneous C-Integration of New Function With CMOS,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 2, No. 4, Dec. 2012, pp. 714-722.
Vinet, M., et.al., “Germanium on Insulator and new 3D architectures opportunities for integration”, International Journal of Nanotechnology, vol. 7, No. 4, (Aug. 2010) pp. 304-319.
Bernstein, K., et al., “Interconnects in the Third Dimension: Design Challenges for 3DICs,” Design Automation Conference, 2007, DAC'07, 44th ACM/IEEE, vol., no., pp. 562-567, Jun. 4-8, 2007.
Kuroda, T., “ThruChip Interface for Heterogeneous Chip Stacking,” ElectroChemicalSociety Transactions, 50(14) 63-68 (2012).
Miura, N., et al., “A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface,” IEEE Micro Cool Chips XVI, Yokohama, Apr. 17-19, 2013, pp. 1-3(2013).
Kuroda, T., “Wireless Proximity Communications for 3D System Integration,” Future Directions in IC and Package Design Workshop, Oct. 29, 2007.
Qiang, J-Q, “3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems,” Proceedings of the IEEE, 97.1 (2009) pp. 18-30.
Lee, B.H., et al., “A Novel Pattern Transfer Process for Bonded SOI Giga-bit DRAMs,” Proceedings 1996 IEEE International SOI Conference, Oct. 1996, pp. 114-115.
Wu, B., et al., “Extreme ultraviolet lithography and three dimensional circuits,” Applied Physics Reviews, 1, 011104 (2014).
Delhougne, R., et al., “First Demonstration of Monocrystalline Silicon Macaroni Channel for 3-D NAND Memory Devices” IEEE VLSI Tech Digest, 2018, pp. 203-204.
Kim, J., et al.; “A stacked memory device on logic 3D technology for ultra-high-density data storage”; Nanotechnology 22 (2011) 254006 (7pp).
Hsieh, P-Y, et al., “Monolithic 3D BEOL FinFET switch arrays using location-controlled-grain technique in voltage regulator with better FOM than 2D regulators”, IEDM paper 3.1, pp. IEDM19-46 to IEDM19-49.
Then, Han Wui, et al., “3D heterogeneous integration of high performance high-K metal gate GaN NMOS and Si PMOS transistors on 300mm high resistivity Si substrate for energy-efficient and compact power delivery, RF (5G and beyond) and SoC applications”, IEDM 2019, paper 17.3, pp. IEDM19-402 to IEDM19-405.
Rachmady, W., et al., “300mm Heterogeneous 3D Integration of Record Performance Layer Transfer Germanium PMOS with Silicon NMOS for Low Power High Performance Logic Applications”, IEDM 2019, paper 29.7, pp. IEDM19-697 to IEDM19-700.
Continuations (2)
Number Date Country
Parent 14198041 Mar 2014 US
Child 14541452 US
Parent 13726091 Dec 2012 US
Child 14198041 US
Continuation in Parts (18)
Number Date Country
Parent 18236325 Aug 2023 US
Child 18395546 US
Parent 18214524 Jun 2023 US
Child 18236325 US
Parent 18141415 Apr 2023 US
Child 18214524 US
Parent 18105826 Feb 2023 US
Child 18141415 US
Parent 17986831 Nov 2022 US
Child 18105826 US
Parent 17882607 Aug 2022 US
Child 17986831 US
Parent 17750338 May 2022 US
Child 17882607 US
Parent 17680297 Feb 2022 US
Child 17750338 US
Parent 17536019 Nov 2021 US
Child 17680297 US
Parent 17334928 May 2021 US
Child 17536019 US
Parent 17195517 Mar 2021 US
Child 17334928 US
Parent 17020766 Sep 2020 US
Child 17195517 US
Parent 16683244 Nov 2019 US
Child 17020766 US
Parent 16409840 May 2019 US
Child 16683244 US
Parent 15990684 May 2018 US
Child 16409840 US
Parent 15721955 Oct 2017 US
Child 15990684 US
Parent 15008444 Jan 2016 US
Child 15721955 US
Parent 14541452 Nov 2014 US
Child 15008444 US