Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds

Information

  • Patent Grant
  • 6660663
  • Patent Number
    6,660,663
  • Date Filed
    Thursday, May 25, 2000
    24 years ago
  • Date Issued
    Tuesday, December 9, 2003
    20 years ago
Abstract
A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10-250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organosilane film is produced by reaction of methylsilane, CH3SiH3, or dimethylsilane, (CH3)2SiH2, and nitrous oxide, N2O, at an RF power level from about 10 to 200 W or a pulsed RF power level from about 20 to 250 W during 10-30% of the duty cycle.
Description




BACKGROUND OF THE DISCLOSURE




1. Field of the Invention




The present invention relates to the fabrication of integrated circuits. More particularly, the invention relates to a process and apparatus for depositing dielectric layers on a substrate.




2. Background of the Invention




One of the primary steps in the fabrication of modern semiconductor devices is the formation of metal and dielectric films on a substrate by chemical reaction of gases. Such deposition processes are referred to as chemical vapor deposition or CVD. Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film. The high temperatures at which some thermal CVD processes operate can damage device structures having layers previously formed on the substrate. A preferred method of depositing metal and dielectric films at relatively low temperatures is plasma-enhanced CVD (PECVD) techniques such as described in U.S. Pat. No. 5,362,526, entitled “Plasma-Enhanced CVD Process Using TEOS for Depositing Silicon Oxide”, which is incorporated by reference herein. Plasma-enhanced CVD techniques promote excitation and/or disassociation of the reactant gases by the application of radio frequency (RF) energy to a reaction zone near the substrate surface, thereby creating a plasma of highly reactive species. The high reactivity of the released species reduces the energy required for a chemical reaction to take place, and thus lowers the required temperature for such PECVD processes.




Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices that will fit on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.35 μm and even 0.18 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.




In order to further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and insulators having low k (dielectric constant<4.0) to reduce the capacitive coupling between adjacent metal lines. Liner/barrier layers have been used between the conductive materials and the insulators to prevent diffusion of byproducts such as moisture onto the conductive material as described in International Publication Number WO 94/01885. For example, moisture that can be generated during formation of a low k insulator readily diffuses to the surface of the conductive metal and increases the resistivity of the conductive metal surface. A barrier/liner layer formed from conventional silicon oxide or silicon nitride materials can block the diffusion of the byproducts. However, the barrier/liner layers typically have dielectric constants that are significantly greater than 4.0, and the high dielectric constants result in a combined insulator that does not significantly reduce the dielectric constant.





FIG. 1A

illustrates a PECVD process for depositing a barrier/liner layer as described in International Publication Number WO 94/01885. The PECVD process deposits a multi-component dielectric layer wherein a silicon dioxide (SiO


2


) liner layer


2


is first deposited on a patterned metal layer having metal lines


3


formed on a substrate


4


. The liner layer


2


is deposited by a plasma enhanced reaction of silane (SiH


4


) and nitrous oxide (N


2


O) at 300° C. A self-planarizing low k dielectric layer


5


is then deposited on the liner layer


2


by reaction of a silane compound and a peroxide compound. The self-planarizing layer


5


retains moisture that is removed by annealing. The liner layer


2


is an oxidized silane film that has effective barrier properties when deposited in a manner which provides a dielectric constant of at least 4.5. The dielectric constant of the oxidized silane film can be decreased to about 4.1 by altering process conditions in a manner that decreases moisture barrier properties of the film. Conventional liner layers, such as SiN, have even higher dielectric constants, and the combination of low k dielectric layers with high k dielectric liner layers can provide little or no improvement in the overall stack dielectric constant and capacitive coupling.




As shown in

FIG. 1B

, WO 94/01885 further. describes an optional SiO


2


cap layer


6


that is deposited on the low k dielectric layer


5


by the reaction of silane and N


2


O. The cap layer


6


is also an oxidized silane film that has good barrier properties when deposited in a manner that provides a dielectric constant of about 4.5. Both the liner layer


2


and the cap layer


6


have a dielectric constant greater than 4.5 and the high dielectric constant layers substantially detract from the benefit of the low k dielectric layer


5


.




As devices get smaller, liner layers and cap layers having high dielectric constants contribute more to the overall dielectric constant of a multi-component dielectric layer. Furthermore, known low k dielectric materials generally have low oxide content which makes the material inadequate as an etch stop layer during etching of vias and/or interconnects. Silicon nitride has been the etch stop material of choice for making interconnect lines in low k dielectric materials. However, the silicon nitride has a relatively high dielectric constant (dielectric constant of about 7) compared to the surrounding low k dielectric layers. It has also been discovered that the silicon nitride may significantly increase the capacitive coupling between interconnect lines, even when an otherwise low k dielectric material is used as the primary insulator. This may lead to crosstalk and/or resistance-capacitance (RC) delay that degrades the overall performance of the device. Thus, the silicon nitride etch stop layers are typically removed after etching of the underlying dielectric layers.




Ideally, a low k dielectric layer having both good barrier properties for use as a liner layer and sufficient oxide content for use as an etch stop could be identified and deposited in the same chambers as existing low k dielectric materials. Such barrier layers would not increase the overall dielectric constant of the dielectric layers, and such an etch stop layer would not have to be removed after etching the underlying layers.




U.S. Pat. No. 5,554,570 describes barrier layers for use with thermal CVD silicon oxides wherein an organosilane having a C—H group is oxidized instead of silane to increase the density of deposited films and to improve adhesion between the layers. For example, a thermal CVD layer produced from tetraethoxysilane (TEOS) and ozone, may be deposited between PECVD silicon oxide films produced from an organosilane and N


2


O or O


2


.




The barrier layers described in the '570 patent are preferably dense silicon oxide layers having low carbon contents. The dense layers are deposited using 400 W of high frequency RF power although the use of low frequency RF power is asserted to improve film stress. The barrier layers are preferably produced from alkoxysilanes or chlorinated alkylsilanes and N


2


O to reduce carbon content and increase the density of the layers.




The '570 patent does not identify process conditions for making barrier layers having low dielectric constants or for making etch stop layers having high oxide contents. The '570 patent also does not suggest use of the described layers as a barrier layer adjacent a low k dielectric layer or as an etch stop.




There remains a need for dielectric layers having low dielectric constants, good barrier properties, and high oxide content for use as barrier layers or etch stop layers in sub-micron devices.




SUMMARY OF THE INVENTION




The present invention provides a method and apparatus for depositing a silicon oxide layer having a low dielectric constant, sufficient oxygen content for use as an etch stop layer, and some hydrogenated or fluorinated carbon content to impart hydrophobic properties. The silicon oxide layer is produced by plasma assisted chemical vapor deposition of an organosilane, an organosiloxane, or combinations thereof, using low RF power levels to generate reactive oxygen atoms. The silicon oxide layers have excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers such as self-planarizing low k dielectric layers. In addition, the silicon oxide layers can be used as an adhesive layer between different layers, or as an intermetal dielectric layer. A preferred silicon oxide layer is produced by reaction of nitrous oxide, N


2


O, and methylsilane, CH


3


SiH


3


, or dimethylsilane, (CH


3


)


2


SiH


2


, and using from about 10 to about 250 W of high frequency RF power. The layers are annealed at low pressure and high temperature to stabilize properties.




The silicon oxide layers of the present invention are most preferably produced using low levels of constant RF power or pulsed levels of RF power at chamber pressures less than about 10 Torr. Pulsed RF power provides high frequency RF power at about 20 to about 250 W during about 10 to about 30% of the duty cycle. Constant RF power provides high frequency RF power at about 10 to about 200 W. Low power deposition preferably occurs at a temperature range from about −20 to about 40° C. At the preferred temperature range, the deposited film is partially polymerized during deposition and polymerization is completed during subsequent curing of the film.




In a preferred embodiment, a silicon oxide layer is deposited on a patterned metal layer by plasma assisted reaction of one or more organosilane and/or organosiloxane compounds and nitrous oxide using low levels of constant RF power. A self-planarizing dielectric layer is then deposited in the same chamber by reaction of a silicon compound such as methysilane or silane and a peroxide compound such as hydrogen peroxide in the absence of RF power. The self-planarizing dielectric layer is optionally capped in the same chamber by further reaction of the organosilane and/or organosiloxane compound and nitrous oxide using low levels of constant RF power. The liner and cap layers provide strength to the self-planarizing dielectric layer during annealing of the self-planarizing dielectric layer. After annealing, the liner and cap layers serve as barriers which protect the self-planarizing dielectric layer.




The silicon oxide of the present invention has further utility as an etch stop material such as in the manufacture of reliable dual damascene structures having reduced capacitive coupling between interconnect lines. In a preferred embodiment, a low k dielectric film, such as an amorphous carbon (∝—C) or amorphous fluorinated carbon (∝—FC) film, is used with the silicon oxide layer. Other low k materials, such as parylene, parylene copolymers, AF


4


, BCB, or PAE, or high k materials, such as oxynitride and silicon carbide, may also be used with the silicon oxide layer.




A preferred etch stop process sequence comprises forming a dual damascene structure by depositing a first dielectric layer, such as parylene or a fluorinated silicate glass (FSG) layer, on a substrate, depositing the low k dielectric etch stop of the present invention on the first dielectric layer, patterning the etch stop to define the contacts/vias, depositing a second layer of a dielectric, patterning a resist layer on the second layer of dielectric to define one or more interconnects, and etching the interconnects and contacts/vias. The interconnects are etched down to the etch stop, and then the etching continues past the patterned etch stop to define the contacts/vias. Once the dual damascene structure has been formed, a barrier layer is preferably deposited conformally in the structure prior to filling the structure with copper to isolate the copper from other materials, such as silicon. The upper surface is then planarized using chemical mechanical polishing techniques.




The invention further provides an intermetal dielectric material (IMD) comprising the silicon oxide which is deposited on a conventional etch stop such as silicon oxide or silicon nitride. The silicon oxide can also be deposited as a thin adhesive layer.











BRIEF DESCRIPTION OF THE DRAWINGS




So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.




It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.





FIGS. 1A-1B

(Prior Art) are schematic diagrams of dielectric layers deposited on a substrate by the processes known in the art;





FIG. 2

is a cross-sectional diagram of an exemplary CVD plasma reactor configured for use according to the present invention;





FIG. 3

is a diagram of the system monitor of the CVD plasma reactor of

FIG. 2

;





FIG. 4

is a flowchart of a process control computer program product used in conjunction with the exemplary CVD plasma reactor of

FIG. 2

;





FIG. 5

is a flow chart illustrating steps undertaken in depositing liner and cap layers in a gap filling process according to one embodiment of the present invention;





FIGS. 6A-6E

is a schematic diagram of the layers deposited on a substrate by the process of

FIG. 5

;





FIG. 7

is a cross sectional view showing a dual damascene structure comprising the silicon oxide layers of the present invention;





FIGS. 8A-8H

are cross sectional views showing one embodiment of a dual damascene deposition sequence of the present invention;





FIG. 9

is a cross sectional view showing an adhesive layer comprising the silicon oxide layer of the present invention between a premetal dielectric layer and an intermetal dielectric layer; and





FIGS. 10A-10H

are cross sectional views showing a dual damascene deposition sequence wherein the silicon oxide of the present invention is used to adhere an intermetal dielectric film to a conventional etch stop.











For a further understanding of the present invention, reference should be made to the ensuing detailed description.




DESCRIPTION OF A PREFERRED EMBODIMENT




The present invention provides a method and apparatus for depositing a silicon oxide layer having a low dielectric constant, a high oxide content, and sufficient carbon content to provide barrier properties. The silicon oxide layer comprises an oxidized organosilane or organosiloxane and can be used as a lining layer adjacent other dielectric materials, as an etch stop layer adjacent dielectric materials having lower oxide content, as an intermetal dielectric layer, and as an adhesion layer between different materials. The oxidized organosilane material is deposited by plasma assisted oxidation of the organosilane or organosiloxane compounds using from about 10 to about 200 W of constant RF power, or from about 20 to about 250 W of pulsed RF power. The constant RF power or the pulsed RF power is preferably provided at a high frequency such as between 13 MHz and 14 MHz. Pulsed RF power is preferably provided in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total from about 10% to about 30% of the total duty cycle. Pulsed RF power can operate at higher peak power levels and provide the same total power input as constant RF power at a lower power level.




Carbon which remains in the silicon oxide layer at an amount from about 1% to about 50% by atomic weight contributes to low dielectric constants and barrier properties. The remaining carbon preferably includes sufficient C—H or C—F bonds to provide hydrophobic properties to the silicon oxide layer resulting in significantly lower dielectric constants and improved moisture barrier properties.




The organosilane and organosiloxane compounds generally include the structures:











wherein each Si is bonded to one or two carbon atoms, and C is included in an organo group, preferably alkyl or alkenyl groups such as —CH


3


, —CH


2


—CH


3


, —CH


2


—, or —CH


2


—CH


2


—, or fluorinated derivatives thereof. The carbon atoms in the fluorinated derivatives may be partially or fully fluorinated to replace hydrogen atoms. When an organosilane or organosiloxane compound includes two or more Si atoms, each Si is separated from another Si by —O—, —C—, or —C—C—, wherein C is included in an organo group, preferably alkyl or alkenyl groups such as —CH


2


—, —CH


2


—CH


2


—, —CH(CH


3


)— or —C(CH


3


)


2


—, or fluorinated derivatives thereof. The preferred organosilane and organosiloxane compounds are gases or liquids near room temperature and can be volatilized above about 10 Torr. Preferred organosilanes and organosiloxanes include:


















methylsilane,




CH


3


—SiH


3








dimethylsilane,




(CH


3


)


2


—SiH


2








disilanomethane,




SiH


3


—CH


2


—SiH


3








bis(methylsilano)methane,




CH


3


—SiH


2


—CH


2


—SiH


2


—CH


3








1,2-disilanoethane,




SiH


3


—CH


2


—CH


2


—SiH


3








1,2-bis(methylsilano)ethane,




CH


3


—SiH


2


—CH


2


—CH


2


—SiH


2










CH


3








2,2-disilanopropane,




SiH


3


—C(CH


3


)


2


—SiH


3








1,3,5-trisilano-2,4,6-trimethylene,




—(—SiH


2


CH


2


—)


3


-(cyclic)






1,3-dimethyldisiloxane,




CH


3


—SiH


2


—O—SiH


2


—CH


3








1,3-bis(silanomethylene)disiloxane,




(SiH


3


—CH


2


—SiH


2


—)


2


—O






bis(1-methyldisiloxanyl)methane,




(CH


3


—SiH


2


—O—SiH


2


—)


2


—CH


2








2,2-bis(1-methyldisiloxanyl)propane,




(CH


3


—SiH


2


—O—SiH


2


—)


2










C(CH


3


)


2








2,4,6,8-tetramethylcyclotetrasiloxane,




—(—SiHCH


3


—O—)


4


-(cyclic)






and






2,4,6,8,10-pentamethylcyclopenta-




—(—SiHCH


3


—O—)


5


-(cyclic)






siloxane,






1,3,5,7-tetrasilano-2,6-dioxy-4,8-




—(—SiH


2


—CH


2


—SiH


2


—O—)


2


-






dimethylene,




(cyclic)






and fluorinated derivatives thereof,






such as:






1,2-disilanotetrafluoroethylene.




SiH


3


—CF


2


—CF


2


—SiH


3
















The hydrocarbon groups in the organosilanes and organosiloxane may be partially or fully fluorinated to convert C—H bonds to C—F bonds. Many of the preferred organosilane and organosiloxane compounds are commercially available. A combination of two or more of the organosilanes or organosiloxanes can be employed to provide a blend of desired properties such as dielectric constant, oxide content, hydrophobicity, film stress, and plasma etching characteristics.




The organosilane and organosiloxane compounds are oxidized during deposition by plasma assisted reaction with oxygen which is formed during the deposition process by decomposition of an oxygen containing compound such as nitrous oxide (N


2


O). Nitrous oxide does not react with the organosilanes or organosiloxanes without plasma assistance and the oxygen-nitrogen bonds are readily broken at lower energies than the bonds in the organosilanes and organosiloxanes. The oxidized compounds adhere to contacted surfaces such as a patterned layer of a semiconductor substrate to form a deposited film. The deposited films are cured and annealed at reduced pressure and at temperatures from about 200 to about 450° C., preferably near or above about 400° C. to stabilize the barrier properties of the films. The deposited film has sufficient carbon content to provide barrier properties. The carbon content preferably includes C—H or C—F bonds to provide a hydrophobic film that is an excellent moisture barrier.




The present invention further provides a substrate processing system having a plasma reactor including a reaction zone, a substrate holder for positioning a substrate in the reaction zone, and a vacuum system. The processing system further comprises a gas/liquid distribution system connecting the reaction zone of the vacuum chamber to supplies of an organosilane or organosiloxane compound, an oxidizing gas, and an inert gas, and an RF generator coupled to the gas distribution system for generating a plasma in the reaction zone. The processing system further comprises a controller comprising a computer for controlling the plasma reactor, the gas distribution system, and the RF generator, and a memory coupled to the controller, the memory comprising a computer usable medium comprising a computer readable program code for selecting the process steps of depositing a low dielectric constant film with a plasma of an organosilane or organosiloxane compound and an oxidizing gas.




The processing system may further comprise in one embodiment computer readable program code for selecting the process steps of depositing a liner of the oxidized organo silane compound, depositing a different dielectric layer, and optionally depositing a capping layer of the oxidized organo silane compound.




Further description of the invention will be directed toward a specific apparatus for depositing silicon oxide layers of the present invention and toward preferred silicon oxide films.




Exemplary CVD Plasma Reactor




One suitable CVD plasma reactor in which a method of the present invention can be carried out is shown in

FIG. 2

, which is a vertical, cross-section view of a parallel plate chemical vapor deposition reactor


10


having a high vacuum region


15


. Reactor


10


contains a gas distribution manifold


11


for dispersing process gases through perforated holes in the manifold to a substrate or wafer (not shown) that rests on a substrate support plate or susceptor


12


which is raised or lowered by a lift motor


14


. A liquid injection system (not shown), such as typically used for liquid injection of TEOS, may also be provided for injecting a liquid organosilane and/or organosiloxane compound. The preferred methylsilanes are gases.




The reactor


10


includes heating of the process gases and substrate, such as by resistive heating coils (not shown) or external lamps (not shown). Referring to

FIG. 2

, susceptor


12


is mounted on a support stem


13


so that susceptor


12


(and the wafer supported on the upper surface of susceptor


12


) can be controllably moved between a lower loading/off-loading position and an upper processing position which is closely adjacent to manifold


11


.




When susceptor


12


and the wafer are in processing


14


, they are surrounded by a an insulator


17


and process gases exhaust into a manifold


24


. During processing, gases inlet to manifold


11


are uniformly distributed radially across the surface of the wafer. A vacuum pump


32


having a throttle valve controls the exhaust rate of gases from the chamber.




Before reaching manifold


11


, deposition and carrier gases are input through gas lines


18


into a mixing system


19


where they are combined and then sent to manifold


11


. Generally, the process gases supply lines


18


for each of the process gases include (i) safety shut-off valves (not shown) that can be used to automatically or manually shut off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the gas supply lines. When toxic gases are used in the process, several safety shut-off valves are positioned on each gas supply line in conventional configurations.




The deposition process performed in reactor


10


can be either a thermal process or a plasma enhanced process. In a plasma process, a controlled plasma is typically formed adjacent to the wafer by RF energy applied to distribution manifold


11


from RF power supply


25


(with susceptor


12


grounded). Alternatively, RF power can be provided to the susceptor


12


or RF power can be provided to different components at different frequencies. RF power supply


25


can supply either single or mixed frequency RF power to enhance the decomposition of reactive species introduced into the high vacuum region


15


. A mixed frequency RF power supply typically supplies power at a high RF frequency (RF


1


) of 13.56 MHz to the distribution manifold


11


and at a low RF frequency (RF


2


) of 360 KHz to the susceptor


12


. The silicon oxide layers of the present invention are most preferably produced using low levels of constant high frequency RF power or pulsed levels of high frequency RF power. Pulsed RF power preferably provides 13.56 MHz RF power at about 20 to about 250 W, most preferably from 20 to 150 W, during about 10 to about 30% of the duty cycle. Constant RF power preferably provides 13.56 MHz RF power at about 10 to about 200 W, preferably from 20 to 100 W. Low power deposition preferably occurs at a temperature range from about −20 to about 40° C. At the preferred temperature range, the deposited film is partially polymerized during deposition and polymerization is completed during subsequent curing of the film.




Typically, any or all of the chamber lining, gas inlet manifold faceplate, support stem


13


, and various other reactor hardware is made out of material such as aluminum or anodized aluminum. An example of such a CVD reactor is described in U.S. Pat. No. 5,000,113, entitled “Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process,” issued to Wang et al. And assigned to Applied Materials, Inc., the assignee of the present invention.




The lift motor


14


raises and lowers susceptor


12


between a processing position and a lower, wafer-loading position. The motor, the gas mixing system


19


, and the RF power supply


25


are controlled by a system controller


34


over control lines


36


. The reactor includes analog assemblies, such as mass flow controllers (MFCs) and standard or pulsed RF generators, that are controlled by the system controller


34


which executes system control software stored in a memory


38


, which in the preferred embodiment is a hard disk drive. Motors and optical sensors are used to move and determine the position of movable mechanical assemblies such as the throttle valve of the vacuum pump


32


and motor for positioning the susceptor


12


.




The system controller


34


controls all of the activities of the CVD reactor and a preferred embodiment of the controller


34


includes a hard disk drive, a floppy disk drive, and a card rack. The card rack contains a single board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. The system controller conforms to the Versa Modular Europeans (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure having a 16-bit data but and 24-bit address bus.




The system controller


34


operates under the control of a computer program stored on the hard disk drive


38


. The computer program dictates the timing, mixture of gases, RF power levels, susceptor position, and other parameters of a particular process. The interface between a user and the system controller is via a CRT monitor


40


and light pen


44


which are depicted in FIG.


3


. In the preferred embodiment a second monitor


42


is used, the first monitor


40


being mounted in the clean room wall for the operators and the other monitor


42


behind the wall for the service technicians. Both monitors


40


,


42


simultaneously display the same information but only one light pen


44


is enabled. The light pen


44


detects light emitted by CRT display with a light sensor in the tip of the pen. To select a particular screen or function, the operator touches a designated area of the display screen and pushes the button on the pen


44


. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen.




Referring to

FIG. 4

, the process can be implemented using a computer program product


410


that runs on, for example, the system controller


34


. The computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.





FIG. 4

shows an illustrative block diagram of the hierarchical control structure of the computer program


410


. A user enters a process set number and process chamber number into a process selector subroutine


420


in response to menus or screens displayed on the CRT monitor


40


by using the light pen


44


interface. The process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. The process selector subroutine


420


the (i) selects a desired process chamber on a cluster tool such as an Centura™ platform (available from Applied Materials, Inc.), and (ii) selects a desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature and are provided to the user in the form of a recipe. The parameters specified by the recipe are entered utilizing the light pen/CRT monitor interface.




The signals for monitoring the process are provided by the analog input and digital input boards of system controller and the signals for controlling the process are output on the analog output and digital output boards of the system controller


34


.




A process sequencer subroutine


430


comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine


420


, and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process chamber numbers, so the sequencer subroutine


430


operates to schedule the selected processes in the desired sequence. Preferably the sequencer subroutine


430


includes computer readable program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, the sequencer subroutine


430


can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining the scheduling priorities.




Once the sequencer subroutine


430


determines which process chamber and process set combination is going to be executed next, the sequencer subroutine


430


causes execution of the process set by passing the particular process set parameters to a chamber manager subroutine


440


which controls multiple processing tasks in a process chamber


10


according to the process set determined by the sequencer subroutine


430


. For example, the chamber manager subroutine


440


comprises program code for controlling CVD process operations in the process chamber


10


. The chamber manager subroutine


440


also controls execution of various chamber component subroutines which control operation of the chamber component necessary to carry out the selected process set. Examples of chamber component subroutines are susceptor control subroutine


450


, process gas control subroutine


460


, pressure control subroutine


470


, heater control subroutine


480


, and plasma control subroutine


490


. Those having ordinary skill in the art would readily recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the reactor


10


.




In operation, the chamber manager subroutine


440


selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. The chamber manager subroutine


440


schedules the process component subroutines similarly to how the sequencer subroutine


430


schedules which process chamber


10


and process set is to be executed next. Typically, the chamber manager subroutine


440


includes steps of monitoring the various chamber components, determining which components needs to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.




Operation of particular chamber component subroutines will now be described with reference to FIG.


4


. The susceptor control positioning subroutine


450


comprises program code for controlling chamber components that are used to load the substrate onto the susceptor


12


, and optionally to lift the substrate to a desired height in the reactor


10


to control the spacing between the substrate and the gas distribution manifold


11


. When a substrate is loaded into the reactor


10


, the susceptor


12


is lowered to receive the substrate, and thereafter, the susceptor


12


is raised to the desired height in the chamber, to maintain the substrate at a first distance or spacing from the gas distribution manifold


11


during the CVD process. In operation, the susceptor control subroutine


450


controls movement of the susceptor


12


in response to process set parameters that are transferred from the chamber manager subroutine


440


.




The process gas control subroutine


460


has program code for controlling process gas composition and flow rates. The process gas control subroutine


460


controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain the desired gas flow rate. The process gas control subroutine


460


is invoked by the chamber manager subroutine


440


, as are all chamber components subroutines, and receives from the chamber manager subroutine process parameters related to the desired gas flow rates. Typically, the process gas control subroutine


460


operates by opening the gas supply lines, and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine


440


, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, the process gas control subroutine


460


includes steps for monitoring the gas flow rates for unsafe rates, and activating the safety shut-off valves when an unsafe condition is detected.




In some processes, an inert gas such as helium or argon is flowed into the reactor


10


to stabilize the pressure in the chamber before reactive process gases are introduced into the chamber. For these processes, the process gas control subroutine


460


is programmed to include steps for flowing the inert gas into the chamber


10


for an amount of time necessary to stabilize the pressure in the chamber, and then the steps described above would be carried out. Additionally, when a process gas is to be vaporized from a liquid precursor, for example 1,3,5-trisilano-2,4,6-trimethylene (1,3,5-trisilanacyclohexane), the process gas control subroutine


460


would be written to include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly. For this type of process, the process gas control subroutine


460


regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature in order to obtain the desired process gas flow rates. As discussed above, the desired process gas flow rates are transferred to the process gas control subroutine


460


as process parameters. Furthermore, the process gas control subroutine


460


includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.




The pressure control subroutine


470


comprises program code for controlling the pressure in the reactor


10


by regulating the size of the opening of the throttle valve in the exhaust pump


32


. The size of the opening of the throttle valve is set to control the chamber pressure to the desired level in relation to the total process gas flow, size of the process chamber, and pumping set point pressure for the exhaust pump


32


. When the pressure control subroutine


470


is invoked, the desired, or target pressure level is received as a parameter from the chamber manager subroutine


440


. The pressure control subroutine


470


operates to measure the pressure in the reactor


10


by reading one or more conventional pressure manometers connected to the chamber, compare the measure value(s) to the target pressure, obtain PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and adjust the throttle valve according to the PID values obtained from the pressure table. Alternatively, the pressure control subroutine


470


can be written to open or close the throttle valve to a particular opening size to regulate the reactor


10


to the desired pressure.




The heater control subroutine


480


comprises program code for controlling the temperature of the heat modules or radiated heat that is used to heat the susceptor


12


. The heater control subroutine


480


is also invoked by the chamber manager subroutine


440


and receives a target, or set point, temperature parameter. The heater control subroutine


480


measures the temperature by measuring voltage output of a thermocouple located in a susceptor


12


, compares the measured temperature to the set point temperature, and increases or decreases current applied to the heat module to obtain the set point temperature. The temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth order polynomial. The heater control subroutine


480


gradually controls a ramp up/down of current applied to the heat module. The gradual ramp up/down increases the life and reliability of the heat module. Additionally, a built-in-fail-safe mode can be included to detect process safety compliance, and can shut down operation of the heat module if the reactor


10


is not properly set up.




The plasma control subroutine


490


comprises program code for setting the RF bias voltage power level applied to the process electrodes in the reactor


10


, and optionally, to set the level of the magnetic field generated in the reactor. Similar to the previously described chamber component subroutines, the plasma control subroutine


490


is invoked by the chamber manager subroutine


440


.




The above CVD system description is mainly for illustrative purposes, and other plasma CVD equipment such as electrode cyclotron resonance (ECR) plasma CVD devices, induction-coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above described system such as variations in susceptor design, heater design, location of RF power connections and others are possible. For example, the wafer could be supported and heated by a resistively heated susceptor. The pretreatment and method for forming a pretreated layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method.




Deposition of the Oxidized Organosilane or Organosiloxane Dielectric in a Three-Layer Gap Filling Process




The oxidized organosilane or organosiloxane layer of the present invention can be used in a three-layer gap filling process as shown in

FIG. 5

using the PECVD chamber of FIG.


2


. Referring to

FIG. 5

, a wafer is positioned


200


in the reactor


10


and an oxidized organosilane layer having a low dielectric constant is deposited


205


by a PECVD process from a plasma comprising an organosilane compound and/or a organosiloxane compound. The deposition step


205


can include a capacitively coupled plasma or both an inductively and a capacitively coupled plasma in the process chamber


15


according to methods known in the art. An inert gas such as helium is commonly used in the PECVD deposition to assist in plasma generation. A gap fill layer is then deposited


210


on the liner layer by known methods. The gap fill layer is preferably self-planarizing, such as spin-on polymers or oxides deposited in liquid form by reaction of methyl silane and hydrogen peroxide. A cap layer is then deposited


215


on the gap fill layer, preferably using the same process for depositing the lining layer. The wafer is then removed


220


from the reactor


10


.




Referring to

FIGS. 6A-6E

, the three-layer gap filling process provides a PECVD lining layer


300


of the oxidized organosilane or organosiloxane polymer. The lining layer


300


acts as an isolation layer between a subsequent gap fill layer


302


and the underlying substrate surface


304


and metal lines


306


,


308


,


310


formed on the substrate surface. The gap fill layer


302


is capped by a PECVD capping layer


312


of the oxidized organosilane or organosiloxane polymer. This process is implemented and controlled using a computer program stored in the memory


38


of a computer controller


34


for a CVD reactor


10


.




Referring to

FIG. 6A

, the PECVD lining layer


300


is deposited in the reactor


10


by introducing an oxidizing gas such as N


2


O, an organosilane or organosiloxane compound such as CH


3


SiH


3


or (CH


3


)


2


SiH


2


, and a carrier gas such as helium. The substrate is maintained at a temperature of from about −20 to about 400° C., and preferably is maintained at a temperature of approximately −20 to 40° C. throughout the deposition of the PECVD lining layer. The PECVD lining layer


300


is deposited with a process gas that includes a mixture of the organosilane and/or organosiloxane compound at a flow rate of about 5 sccm to about 500 sccm and the oxidizing gas at a flow rate of about 5 sccm to about 2000 sccm. The process gases are carried by an inert gas such He, Ar, Ne, or a relatively inert gas such as nitrogen, which are typically not incorporated into the film, at a flow rate of from about 0.2 to about 20 lpm. The process gases react at a pressure from about 0.2 to about 20 Torr, preferably less than 10 Torr, to form a conformal silicon oxide layer on the substrate surface


304


and metal lines


306


,


308


,


310


. The reaction is plasma enhanced with a power density ranging from 0.05 W/cm


2


to 1000 W/cm


2


, preferably a power density less than about 1 W/cm


2


, most preferably a power density ranging from about 0.1 to about 0.3 W/cm


2


.




For an 8″ single wafer chamber, the high frequency RF source of approximately 13.56 MHz is preferably connected to a gas distribution system and driven at about 10 to about 250 W while a low frequency RF source of about 350 KHz to 1 MHz is optionally connected to a susceptor and driven at about 0 to about 100 W. In a preferred embodiment, the high frequency RF source is driven at about 20-150 W of pulsed RF power and the low frequency RF source is driven at about 0-50 W of pulsed RF power at a duty cycle from 10% to 30%. The pulsed RF power is rapidly cycled in short intervals, preferably having a frequency less than about 200 Hz. When the high frequency RF power is constant, the power level preferably ranges from about 20 W to about 100 W.




The oxidized organosilane or organosiloxane layer is then annealed at a pressure less than the deposition pressure and a temperature from about 200 to about 450° C. Optionally, annealing could be conducted after deposition of additional dielectric layers.




The above process conditions result in the deposition of a PECVD lining layer


300


(at about 2000 Å per minute) with improved barrier characteristics for the subsequent deposition of the gap filling layer


302


shown in FIG.


6


B. The lining layer obtained from methylsilane has sufficient C—H bonds to be hydrophobic, and is an excellent moisture barrier. Deposition of a hydrophobic lining layer has a surprising and unexpected result of converting subsequent hydrophilic layers to hydrophobic layers.




The process gases for the gap filling layer


302


are preferably SiH


4


, or CH


3


SiH


3


, and 50 wt % of hydrogen peroxide (H


2


O


2


) which is vaporized and mixed with an inert carrier gas, such as helium. However, the gap filling layer can be any dielectric layer which has an acceptable dielectric constant. Alternative silicon containing compounds can be used if byproducts are vapors at deposition conditions. Preferred alternative compounds incorporate oxysilano or silano groups, such as:




dimethylsilane,




disilanomethane,




bis(methylsilano)methane,




1,2-disilanoethane,




2,2-disilanopropane,




1,3,5-trisilano-2,4,6-trimethylene (cyclic),




1,3-bis(silanomethylene)siloxane,




bis(1-methyldisiloxanyl)methane,




2,4′,6,8-tetramethylcyclotetrasiloxane, or




1,2-disilanotetrafluoroethane.




The process gas flows range from 0-2000 sccm for He, 10-200 sccm for CH


3


SiH


3


, and 0.1 to 3 g/min. for H


2


O


2


. The preferred gas flows range from 100-500 sccm for He, 20-100 sccm for CH


3


SiH


3


, and 0.1 to 1 g/min. for H


2


O


2


. These flow rates are given for a chamber having a volume of approximately 5.5 to 6.5 liters. Preferably, reactor


10


is maintained at a pressure of about 0.2 to about 5 torr during deposition of the gap filling layer


302


. The gap filling layer


302


may be partially cured as shown in

FIG. 6C

to remove solvents such as water prior to deposition of a cap layer


312


as shown in FIG.


6


D. Curing is done in the reactor


10


by pumping under an inert gas atmosphere under 10 Torr.




Gap filling layers produced from methylsilane are typically hydrophilic and have poor moisture barrier properties. When deposited on a lining layer produced from methylsilane, a gap filling layer produced from methylsilane surprisingly is hydrophobic and has good moisture barrier properties.




Referring to

FIG. 6D

, after deposition of the gap filling layer


302


, the reactor


10


optionally resumes deposition of the oxidized organosilane or organosiloxane layer of the present invention for deposition of a capping layer


312


. Referring to

FIG. 6E

, after deposition of the capping layer, if any, the deposited layers are annealed in a furnace or another chamber at a temperature from about 100 to about 450° C. to drive off remaining solvent such as water. Of course, processing conditions will vary according to the desired characteristics of the deposited films.




Deposition of a Dual Damascene Structure




A dual damascene structure which includes an oxidized organosilane or organosiloxane layers as an etch stop or as an intermetal dielectric layer is shown in FIG.


7


. When the oxidized organosilane or organosiloxane is used as an etch stop, a first dielectric layer


510


is deposited on a substrate


512


and then the oxidized organosilane or organosiloxane etch stop


514


is deposited on the first dielectric layer and annealed. The etch stop is then pattern etched to define the openings of the contacts/vias


516


. A second dielectric layer


518


is then deposited over the patterned etch stop and then pattern etched by conventional methods to define the interconnect lines


520


. A single etch process is then performed to define the interconnects down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias.




Referring again to

FIG. 7

, the damascene structure alternatively includes the oxidized organosilane or organsiloxane as an intermetal dielectric. A first dielectric layer


510


, preferably consisting of the oxidized organosilane or organosiloxane, is deposited on a substrate


512


and then a conventional silicon oxide, silicon nitride, or hydrogenated silicon carbide etch stop


514


is deposited on the first dielectric layer. The etch stop is then patterned to define the openings of the contacts/vias


516


. A second dielectric layer


518


, consisting of the oxidized organosilane or organosiloxane, is then deposited over the patterned etch stop and then patterned to define the interconnect lines


520


. A single etch process is then performed to define the interconnects down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias.




A preferred dual damascene structure fabricated in accordance with the invention includes a lining layer as shown in

FIG. 8H

, and the method of making the structure is sequentially depicted schematically in

FIGS. 8A-8H

, which are cross sectional views of a substrate having the steps of the invention formed thereon.




As shown in

FIG. 8A

, an initial first dielectric layer


510


, such as parylene, FSG, silicon oxide, or the like, is deposited on the substrate


512


to a thickness of about 5,000 to about 10,000 Å depending on the size of the structure to be fabricated. As shown in

FIG. 8B

, the low k etch stop


514


, which is the oxidized organosilane or organosiloxane layer, is then deposited on the first dielectric layer to a thickness of about 200 to about 1000 Å using low levels of RF power. The low k etch stop


514


is then pattern etched to define the contact/via openings


516


and to expose first dielectric layer


510


in the areas where the contacts/vias are to be formed as shown in FIG.


8


C. Preferably, low k etch stop


514


is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions. After low k etch stop


514


has been etched to pattern the contacts/vias and the photo resist has been removed, a second dielectric layer


518


is deposited over etch stop


514


to a thickness of about 5,000 to about 10,000 Å as shown in

FIG. 8D. A

second dielectric layer


518


is then patterned to define interconnect lines


520


, preferably using conventional photolithography processes with a photo resist layer


522


as shown in FIG.


8


E. The interconnects and contacts/vias are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in FIG.


8


F. Any photo resist or other material used to pattern the etch stop


514


or the second dielectric layer


518


is removed using an oxygen strip or other suitable process.




The metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof. Presently, the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum). Preferably, as shown in

FIG. 8G

, a suitable barrier layer


524


such as tantalum nitride is first deposited conformally in the metallization pattern to prevent as shown in

FIG. 8H

copper


526


migration into the surrounding silicon and/or dielectric material. Thereafter, copper is deposited using either chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing, as shown in FIG.


8


H.




Deposition of Adhesive Layers




A dual damascene structure which includes an oxidized organosilane or organosiloxane layer as an adhesive layer between a premetal dielectric layer and an intermetal dielectric layer is shown in FIG.


9


. The oxidized organosilane or organosiloxane adhesive layer


612


is deposited on a premetal dielectric layer


610


such as a conventional PSG or BPSG layer and then annealed. An intermetal dielectric layer


614


, preferably a low k dielectric polymer layer, is then deposited over the adhesive layer


612


. A conventional silicon oxide or silicon nitride etch stop


616


is then patterned by conventional methods to define vias


620


. A second intermetal dielectric layer


622


, preferably the low k dielectric polymer, is then deposited over the patterned etch stop and then patterned to define the interconnect lines. A single etch process is then performed to define the interconnects down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias prior to metallization.




A preferred dual damascene structure comprising an adhesive layer in accordance with the invention is shown in

FIG. 10H

, and the method of making the structure is sequentially depicted schematically in

FIGS. 10A-10H

, which are cross sectional views of a substrate having the steps of the invention formed thereon.




As shown in

FIG. 10A

, an initial first intermetal dielectric layer


710


, such as parylene, FSG, silicon oxide, or the like, is deposited on a substrate


712


to a thickness of about 5,000 to about 10,000 Å, depending on the size of the structure to be fabricated. As shown in

FIG. 10B

, a low k adhesive layer


714


, which is the oxidized organo silane layer, is then deposited on the first intermetal dielectric layer


710


to a thickness of about 50 to about 200 Å. A conventional silicon oxide or silicon nitride etch stop


716


is the deposited on the adhesive layer


714


to a thickness of about 50 to about 200 Å. A second low k adhesive layer


718


, which is the oxidized organo silane layer, is then deposited on the etch stop


716


to a thickness of about 50 to about 200 Å. The etch stop


716


and adhesive layers


714


,


718


are then pattern etched to define the contact/via openings


720


and to expose first intermetal dielectric layer


710


in the areas where the contacts/vias are to be formed as shown in FIG.


10


C. Preferably, the etch stop


716


is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions. After the etch stop


716


and adhesive layers


714


,


718


have been etched to pattern the contacts/vias and the photo resist has been removed, a second intermetal dielectric layer


722


is deposited over second adhesive layer


718


to a thickness of about 5,000 to about 10,000 Å as shown in FIG.


10


D. The second intermetal dielectric layer


722


is then patterned to define interconnect lines


724


, preferably using conventional photolithography processes with a photo resist layer


726


as shown in FIG.


10


E. The interconnects and contacts/vias are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in FIG.


10


F. Any photo resist or other material used to pattern the etch stop


716


or the second intermetal dielectric layer


722


is removed using an oxygen strip or other suitable process.




The metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof. Presently, the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum). Preferably, as shown in

FIG. 10G

, a suitable barrier layer


728


such as tantalum nitride is first deposited conformally in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material. Thereafter, copper is deposited using either chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing, as shown in FIG.


10


H.




The invention is further described by the following examples of deposited organosilane films.




EXAMPLES




The following examples demonstrate deposition of an oxidized organosilane or organosiloxane film having excellent barrier and adhesion properties. This example was undertaken using a chemical vapor deposition chamber, and in particular, a “CENTURA DxZ” system which includes a solid-state RF matching unit with a two-piece quartz process kit, both fabricated and sold by Applied Materials, Inc., Santa Clara, Calif.




Non-Pulsed RF Power




An oxidized dimethylsilane film was deposited at a chamber pressure of 3.0 Torr and temperature of 15° C. from reactive gases which were flowed into the reactor as follows:




Dimethylsilane, (CH


3


)


2


SiH


2


, at 55 sccm




Nitrous oxide, N


2


O, at 300 sccm




Helium, He, at 4000 sccm.




The substrate was positioned 600 mil from the gas distribution showerhead and 20 W of high frequency power (13 MHz) was applied to the showerhead for plasma enhanced deposition of an oxidized dimethylsilane layer. The oxidized dimethylsilane material had a dielectric constant of about 2.5 and was hydrophobic.




Pulsed RF Power (Hypothetical)




An oxidized 1,3,5-trisilano-2,4,6-trimethylene (cyclic) film is deposited at a chamber pressure of 3.0 Torr and temperature of 15° C. from reactive gases which flow into the reactor as follows:




1,3,5-trisilano-2,4,6-trimethylene, —(—SiH


2


CH


2


—)


3


— (cyclic), at 20 sccm




Nitrous oxide, N


2


O, at 300 sccm




Helium, He, at 4000 sccm.




The substrate is positioned 600 mil from the gas distribution showerhead and 50 W of pulsed high frequency power (13 MHz) is applied to the showerhead for plasma enhanced deposition of an oxidized 1,3,5-trisilano-2,4,6-trimethylene layer




Pulsed RF Power (Hypothetical)




An oxidized 1,3-dimethyldisiloxane film is deposited at a chamber pressure of 3.0 Torr and temperature of 15° C. from reactive gases which are flowed into the reactor as follows:




1,3-Dimethyldisiloxane, CH


3


—SiH


2


—O—SiH


2


—CH


3


, at 30 sccm




Nitrous oxide, N


2


O, at 300 sccm




Helium, He, at 4000 sccm.




The substrate is positioned 600 mil from the gas distribution showerhead and 50 W of pulsed high frequency power (13 MHz) is applied to the showerhead for plasma enhanced deposition of an oxidized dimethyldisiloxane layer.




While the foregoing is directed to preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims which follow.



Claims
  • 1. A computer readable medium containing a program which, when executed by a processor performs a step, comprising:reacting two or more compounds with an oxidizing gas while applying RF power to deposit a low dielectric constant film on a semiconductor substrate, wherein the two or more compounds comprise one or more silicon atoms, at least one alkyl group bonded to each silicon atom, and at least one hydrogen atom bonded to each silicon atom, and wherein the low dielectric constant film has a dielectric constant of about 3 or less, is located between conductive materials on said semiconductor substrate, and retains sufficient silicon-carbon bonds to have a carbon content from about 1% to about 50% by atomic weight.
  • 2. The computer readable medium of claim 1, wherein the oxidizing gas and the two or more compounds are reacted at a substrate temperature from about −20° C. to about 400° C.
  • 3. The computer readable medium of claim 1, wherein the RF power is pulsed.
  • 4. The computer readable medium of claim 1, wherein the RF power is provided by a mixed frequency power source that provides high frequency RF power at about 13.56 MHz and low frequency RF power about 360 KHz to about 1 MHz.
  • 5. A computer readable medium containing a program which, when executed by a processor performs steps, comprising:reacting two or more compounds and an oxidizing gas at RF plasma conditions sufficient to deposit a low dielectric constant film on a semiconductor substrate, wherein the two or more compounds comprise at least one silicon-hydrogen bond and at least one alkyl group bonded to silicon, and wherein the low dielectric constant film retains sufficient silicon-carbon bonds to have a dielectric constant of about 3 or less and a carbon content from about 1% to about 50% by atomic weight; etching the low dielectric constant film to form openings; and depositing a conductive material within the openings.
  • 6. The computer readable medium of claim 5, wherein the RF power is pulsed.
  • 7. The computer readable medium of claim 5, wherein the RF plasma conditions comprise a power density provided by a mixed frequency power source that provides high frequency RF power at about 13.56 MHz and low frequency RF power at about 360 KHz to about 1 MHz.
  • 8. The computer readable medium of claim 6, wherein the RF plasma conditions comprise a substrate temperature from about −20° C. to about 400° C.
  • 9. A computer readable medium containing a program which, when executed by a processor performs steps, comprising:depositing a conformal lining layer on a patterned metal layer on a substrate from process gases composing two or more compounds and an oxidizing gas while applying RF power, wherein the two or more compounds comprise one or more silicon atoms, at least one allyl group bonded to each silicon atom, and at least one hydrogen atom bonded to each silicon atom, and wherein the conformal lining layer retains sufficient silicon-carbon bonds to have a carbon content from about 1% to about 50% by atomic weight and a dielectric constant of about 3 or less; and depositing a gap filling layer on the conformal lining layer.
  • 10. The computer readable medium of claim 9, wherein the conformal lining layer is deposited at a substrate temperature from about −20° C. to about 400° C.
  • 11. A computer readable medium containing a program which, when executed by a processor performs a step, comprising:reacting two or more organosilane compounds comprising at least one hydrogen and at least one alkyl group bonded to silicon with an oxidizing gas at plasma conditions sufficient to deposit a low dielectric constant film on a semiconductor substrate, wherein the low dielectric constant film has a carbon content from 1% to 50% by atomic weight and a dielectric constant of about 3 or less.
  • 12. The computer readable medium of claim 11, wherein the plasma conditions comprise pulsed RF power.
  • 13. The computer readable medium of claim 11, wherein the plasma conditions comprise a power density that is provided by a mixed frequency power source that provides high frequency RF power at about 13.56 MHz and low frequency RF power at about 360 KHz to about 1 MHz.
  • 14. The computer readable medium of claim 11, wherein the plasma conditions comprise a substrate temperature from about −20° C. to about 400° C.
  • 15. A computer readable medium containing a program which, when executed by a processor performs a step, comprising:reacting two or more organosilane compounds consisting essentially of both CH3—Si bonds and Si—H bonds with an oxidizing gas at plasma conditions sufficient to deposit a loss dielectric constant film on the semiconductor substrate, wherein the low dielectric constant film has a carbon content from 1% to 50% by atomic weight and a dielectric constant of about 3 or less.
  • 16. The computer readable medium of claim 15, wherein the plasma conditions comprise a substrate temperature from about −20° C. to about 400° C.
  • 17. The computer readable medium of claim 15, wherein the plasma conditions comprise pulsed RF power.
  • 18. The computer readable medium of claim 15, wherein the plasma conditions comprise a power density that is provided by a mixed frequency power source that provides RF power at a high frequency of about 13.56 MHz and a low frequency of about 360 KHz to about 1 MHz.
  • 19. A computer readable medium containing a program which, when executed by a processor performs a step, comprising:reacting two or more organosilane compounds consisting of carbon, silicon, and hydrogen with an oxidizing gas at plasma conditions sufficient to deposit a low dielectric constant film on a semiconductor substrate, wherein each organosilane compound has at least one silicon-hydrogen bond and at least one alkyl group bonded to silicon, and wherein the low dielectric constant film has a carbon content from 1% to 50% by atomic weight and a dielectric constant of about 3 or less.
  • 20. The computer readable medium of claim 19, wherein the plasma conditions comprise a substrate temperature from about −20° C. to about 400° C.
  • 21. The computer readable medium of claim 19, wherein the plasma conditions comprise pulsed RF power.
  • 22. The computer readable medium of claim 19, wherein the plasma conditions comprise a power density that is provided by a mixed frequency power source that provides RF power at a high frequency of about 13.56 MHz and a low frequency of about 360 KHz to about 1 MHz.
RELATED APPLICATIONS

This application is a divisional of U.S. patent application Ser. No. 09/162,915, filed Sep. 29, 1998 now U.S. Pat. No. 6,287,990, which is a continuation in part of co-pending U.S patent application Ser. No. 09/021,788, which was filed on Feb. 11, 1998, and a continuation in part of co-pending U.S. patent application Ser. No. 09/114,682, which was filed on Jul. 13, 1998.

US Referenced Citations (161)
Number Name Date Kind
4168330 Kaganowicz Sep 1979 A
4557946 Sacher et al. Dec 1985 A
4789648 Chow et al. Dec 1988 A
4798629 Wood et al. Jan 1989 A
4812325 Ishihara et al. Mar 1989 A
4818326 Liu et al. Apr 1989 A
4824690 Heinecke et al. Apr 1989 A
4828880 Jenkins et al. May 1989 A
4842888 Haluska et al. Jun 1989 A
4845054 Mitchener Jul 1989 A
4894352 Lane et al. Jan 1990 A
4900591 Bennett et al. Feb 1990 A
4973511 Farmer et al. Nov 1990 A
4981724 Hochberg et al. Jan 1991 A
5028566 Lagendijk Jul 1991 A
5040046 Chhabra et al. Aug 1991 A
5093153 Brochot et al. Mar 1992 A
5120680 Foo et al. Jun 1992 A
5124014 Foo et al. Jun 1992 A
5156881 Okano et al. Oct 1992 A
5182000 Antonelli et al. Jan 1993 A
5186718 Tepman et al. Feb 1993 A
5204141 Roberts et al. Apr 1993 A
5208069 Clark et al. May 1993 A
5224441 Felts et al. Jul 1993 A
5246887 Yu Sep 1993 A
5250473 Smits Oct 1993 A
5252178 Moslehi Oct 1993 A
5279867 Friedt et al. Jan 1994 A
5284730 Takei et al. Feb 1994 A
5290736 Sato et al. Mar 1994 A
5298587 Hu et al. Mar 1994 A
5314724 Tsukune et al. May 1994 A
5352493 Dorfman et al. Oct 1994 A
5362526 Wang et al. Nov 1994 A
5364666 Williams et al. Nov 1994 A
5465680 Loboda Nov 1995 A
5466431 Dorfman et al. Nov 1995 A
5468520 Williams et al. Nov 1995 A
5488015 Havemann et al. Jan 1996 A
5494712 Hu et al. Feb 1996 A
5508368 Knapp et al. Apr 1996 A
5525550 Kato Jun 1996 A
5530581 Cogan Jun 1996 A
5554570 Maeda et al. Sep 1996 A
5559367 Cohen et al. Sep 1996 A
5563105 Dobuzinsky et al. Oct 1996 A
5578523 Fiordalice et al. Nov 1996 A
5593741 Ikeda Jan 1997 A
5598027 Matsuura Jan 1997 A
5599740 Jang et al. Feb 1997 A
5616369 Williams et al. Apr 1997 A
5618619 Petrmichl et al. Apr 1997 A
5637351 O'Neal et al. Jun 1997 A
5638251 Goel et al. Jun 1997 A
5679413 Petrmichl et al. Oct 1997 A
5683940 Yahiro Nov 1997 A
5693563 Teong Dec 1997 A
5700720 Hashimoto Dec 1997 A
5703404 Matsuura Dec 1997 A
5739579 Chiang et al. Apr 1998 A
5753564 Fukada May 1998 A
5789319 Havemann et al. Aug 1998 A
5800877 Maeda et al. Sep 1998 A
5807785 Ravi Sep 1998 A
5821168 Jain Oct 1998 A
5834162 Malba Nov 1998 A
5858880 Dobson et al. Jan 1999 A
5874367 Dobson Feb 1999 A
5888593 Petrmichl et al. Mar 1999 A
5891799 Tsui Apr 1999 A
5989998 Sugahara et al. Nov 1999 A
6037274 Kudo et al. Mar 2000 A
6051321 Lee et al. Apr 2000 A
6054206 Mountsier Apr 2000 A
6054379 Yau et al. Apr 2000 A
6068884 Rose et al. May 2000 A
6072227 Yau et al. Jun 2000 A
6080526 Yang et al. Jun 2000 A
6124641 Matsuura Sep 2000 A
6140226 Grill et al. Oct 2000 A
6147009 Grill et al. Nov 2000 A
6159871 Loboda et al. Dec 2000 A
6176198 Kao et al. Jan 2001 B1
6207585 Hasegawa et al. Mar 2001 B1
6238751 Mountsier May 2001 B1
6245690 Yau et al. Jun 2001 B1
6258735 Xia et al. Jul 2001 B1
6287990 Cheung et al. Sep 2001 B1
6303523 Cheung et al. Oct 2001 B2
6312793 Grill et al. Nov 2001 B1
6316063 Andideh et al. Nov 2001 B1
6340435 Bjorkman et al. Jan 2002 B1
6340628 Van Cleemput et al. Jan 2002 B1
6348421 Shu et al. Feb 2002 B1
6348725 Cheung et al. Feb 2002 B2
6368924 Mancini et al. Apr 2002 B1
6383955 Matsuki et al. May 2002 B1
6410462 Yang et al. Jun 2002 B1
6410463 Matsuki Jun 2002 B1
6413583 Moghadam et al. Jul 2002 B1
6417098 Wong et al. Jul 2002 B1
6432846 Matsuki Aug 2002 B1
6437443 Grill et al. Aug 2002 B1
6441491 Grill et al. Aug 2002 B1
6448176 Grill et al. Sep 2002 B1
6448186 Olson et al. Sep 2002 B1
6448187 Yau et al. Sep 2002 B2
6455445 Matsuki Sep 2002 B2
6458720 Aoi Oct 2002 B1
6462371 Weimer et al. Oct 2002 B1
6472231 Gabriel et al. Oct 2002 B1
6472317 Wang et al. Oct 2002 B1
6472333 Xia et al. Oct 2002 B2
6479110 Grill et al. Nov 2002 B2
6479407 Yokoyama et al. Nov 2002 B2
6479408 Shioya et al. Nov 2002 B2
6479409 Shioya et al. Nov 2002 B2
6482754 Andideh et al. Nov 2002 B1
6485815 Jeong et al. Nov 2002 B1
6489233 Chooi et al. Dec 2002 B2
6492731 Catabay et al. Dec 2002 B1
6497963 Grill et al. Dec 2002 B1
6500772 Chakravarti et al. Dec 2002 B2
6500773 Gaillard et al. Dec 2002 B1
6511903 Yau et al. Jan 2003 B1
6511909 Yau et al. Jan 2003 B1
6514667 Angelopoulos et al. Feb 2003 B2
6531398 Gaillard et al. Mar 2003 B1
6537929 Cheung et al. Mar 2003 B1
6541282 Cheung et al. Apr 2003 B1
20010004479 Cheung et al. Jun 2001 A1
20010005546 Cheung et al. Jun 2001 A1
20010021590 Matsuki Sep 2001 A1
20010055672 Todd Dec 2001 A1
20020045361 Cheung et al. Apr 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020076944 Wang et al. Jun 2002 A1
20020098684 Li et al. Jul 2002 A1
20020098714 Grill et al. Jul 2002 A1
20020105084 Li Aug 2002 A1
20020142104 Nemani et al. Oct 2002 A1
20020160604 Quek et al. Oct 2002 A1
20020160626 Matsuki et al. Oct 2002 A1
20020164868 Chang et al. Nov 2002 A1
20020164891 Gates et al. Nov 2002 A1
20020168870 Matsuki et al. Nov 2002 A1
20020173157 Chang et al. Nov 2002 A1
20020173172 Loboda et al. Nov 2002 A1
20020177303 Jiang et al. Nov 2002 A1
20020177329 Yang et al. Nov 2002 A1
20020185741 Babich et al. Dec 2002 A1
20020187262 Rocha-Alvarez et al. Dec 2002 A1
20020192982 Andideh et al. Dec 2002 A1
20020198353 Chen et al. Dec 2002 A1
20030001239 Gallahger et al. Jan 2003 A1
20030017718 Aoi Jan 2003 A1
20030020108 Weimer et al. Jan 2003 A1
20030032305 Yau et al. Feb 2003 A1
20030054667 Yau et al. Mar 2003 A1
20030064610 Cheung et al. Apr 2003 A1
Foreign Referenced Citations (63)
Number Date Country
44 04 690 Feb 1994 DE
19654737 Jul 1997 DE
19654737 Jul 1997 DE
198 04 375 Feb 1998 DE
199 04 311 Jan 1999 DE
0 289 402 Nov 1988 EP
0 469 926 Feb 1992 EP
0469926 Feb 1992 EP
0 533 129 Sep 1992 EP
0519079 Dec 1992 EP
0522799 Jan 1993 EP
0 589 678 Mar 1994 EP
0711817 May 1996 EP
0721019 Jul 1996 EP
0721019 Jul 1996 EP
0743675 Nov 1996 EP
0771 886 May 1997 EP
0 771 886 May 1997 EP
0774533 May 1997 EP
0 826 791 Mar 1998 EP
0 840 365 May 1998 EP
0 849 789 Jun 1998 EP
0 826 791 Aug 1998 EP
0 885 983 Dec 1998 EP
0 926 715 Jun 1999 EP
0 926 724 Jun 1999 EP
0 935 283 Aug 1999 EP
0 960 958 Dec 1999 EP
1 037 275 Sep 2000 EP
1 123 991 Aug 2001 EP
2 015 983 Mar 1979 GB
2 316 535 Aug 1997 GB
SHO59-98726 Jun 1984 JP
59-222659 Dec 1984 JP
60-111480 Jun 1985 JP
01050429 Feb 1989 JP
05237480 Oct 1993 JP
6-163521 Jun 1994 JP
6-168937 Jun 1994 JP
8-222559 Aug 1996 JP
8-236518 Sep 1996 JP
8-279505 Oct 1996 JP
8-288286 Nov 1996 JP
9-8031 Jan 1997 JP
9-64029 Mar 1997 JP
HEI 9-237785 Sep 1997 JP
9-237785 Sep 1997 JP
9-251293 Sep 1997 JP
9-251997 Sep 1997 JP
9-260369 Oct 1997 JP
10-242143 Sep 1998 JP
11251293 Sep 1999 JP
WO 9212535 Jul 1992 WO
WO 9401885 Jan 1994 WO
WO 98-08249 Feb 1998 WO
WO 9829089 Dec 1998 WO
WO 9938202 Jul 1999 WO
WO 9941423 Aug 1999 WO
WO 9955526 Nov 1999 WO
WO 0001012 Jan 2000 WO
WO 0024050 Apr 2000 WO
WO 0101472 Jan 2001 WO
WO 0243119 May 2002 WO
Non-Patent Literature Citations (54)
Entry
Y Segui et al., “In Situ Electrical Property Measurements Of Metal (Plasma Polysiloxane)/Metal Structures”, Thin Solid Films, 155 (1987) pp. 175-185.
Y. Segui et al., “Gas Discharge In Hexamethyldisiloxane”, Journal of Applied Polymer Science, vol. 20 pp. 1611-1618 (1976).
N. Inagaki et al., “Preparation Of Siloxane-Like Films By Glow Discharge Polymerization”, Journal of Applied Polymer Science, vol. 29, pp. 3595-3605 (1984).
“Advanced SiO2 Planarization Using Silane and H2O2”, Dobson, C.D., Kiermasz, A., Beekmanm K., Wilby, R.J., Dec. 1994, pp. 85-88.
“Applied Surface Science”, Feldman, L.C., van der Weg, W.F., vol. 43 (1989), pp. 301-303.
“Vacuum, Surfaces, and Films” from Journal of Vacuum Science & Technology A, vol. 13, No. 2, Mar./Apr. 1995, pp. 475-480.
“Novel Self-Planarizing CVD Oxide for Interlayer Dielectric Applications”, Matsuura, M., Hayashide, Y., Kotani, H., Nishimura, T., Iuchi, H., Dobson, C.D., Kiermasz, A., Beekmann, K. and Wilby, R., 1994, pp. 5.7.1-5.7.4.
“Silicon dioxide chemical vapor deposition using silane and hydrogen peroxide”, Gaillard, F., Brault, P. and Brouquet, P., J. Vac. Sci. Technol. B 14(4), Jul./Aug. 1996, pp. 2767-2769.
C. Rau et al., “Mechanisms of Plasma Polymerization of Various Silico-Organic Monomers”, Thin Solid Films, pp. 28-37, 249 (1994).
A. Sahli et al., “Properties of Plasma-Polysiloxane Deposited by PECVD”, Materials Chemistry and Physics, pp. 106-109, 33 (1993).
A.M. Wrobel et al., “Oligomeric Products in Plasma-Polymerized Organosilicones”, J. Macromol. Sci-Chem., A20 (5&6), pp. 583-618 (1983).
Y. Osada et al., “Plasma-Exposed Polymerization Of Cyclic Organosiloxanes In The Condensed Phase”, Journal of Polymer Science: Polymer Letters Edition, vol. 19, pp. 369-374 (1981).
P. Favia et al., “The Role Of Substrate Temperature And Bias In The Plasma Deposition From Tetramethylsilane”, Plasma Sources Sci. Technol. 1 (1992) pp. 59-66.
D.S. Kim et al., “Deposition Of Thermally Stable, Low Dielectric Constant Fluorocarbon/SiO2 Composite Thin Film”, American Institute Of Physics, Appl. Phys. Lett., vol. 69, No. 18, Oct. 28, 1996, pp. 2776-2778.
M. R. Wertheimer et al., “Advances In Basic And Applied Aspects Of Microwave Plasma Polymerization”, Thin Solid Films, 115 (1984) pp. 109-124.
J.A. Theil et al., “Carbon Content Of Silicon Oxide Films Deposited By Room Temperature Plasma Enhanced Chemical Vapor Deposition Of Hexamethyldisloxane And Oxygen”, Journal of Vacuum Science Technology, A 12(4), Jul./Aug. 1994, pp. 1365-1370.
N. Inagaki et al., “Plasma Polymerization Of Organosilicon Compounds”, Journal of Applied Polymer Science, vol. 30, pp. 3385-3395.
V.S. Nguyen et al., “Plasma Organosilicon Polymers”, J. Electrochem. Soc. Solid-State Science and Technology, vol. 132, No. 8, pp. 1925-1932, Aug. 1985.
K. J. Taylor et al., “Parylene Copolymers”,1997 Spring MRS, Symposium N, pp. 1-9 (1997).
“Low Dielectric Constant Flowfill Technology For IMD Applicatins” S. McClatchie, et al., DUMIC Conference 1997 ISMIC-222D/97/0034 (Feb. 10-11, 1997).
“Low Dielectric Constant Insulator Formed by Downstream Plasma CVD at Room Temperature Using TMS/O2”, A. Nara and H.Itoh, Japanese Journal of Applied Physics, vol. 36, No. 3B (Mar. 1997).
“Low Dielectric Constant Films Prepared by Plasma-Enhanced Chemical Vapor Deposition From Tetramethylsilane” A. Grill and V. Patel, Journal of Applied Physics, vol. 85. No. 6 (Mar. 1999).
Luther et al., “Planar Copper-Polyimide Back End Of The Line Interconnections For ULSI Devices”, Jun. 8-9, 1993, VMIC Conference, 1993 ISMIC-102/93/0015, pp. 15-21.
V. Hazari et al., “Characterization Of Alternative Chemistries For Depositing PECVD Silicon Dioxide Films”, 1998 DUMIC Conference,—333D/98/0319, pp. 319-326 (Feb. 1998).
R. McCabe et al., “Large Area Diamond-Like Carbon Coatings By Ion Implantation”, Surface Engineering vol. III: Process Technology and Surface Analysis, pp. 163-172, (1995).
P. Favia, “Plasma Deposition Of Thin Films From a Fluorine-Containing Cyclosiloxane”, Journal Of Polymer Science: Part A: Polymer Chemistry, (1992).
A. Grill, et al.; “Diamondlike Carbon Materials As Low-k Dielectrics”, Conference Proceedings ULSI XII (1997) Materials Research Society.
A. Grill, et al., “Diamondlike Carbon Materials As Beol Interconnect Dielectrics: Integration Issues”, The Electrochemical Society Proceedings, vol. 98-3.
A. Haas Bar-Ilan, et al., “A Comparative Study of Sub-Micron Gap Filling and Planarization Techniques”, SPIE, vol. 263, pp. 277-288.
A. M. Wrobel, et al., “Reactivity of Alkylsilnes and Alkylcarbosilanes in Atomic Hydrogen-Induced Chemical Vapor Deposition”, J. Electrochem. Soc., vol. 145, No. 3 (Mar. 1998).
M.J. Loboda, et al., “Safe Precursor Gas For Broad Replacement of SiH4 In Plasma Processes Employed In Integrated Circuit Production”, Materials Research Society, vol. 447 (1997).
Y.-S Lin, et al., “Plasma Polymerization of Trimethlsilane in Cascade Arc Discharge”, Journal of Applied Polymer Science, vol. 66, 1653-1665 (1997).
M.J. Loboda, et al., “Plasma-enhanced Chemical Vapor Deposition of a-SiC:H Films From Organosilicon Precursors”, J. Vac. Sci. Techno. A 12(1), Jan./Feb. (1994).
Minoru Moriyama, et al., “Thermal Stability of SiNxCy Films Prepared by Plasma CVD”, Journal of the Ceramic Society of Japan 101 (7) 757-783 (1993).
M. Ducarroir, et al., “SiCN Coatings Prepared by PACVD and TMS—NH3-Ar System On Steel”, Journal de Physique IV, vol. 3.
Bunshah et al., “Deposition Technologies For Films And Coatings, Developments and Applications: Noyes Publications”; 1982; pp. 339-367.
S. McClatchie, et al., “Low Dielectric Constant Oxide Films Deposited Using CVD Techniques”, 1998 Dumic Conference; pp. 311-318, (Feb. 1998).
M.J. Loboda, et al., “Using Trimethylsilane to Improve Safety, Throughput and Versatility in PECVD Processes”; Electrochemical Proceedings vol. 97-10.
Y. Horiike, et al., et al., “Filling of Si Oxide Into A Deep Trench Using Digital CVDF Method”, Elsevier Science Publishers B.V. (North-Holland).
S. Noguchi, et al., “Liquid Phase Oxidation O Atoms Produced by Microwave Discharge and Si(CH3)4”, Extended Abstracts of the 19th Conference on Solid State Devices and Materials, Tokyo, 1987, pp. 451-454.
Niko Nara, et al., Low Dielectric Constant Insulator Formed by Downstream Plasma CVD at Room Temperature Using TMS/O2, Japan J. Appl. Phys., vol. 36 (1997) Pt. 1 No. 3B.
M.J. Loboda, et al., “Deposition of Low-K Dielectric Films Using Trimethylsilane”, Electrochemical Society Proceedings vol. 98-6.
Pierson, Handbook of Chemical Vapor Deposition (CVD); Principles, Technology, and Applicatins, 1992, pp. 208-209.
European Search Report from EP 00 11 2300, Dated Aug. 24, 2001.
Laura Peters, “Pursuing the Perfect Low-K Dielectric,” Semiconductor International, Sep. 1998.
Bin Zhao and Maureen Brongo, “Integration of Low Dielectric Constant Materials in Advanced Aluminum and Copper Interconnects,” Mat. Res. Soc. Symp. Proc, vol. 564, Materials Research Society, 1999, pp. 485-497.
“Low Dielectric Constant Films Prepared by Plasma-enhanced Chemical Vapor Deposition from Tetramethylsilane” by A. Grill and V. Patel; Journal of Applied Physics; vol. 85, No. 6; Mar. 15, 1999.
Novel Low K Dielectrics Based on Diamondlike Carbon Materials; by A. Grill, et al.; J. Electrochem Soc.; vol. 145 No. 5; May 1998.
Low Dielectric Constant Carbon Containing SiO2; Films Deposited by PECVD Technique Using a Novel CVD Precursor; by G. Sugahara, et al.; DUMIC Conference, 1997.
Reactivity of Alkysilanes and Alkylcarbosilanes in Atomic Hydrogen-Induced Chemical Vapor Deposition; by Wrobel, et al.; J Electrochem Soc. vol. 145 No. 3; Mar. 1998.
Characterization of High density Plasma Chemical Vapor Deposited x-Carbon and x-Fluorinated Carbon Films for Ultra Low Dielectric Applications; S. Robles, et al.; DUMIC Conference, 1997.
Loboda, et al. Safe Precursor Gas for Broad Replacement of SiH4 in Plasma Processes Employed in Integrated Circuit Production, Mat. Res. Soc. Symp. Proc. vol. 447 (1997).
Grill, et al. Diamondlike Carbon Materials as BEOL Interconnect Dielectrics: Integration Issues, Electrochemical Society Proceedings vol. 98-3.
Loboda, et al. Using Trimethylsilane to Improve Safety, Throughput and Versatility in PECVD Processes, Electrochemical Proceedings vol. 97-10.
Continuation in Parts (2)
Number Date Country
Parent 09/114682 Jul 1998 US
Child 09/162915 US
Parent 09/021788 Feb 1998 US
Child 09/114682 US