Integrated circuit fabrication system with adjustable gas injector

Information

  • Patent Grant
  • 11670490
  • Patent Number
    11,670,490
  • Date Filed
    Monday, January 8, 2018
    6 years ago
  • Date Issued
    Tuesday, June 6, 2023
    12 months ago
Abstract
The present disclosure provides a semiconductor fabrication apparatus. The semiconductor apparatus includes a processing chamber; a substrate stage provided in the processing chamber and being configured to secure and rotate a semiconductor wafer; a gas injector configured to inject a chemical to the processing chamber; a window attached to the gas injector; and an adjustable fastening device coupled with the gas injector and the window.
Description
BACKGROUND

The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component or line that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC processing and manufacturing equipment are needed. In one example, a plasma processing system is utilized to perform plasma etching process. During a plasma etching process, the plasma generates volatile etch products from the chemical reactions between the elements of the material etched and the reactive species generated by the plasma, which modifies the surface of the target. The plasma processing system includes a processing chamber maintained at vacuum state and a chemical supply module to provide chemical to chemical for etching. However, the chemical supply module in the existing IC fabrication system experience leaking issue, which further degrades the etching performance. Accordingly, it would be desirable to provide a IC fabrication system and a method utilizing the same absent the disadvantages discussed above.





BRIEF DESCRIPTION OF THE DRAWINGS

Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.



FIG. 1 illustrates a schematic view of a plasma module for integrated circuit fabrication, constructed in accordance with some embodiments.



FIG. 2 illustrates a schematic view of the plasma module having a gas injector with an adjustable fastening mechanism, constructed in accordance with some embodiments.



FIG. 3A illustrates a schematic view of the adjustable fastening device, constructed in accordance with some embodiments.



FIG. 3B illustrates a top view of the adjustable fastening device in portion, constructed in accordance with some embodiments.



FIG. 4 illustrates a top view of an injector tip of the gas injector, constructed in accordance with some embodiments.



FIG. 5 illustrates a schematic view of an integrated circuit (IC) fabrication system having the plasma module, constructed in accordance with some embodiments.



FIG. 6 is a flowchart of a method to utilize the plasma module, in accordance with some embodiments.





DETAILED DESCRIPTION

It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.



FIG. 1 illustrates a schematic view of a plasma module 100 for integrated circuit (IC) fabrication, constructed in accordance with some embodiments. With reference to FIG. 1 and other figures, the plasma module 100 and the method utilizing the same are collectively described below.


The plasma module 100 includes a processing chamber 102 with enclosed space 104 for semiconductor processing. In the present embodiment, the semiconductor processing is plasma etching, such as plasma etching to metal or poly-silicon. The processing chamber 102 includes various walls integrated together such that the enclosed space 104 is isolated from the environment and can be maintained at a suitable state, such as vacuum or a low pressure.


The plasma module 100 also includes a substrate stage 106 provided in the processing chamber 102 to secure a semiconductor substrate 108, such as a silicon wafer. The substrate stage 106 is designed with a rotation mechanism 110, such as a motor. The substrate stage 106 is operable to rotate by the rotation mechanism 110 around a rotation axis 112 so that the semiconductor substrate 108 secured on the substrate stage 106 rotates as well during an IC fabrication.


The plasma module 100 includes one or more chemical delivery unit 114 integrated to the processing chamber 102 and is designed to provide a chemical to the processing chamber 102. In various examples, the chemical is an etching gas or a carry gas, such as nitrogen, argon or other suitable gas. The chemical delivery unit 114 includes an adjustable gas injector 116 attached to the processing chamber and designed to inject the chemical into the processing chamber 102. The chemical delivery unit 114 is further connected to a chemical supply source 118 to provide the chemical to the gas injector 116. The chemical delivery unit 114 is further described in details later.


The plasma module 100 may further includes components, modules and parts integrated together to be functional for IC fabrication, such as plasma etching. For example, the plasma module 100 includes a pumping module 120 integrated with the processing chamber 102 and being operable to maintain the space 104 inside the processing chamber 102 at vacuum state or a low pressure. In various examples, the pumping module 120 may include one or more pumps, and may utilize multiple pumping technologies, such as positive displacement pump, momentum transfer pump, regenerative pump or entrapment pump. Various pumps may be configured in series according to respective working ranges.


The plasma module 100 includes a plasma generation module 122 to generate plasma inside the space 104 in the processing chamber 102. The plasma generation module 122 introduces energy power into the processing chamber to ignite plasma with any suitable technology, such as capacitive-coupled plasma or inductive-coupled plasma.



FIG. 2 illustrates a schematic view of the plasma module 100, in portion, constructed in accordance with some embodiments. The chemical delivery unit 114 is illustrated with more details. Particularly, the chemical delivery unit 114 includes an adjustable fastening mechanism. The chemical delivery unit 114 includes a fixture 202 to attach and secure the gas injector 116 onto the top cover 204 of the processing chamber 102. The gas injector 116 includes a cylindrical body 206 to provide a passage for the chemical to a gas injector head 208 configured on one end of the cylindrical body 206. The chemical is delivered to the gas injector 116 from the chemical supply source 118 through an inlet 210 that connects the chemical supply source 118 to the gas injector 116.


The gas injector 116 further includes an O-ring 212 disposed on the gas injector 116, such as on a circular groove of the gas injector 116; a transparent window 214 configured on the O-ring 212; and a gas injector cover 216 configured on the transparent window 214, which is aligned to the center 218 of the gas injector cover 216. When the above three components are held together, they provide a sealing effect such that leakage is eliminated. Furthermore, the transparent window 214 provides an additional function, such as monitoring the IC fabrication inside the processing chamber, or particularly monitoring the plasma signal in the plasma module 100. The transparent window 214 is made of a material transparent to detection signal or visual light. In the present embodiment, the transparent window 214 is made of liquid silicone rubber (LSR). The transparent window 214 is designed with a suitable shape, such as a round plate.


The gas injector cover 216 is designed to cover the cylindrical body 206 and may be made of a suitable material with enough mechanical strength and meeting other requirements. For examples, the gas injector cover 216 is made of polytetrafluoroethylene (PTFE). In the present embodiment, the top surface of the gas injector cover 216 is an inclined plane by design.


The chemical delivery unit 114 further includes an adjustable fastening device (AFD) 220 to hold the gas injector cover 216, the transparent window 214 and the O-ring 212 together with adjustable height for enhanced sealing effect. In some embodiments, the gas injector 116 includes an extension portion 222. The adjustable fastening device 220 hold the gas injector cover 216, the transparent window 214, the O-ring 212, and the gas injector together through the extension portion 222.



FIG. 3A illustrates a schematic view of the adjustable fastening device 220, constructed in accordance with some embodiments. The adjustable fastening device 220 is further described in details. The AFD 220 includes a base plate 302 disposed on the gas injector cover 216. The base plate 302 is designed to have suitable geometry to enable the fastening effect between the gas injector cover 216 and the base plate 302 and to have a suitable material, such as PTFE, for the mechanical strength and other functions. With AFD 220, the gas injector 116 is adjustable for height, distance, fastening force and sealing effect.


In the present embodiment, the base plate 302 includes a top portion 302A and a bottom portion 302B having different sizes. As illustrated in FIG. 3A, the top portion 302A spans a dimension D1 and the bottom portion 302B spans a dimension D2 less than D1.


The AFD 220 includes multiple sets of bolt and nuts, each set being referred to as a fastener 304. In the present embodiment, the number of the fasteners is three. Each fastener 304 includes a bolt 306 with a bolt head 308 designed to a structure, such as groove or socket, to provide a means for driving or holding the bolt. Each fastener 304 further includes a first nut 310 and a second nut 312 sleeved on the corresponding bolt 306. Each bolt has a thread pattern on the inside surface and each nut has an opening with thread pattern on inside surface. The nuts and bolt are configured for fastening by thread friction. The first nut 310 is configured above the base plate (particularly, between the bolt head 308 and the base plate 302); and the second nut 312 is configured between the base plate 302 and the gas injector cover 216. The first nut 310 is operable to control the height of the gas injector cover 216 or control the distance between the base plate 302 and the gas injector cover 216; and the second nut 312 is operable to fasten the gas injector cover 216 to the gas injector 220, such as the extended portion 222 of the gas injector 116 configured between the base plate 302 and the gas injector cover 216.


Furthermore, the base plate 302, the gas injector cover 216 and the gas injector 116 (or the extension portion 222 of the gas injector in the present case) each have a plurality of thread holes equidistantly distributed in a way so the plurality of bolts 306 are able to pass through the corresponding thread holes and are tightened to hold those components together. For example, FIG. 3B illustrates a top view of the base plate 302 with three thread holes 316. The three thread holes 316 are equidistantly distributed on the base plate 302, such as on a circle 318. In the present examples, the top portion 302A and the bottom portion 302B have round shapes. The thread holes 316 are formed on the edge region of the top portion 302A outside of the bottom portion 302B.


Thread holes on the gas injector cover 216 and the extension portion 222 are similarly configured. The thread holes match the thread pattern of the blots. When the fasteners 304 are configured as described above, each of the first nuts 306 is tuned to control the height of the gas injector 116, or the distance between the gas injector cover 216 and the extension portion 222 of the gas injector 116 at corresponding location; and the second nut 308 is fastened to hold the gas injector cover 216 and the gas injector 116 together.


In the existing structure, the fasteners are designed without this adjustment mechanism, the uneven fastening forces among different fasteners and the distortion caused thereby cannot be effectively adjusted and eliminated, thus causing the uneven contacts and forces between the transparent window 214 and the O-ring 212. This further leads to leaking issue through the interface between the transparent window 214 and the O-ring 212. In the disclosed AFD 220, each fastener 304 independently and individually can be tuned with corresponding height and distance at the corresponding location to achieve the optimized sealing effect between the O-ring 212 and the transparent window 214, thereby the leakage being eliminated.



FIG. 4 illustrates a top view of the gas injector head 208 in accordance with some embodiments. The gas injector head 208 includes a plurality of openings for the chemical gas to be injected therethrough. In the present embodiment, the gas injector head 208 includes a central opening 402 and multiple edge openings 404 equidistantly distributed on the edge. For examples, the gas injector head 208 includes eight edge openings 404. The edge openings 404 have a smaller radius than that of the central opening 402.



FIG. 5 is a block diagram of an integrated circuit (IC) fabrication system 500 in accordance with some embodiments. The IC fabrication system 500 includes one or more plasma modules 100 integrated together in in a cluster tool. Especially, the plasma module 100 includes one or more chemical delivery unit 114 each having an AFD 220 to fasten the gas injector cover 216 and provide enhanced sealing effect to the interface between the transparent window 214 and the O-ring 212. In an illustrative embodiment, the IC fabrication system 500 includes two plasma module 100 properly configured and integrated.


The IC fabrication system 500 includes one or more load port 502, through which wafers are loaded and unloaded to the IC fabrication system 500. In the present embodiments, the wafers are loaded and unloaded in batches, by using wafer containers, such as front opening unified pods (FOUPs).


The IC fabrication system 500 may include a loader (or front end unit) 504 for holding, manipulating and transferring wafers. For examples, the loader 504 includes one or more substrate stage 506 for holding and/or orienting one or more wafer. In other examples, the loader 504 includes one or more robot 508 for handling wafers, such as transferring wafers to the plasma modules 100 or to load lock chambers (or load lock units) 510. The robot 508 is configured between the load port 502 and the load lock chambers in a way for proper wafer transferring therebetween. For example, each wafer is transferred by the robot 508 from the load port 502 or from the substrate stage 506 to one of load lock chambers, or is transferred back to the load port 502 by the robot 508. In some embodiments, the IC fabrication system 500 may further include other components, such as one or more load lock chambers 510 configured and designed for various functions, such as pre-orientation and preconditioning. The preconditioning may include degassing, pre-heating or other functions. For examples, multiple load lock chambers 510 may designed and configured for various preconditioning functions, respectively. In some examples, a wafer is oriented, degassed and/or pre-heated in one of the load lock chambers 510 to prepare the wafer for the plasma processing. The IC fabrication system 500 may be configured differently. For example, the load lock chamber 510 in the middle may be used as a path to transfer the wafer(s). In other examples, the IC fabrication system 500 may further include a vacuum module integrated to provide vacuum conditions to respective regions, such as the plasma modules 100. The load ports 502, the loader 504 and the load lock chambers 510 are collectively referred to as a load lock module 512.


The IC fabrication system 500 may further include a transfer module 514 for wafer transfer between the plasma modules 100 and the load lock chambers 510. In some embodiments, the transfer module 514 further includes one or more robot 516 for wafer transferring. The transfer module 514 has openings (doors) 518 connected to the plasma modules 100, respectively.



FIG. 6 is a flowchart of a method 600 fabricating one or more semiconductor wafers 108 ((particularly, plasma etching a material layer of the semiconductor wafer), in accordance with some embodiments. The method 600 is implemented in the IC fabrication system 500 of FIG. 5. The method 600 is described with reference to FIGS. 5, 6 and other figures.


The method 600 includes an operation 602 to fasten the gas injector 116 with the AFD 220. As noted above, the AFD 220 includes multiple sets of fasteners 304, each further including a bolt 306 and two nuts 310 and 312 sleeved on the corresponding bolt 306. The first nut 310 is configured above the base plate (particularly, between the bolt head 308 and the base plate 302); and the second nut 312 is configured between the base plate 302 and the gas injector cover 216. The operation 602 may include multiple steps. For examples, the operation 602 includes a step 604 to dispose the base plate 302 on the gas injector cover 216 in a proper configuration such that the thread holes of the both parts are aligned.


The operation 602 also includes a step 606 to configure the fasteners 304 with the base plate 302 and the gas injector cover 216. In the present embodiment, the AFD 220 includes three sets of fasteners 304. To each fastener 304, the first nut 310 and the second nut 312 are sleeved on the corresponding bolt 306. Particularly, the first nut 310 is configured above the base plate (particularly, between the bolt head 308 and the base plate 302); and the second nut 312 is configured between the base plate 302 and the gas injector cover 216.


The operation 602 includes a step 608 to adjust the height of the gas injector cover 216 (or control the distance between the base plate 302 and the gas injector cover 216) by tuning the first nut 310; and a step 610 to fasten the gas injector cover 216 to the gas injector 220 by tuning the second nut 312, which adjusts a fastening force between the gas injector cover and the gas injector and further the contact force between the O-ring 212 and the transparent window 214.


The steps 608 and 610 are repeated to other fasteners 304 and may repeat many cycles to all fasteners until the gas injector cover 216 is fastened to the gas injector 116 such that the O-ring 214 and the transparent window 214 are evenly contacted with improved sealing effect, thereby eliminating leaking through the interface between that the O-ring 214 and the transparent window 214.


After the completion of the operation 602 and other initial conditioning, the IC fabrication system 500 is ready for and may proceed to IC fabrication. For example, the method 600 may include an operation 614 to load one or more wafers to the IC fabrication system 500 through the load ports 502. For example, wafers are in one or more batches, such as in FOUPs, are loaded to the IC fabrication system 500 through the load ports 502 in one or more steps, such as loading, degassing, pre-heating, orienting or a subset thereof.


The method 600 includes operation 614 to transfer one or more wafer to one of the plasma module 100 by the robot 516 through the opening 518. For example, the robot 516 sequentially transfers one or more wafer to each of the plasma modules 100. In other examples, the transfer module 514 may include two or more robots 516 to simultaneously transfer wafers to respective plasma modules 100. Specifically, in the present embodiment, one wafer 108 is transferred to the substrate stage 106 of the corresponding plasma module 100 in a configuration that the front surface of the wafer faces upward.


The method 600 proceeds to an operation 616 to perform plasma process to the wafer(s) 108 in one of the plasma modules 100. The operation 616 and following operations are described with one plasma module and one wafer. However, as described above, the multiple wafers may be processed in one of multiple plasma modules 100 and the multiple plasma modules 100 may work in parallel. In the present embodiment, a plasma process is a plasma etching process being applied to the front surface of the wafer 108 during the operation 516. For example, one or more chemical delivered to the processing chamber 102 may be partially converted into plasma to provide etching effect to the semiconductor wafer 108. In some embodiments, the operation 616 may include injecting the chemical to the processing chamber 102 by the gas injector 116; generating plasma to the chemical; and directing the chemical to the semiconductor wafer 108 for etching.


In one example, the front surface of the wafer 108 includes a metal layer, and the plasma etching process is applied to selectively etch the metal. In furtherance of the example, the metal is copper, the etchant (etching gas) may include CH4 and Ar; CH4 and N2; H2 and Ar; or H2 and N2. Accordingly, each plasma module 100 may include more than chemical delivery unit 114 to deliver the corresponding gases.


In one example, the front surface of the wafer 108 includes a poly-silicon layer, and the plasma etching process is applied to selectively etch the poly-silicon. In furtherance of the example, the etchant include HBr, Cl2, SF6, O2, Ar, He, or a combination thereof. Accordingly, each plasma module 100 may include more than chemical delivery unit 114 to deliver the corresponding gases.


In yet another example, the front surface of the wafer 108 includes a silicon oxide layer, and the plasma etching process is applied to selectively etch the silicon oxide. The etchant may include CF4, C3F8, C4F8, CHF3, CH2F2 or a combination thereof. The plasma process may be, alternatively, plasma-enhanced deposition or plasma treatment.


After the completion of the deposition process to the wafer 108 in the plasma modules 100 by the operation 616, the method 600 proceeds to an operation 618 to transfer the wafer 108 to the load lock chamber(s) 510 by the robot 516. This operation is similar to the operation 614 but it is reversed. For example, the multiple wafers are transferred to the load lock chambers 510 from the plasma modules 100, sequentially or in parallel by multiple robots 516.


The method 600 may further include an operation 620 to unload the wafers from the IC fabrication system 500 through the load port 502. The method 600 may include other operations, before, during or after the operations described above. For example, after the operation 620, the wafers may be transferred to other fabrication tools for following fabrications, such as lithography patterning process.


The IC fabrication system 500 and the method 600 may have other embodiments, or alternatives. For examples, even though the method 600 describes a procedure to perform a plasma etch to a wafer, the IC fabrication system and the method utilizing the same may be used to form various thin films, such as etching a gate dielectric layer, a gate electrode layer, a capping layer, a barrier layer, an etch stop layer, a dielectric layer for interlayer dielectric, or a conductive layer for metal lines.


The present disclosure provides an IC fabrication system and a method utilizing the same. By utilizing the disclosed IC fabrication system, the plasma process, such as plasma etching quality and efficiency are improved. The IC fabrication system includes one or more plasma module 100, each further including one or more chemical delivery unit 114 with an AFD 220. The AFD 220 includes multiple sets of fasteners 304, each further including a bolt 306 and two nuts 310 and 312 sleeved on the corresponding bolt 306. The first nut 310 is configured above the base plate (particularly, between the bolt head 308 and the base plate 302); and the second nut 312 is configured between the base plate 302 and the gas injector cover 216. The first nut 310 is tuned to control the distance and the second nut 312 is tuned to provide fastening force to secure the gas injector cover 216 to the gas injector 116 in a way such that the O-ring 214 and the transparent window 214 are evenly contacted with improved sealing effect, thereby eliminating leaking through the interface between that the O-ring 214 and the transparent window 214.


The embodiments of the present disclosure offer advantages over existing art, though it is understood that other embodiments may offer different advantages, not all advantages are necessarily discussed herein, and that no particular advantage is required for all embodiments. Various advantages may present in some embodiments. By utilizing the disclosed IC fabrication system and the method, the IC fabrication, such as plasma etching, is improved with enhanced sealing and fabrication efficiency. Other advantages may include less manufacturing cost and higher manufacturing throughput.


Thus, the present disclosure provides a semiconductor fabrication apparatus. The semiconductor fabrication apparatus includes a processing chamber; a substrate stage provided in the processing chamber and being configured to secure and rotate a semiconductor wafer; a gas injector configured to inject a chemical to the processing chamber; a window attached to the gas injector; and an adjustable fastening device coupled with the gas injector and the window.


The present disclosure provides a semiconductor fabrication apparatus. The semiconductor fabrication apparatus includes a processing chamber; a substrate stage configured in the processing chamber and being operable to secure and rotate a semiconductor wafer; a gas injector attached to the processing chamber and designed to inject a chemical to the processing chamber; an O-ring configured to the gas injector; a window attached to the gas injector and in direct contacting with the O-ring; a gas injector cover disposed on the window; and an adjustable fastening device integrated with the gas injector and designed to secure the window and the gas injector cover to the gas injector with an adjustable height.


The present disclosure provides a method for semiconductor fabrication. The method includes providing a semiconductor fabrication apparatus. The semiconductor fabrication apparatus further includes a processing chamber; a substrate stage configured in the processing chamber and being operable to secure and rotate a semiconductor wafer; a gas injector attached to the processing chamber and designed to inject a chemical to the processing chamber; an O-ring configured to the gas injector; a window attached to the gas injector and in direct contacting with the O-ring; a gas injector cover disposed on the window; and an adjustable fastening device integrated with the gas injector and designed to secure the window and the gas injector cover to the gas injector with an adjustable height. The adjustable fastening device includes a base plate configured on the gas injector cover; and a plurality of fasteners to fasten the gas injector cover to the gas injector, wherein each of the fasteners includes a bolt, a first nut and a second nut both sleeved on the bolt, wherein the first nut is configured above the base plate and the second nut is configured between the base plate and the gas injector cover. The method further includes performing an etching process to the semiconductor wafer by the semiconductor apparatus.


The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the detailed description that follows. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.

Claims
  • 1. A semiconductor fabrication apparatus, comprising: a processing chamber;a substrate stage provided in the processing chamber and being configured to secure and rotate a semiconductor wafer;a gas injector configured to inject a chemical to the processing chamber, wherein the gas injector comprises a cylindrical body having a passage, the cylindrical body includes a lower portion secured to the processing chamber and an upper portion on the lower portion, wherein the gas injector further comprises an extension portion adjacent to the upper portion of the gas injector and one or more inlets disposed on a sidewall of the upper portion of the cylindrical body, wherein the one or more inlets are configured to receive the chemical from a gas supply source and deliver the chemical to the passage;a transparent window disposed over the cylindrical body of the gas injector;an O-ring interposed between the gas injector and the transparent window, wherein the 0-ring directly contacts the gas injector and the transparent window;a gas injector cover disposed on both the gas injector and the transparent window, wherein a bottom surface of the gas injector cover is in direct contact with top surfaces of the transparent window and the extension portion of the gas injector, the top surface of the extension portion of the gas injector is above a top surface of the cylindrical body of the gas injector, and the gas injector cover is spaced apart from the cylindrical body of the gas injector by the transparent window; andan adjustable fastening device disposed over the gas injector cover and configured to hold the transparent window, the O-ring and the gas injector cover together to provide a sealing effect, wherein the adjustable fastening device comprises a base plate disposed over the gas injector cover.
  • 2. The semiconductor fabrication apparatus of claim 1, wherein the O-ring is disposed on a circular groove of the gas injector and is capped by the transparent window.
  • 3. The semiconductor fabrication apparatus of claim 2, wherein the transparent window is made of liquid silicon rubber.
  • 4. The semiconductor fabrication apparatus of claim 2, wherein the adjustable fastening device further includes a plurality of fasteners configured to fasten the gas injector cover to the gas injector.
  • 5. The semiconductor fabrication apparatus of claim 4, wherein the gas injector cover has an inclined top plane and the base plate is configured to directly contact the inclined top plane of the gas injector cover.
  • 6. The semiconductor fabrication apparatus of claim 5, wherein each of the fasteners includes a bolt having a bolt head, a first nut and a second nut both sleeved on the bolt and disposed under the bolt head,wherein the base plate includes a top portion having a first dimension D1 and a bottom portion having a second dimension D2 less than D1,wherein the first nut is in direct contact with a top surface of the top portion of the base plate and disposed between the bolt head and the base plate, and the second nut is configured below a bottom surface of the top portion of the base plate and is interposed between the base plate and the gas injector cover, wherein the second nut is in direct contact with the gas injector cover, andthe bolt extends through the top portion of the base plate.
  • 7. The semiconductor fabrication apparatus of claim 6, wherein the first nut is operable to adjust a distance between the gas injector cover and the base plate; and the second nut is operable to adjust a fastening force between the gas injector cover and the gas injector.
  • 8. The semiconductor fabrication apparatus of claim 6, wherein the gas injector cover includes a plurality of cover thread holes equidistantly distributed in a circle around a center of the gas injector cover;the base plate includes a plurality of base thread holes equidistantly distributed in a circle around a center of the base plate; andthe plurality of fasteners are configured with respective bolts each passing through one of the base thread holes and one of the cover thread holes.
  • 9. The semiconductor fabrication apparatus of claim 8, wherein the plurality of cover thread holes includes three cover thread holes; the plurality of base thread holes includes three base thread holes; and the plurality of fasteners includes three fasteners.
  • 10. The semiconductor fabrication apparatus of claim 1, wherein the gas injector cover is made of polytetrafluoroethylene (PTFE).
  • 11. The semiconductor fabrication apparatus of claim 1, further comprising: a pump module integrated to the processing chamber and configured to control a pressure thereof; anda plasma generating module integrated with the processing chamber and configured to generate plasma therein, wherein the chemical is injected into the processing chamber by the gas injector and is further converted into plasma to provide etching effect to the semiconductor wafer.
  • 12. A semiconductor fabrication apparatus, comprising: a processing chamber;a substrate stage configured in the processing chamber and being operable to secure and rotate a semiconductor wafer;a gas injector comprising an inlet, a cylindrical body having a passage, and an extension portion adjacent to an upper portion of the cylindrical body, wherein the upper portion of the cylindrical body is mechanically coupled to the inlet which is configured to receive a chemical from a chemical supply source, and a lower portion of the cylindrical body is mechanically coupled to the processing chamber and configured to inject the chemical to the processing chamber through the passage, wherein the extension portion of the gas injector comprises a plurality of first thread holes;an O-ring provided in a circular groove of the gas injector;a transparent window disposed on the cylindrical body of the gas injector and in direct contact with the O-ring;a gas injector cover disposed on the transparent window and the gas injector and comprising a plurality of second thread holes, wherein a bottom surface of the gas injector cover is in direct contact with both the transparent window and the extension portion of the gas injector, and wherein the gas injector cover is spaced apart from the cylindrical body of the gas injector; andan adjustable fastening device mechanically coupled to the extension portion of the gas injector, wherein the adjustable fastening device comprises a base plate and a plurality of fasteners, wherein the base plate is disposed over the gas injector cover and includes a plurality of third thread holes, the plurality of fasteners are engaged with the plurality of first, second, and third thread holes to secure the transparent window and the gas injector cover to the extension portion of the gas injector.
  • 13. The semiconductor fabrication apparatus of claim 12, wherein each of the plurality of fasteners includes a threaded bolt having a bolt head, a first nut and a second nut both sleeved on the threaded bolt and disposed under the bolt head.
  • 14. The semiconductor fabrication apparatus of claim 13, wherein the base plate includes a top portion having a first dimension D1 and a bottom portion having a second dimension D2 less than D1;the first nut is disposed between the base plate and the bolt head and in direct contact with the base plate; andthe second nut is configured below the top portion of the base plate and above the gas injector cover and in direct contact with the gas injector cover.
  • 15. The semiconductor fabrication apparatus of claim 14, wherein the first nut is operable to adjust a distance between the gas injector cover and the base plate; and the second nut is operable to adjust a fastening force between the gas injector cover and the gas injector.
  • 16. The semiconductor fabrication apparatus of claim 15, wherein the plurality of second thread holes include three thread holes and are equidistantly distributed in a circle around a center of the gas injector cover;the plurality of third thread holes include three thread holes and are equidistantly distributed in a circle around a center of the base plate; andthe plurality of fasteners are configured such that each bolt passing through one of the plurality of third thread holes and one of the plurality of second thread holes.
  • 17. The semiconductor fabrication apparatus of claim 12, further comprising: a pump module integrated to the processing chamber and configured to control a pressure thereof; anda plasma generating module integrated with the processing chamber and configured to generate plasma therein, wherein the chemical is injected into the processing chamber by the gas injector and is further converted into plasma to provide etching effect to the semiconductor wafer.
  • 18. A semiconductor fabrication apparatus, comprising: a processing chamber;a substrate stage configured in the processing chamber and being operable to secure and rotate a semiconductor wafer;a gas injector comprising a cylindrical body having an upper portion and a lower portion and designed to inject a chemical to the processing chamber, wherein the upper portion is configured to receive a chemical via an inlet disposed thereon, and the lower portion is mechanically coupled to the processing chamber, wherein the gas injector further comprises an extension portion adjacent to the upper portion;a transparent window disposed on the upper portion of the cylindrical body of the gas injector;an O-ring disposed on a circular groove of the upper portion of the cylindrical body of the gas injector and capped by the transparent window, wherein the O-ring directly contacts the gas injector and the transparent window;a gas injector cover disposed above both the gas injector and the transparent window, and wherein the gas injector cover is in direct contact with top surfaces of the extension portion of the gas injector and the transparent window and is spaced apart from the cylindrical body of the gas injector; andan adjustable fastening device mechanically coupled to the gas injector and designed to secure the transparent window and the gas injector cover to the gas injector, wherein the adjustable fastening device comprises: a base plate disposed over the gas injector cover, wherein the base plate is an integral structure and comprises a top portion having a first dimension D1 and a bottom portion having a second dimension D2, D2 is less than D1, wherein the top portion is spaced apart from the gas injector cover by the bottom portion; anda plurality of fasteners configured to fasten the gas injector cover to the gas injector, wherein each of the plurality of fasteners comprises a corresponding bolt having a bolt head, a first corresponding nut and a second corresponding nut both sleeved on the corresponding bolt and disposed under the bolt head, wherein the first corresponding nut is positioned between the top portion of the base plate and the gas injector cover and in direct contact with the gas injector cover to operably adjust a fastening force between the gas injector cover and the gas injector, and wherein the second corresponding nut is positioned between the bolt head and the top portion of the base plate and in direct contact with the top portion of the base plate to adjust a distance between the base plate and the gas injector cover.
  • 19. The semiconductor fabrication apparatus of claim 18, wherein the gas injector cover has an inclined top surface in direct contact with a bottom surface of the base plate.
  • 20. The semiconductor fabrication apparatus of claim 18, wherein the second dimension D2 is less than D1 such that the corresponding bolt passes through a first corresponding thread hole in the top portion and a second corresponding thread hole in the gas injector cover without passing through the bottom portion.
PRIORITY DATA

This application claims priority to U.S. Provisional Patent Application Ser. No. 62/565,325 filed Sep. 29, 2017, the entire disclosure of which is hereby incorporated herein by reference.

US Referenced Citations (173)
Number Name Date Kind
2890351 Tongret Jun 1959 A
3519491 Grohall Jul 1970 A
3707452 Lester et al. Dec 1972 A
3877131 Grenville Apr 1975 A
3886474 Hensolt May 1975 A
3987699 Popenoe Oct 1976 A
4478701 Welch Oct 1984 A
4638486 Dost Jan 1987 A
4686685 Hoag Aug 1987 A
4769824 Seki Sep 1988 A
4809862 Canty Mar 1989 A
4953036 Yoshimura Aug 1990 A
5038711 Dan Aug 1991 A
5129994 Ebbing Jul 1992 A
5141125 Canty Aug 1992 A
5354413 Smesny Oct 1994 A
5556204 Tamura Sep 1996 A
5635409 Moslehi Jun 1997 A
5660472 Peuse Aug 1997 A
5759424 Imatake Jun 1998 A
5796066 Guyot Aug 1998 A
5855677 Carlson Jan 1999 A
5869802 Spencer Feb 1999 A
5885402 Esquibel Mar 1999 A
5985092 Chiu Nov 1999 A
6005249 Hayes, Jr. Dec 1999 A
6042650 Uesugi Mar 2000 A
6079874 Hegedus Jun 2000 A
6080241 Li Jun 2000 A
6090210 Ballance Jul 2000 A
6146242 Treur Nov 2000 A
6157106 Tietz Dec 2000 A
6176933 Yang Jan 2001 B1
6226453 Yam May 2001 B1
6246175 Kou Jun 2001 B1
6263542 Larson Jul 2001 B1
6267545 Mooring Jul 2001 B1
6306246 Melvin Oct 2001 B1
6349270 Gurary Feb 2002 B1
6366346 Nowak Apr 2002 B1
6390019 Grimbergen May 2002 B1
6493375 Kodeda Dec 2002 B1
6503364 Masuda Jan 2003 B1
6562186 Saito May 2003 B1
6758941 Ookawa Jul 2004 B1
6804284 Kodeda Oct 2004 B1
6936135 Antolik Aug 2005 B2
7314526 Preti Jan 2008 B1
7318879 Kwon Jan 2008 B2
7524532 Jurgensen Apr 2009 B2
8083891 Sato Dec 2011 B2
8547085 Booth Oct 2013 B2
9154712 Holliday Oct 2015 B2
10840066 Hsu Nov 2020 B2
11043388 Hsu Jun 2021 B2
20010006530 Adams Jul 2001 A1
20010010257 Ni Aug 2001 A1
20010015175 Masuda Aug 2001 A1
20010041218 Cook Nov 2001 A1
20020014203 Kim Feb 2002 A1
20020100555 Hao Aug 2002 A1
20030038112 Liu Feb 2003 A1
20030070620 Cooperberg Apr 2003 A1
20030173029 Saito Sep 2003 A1
20030196458 Bennett Oct 2003 A1
20040004989 Shigeoka Jan 2004 A1
20040020439 Chen Feb 2004 A1
20040060516 Nishimoto Apr 2004 A1
20040082251 Bach Apr 2004 A1
20040129226 Strang Jul 2004 A1
20040134426 Tomoyasu Jul 2004 A1
20040142558 Granneman Jul 2004 A1
20040173155 Nishimoto Sep 2004 A1
20040184028 Fink Sep 2004 A1
20040237888 Codella Dec 2004 A1
20040262260 Yang Dec 2004 A1
20050011446 Fink Jan 2005 A1
20050053113 Clary Mar 2005 A1
20050103972 Milton May 2005 A1
20050109728 Oh May 2005 A1
20050140975 Sakai Jun 2005 A1
20050173375 Mitrovic Aug 2005 A1
20050189069 Ludviksson Sep 2005 A1
20050191044 Aderhold Sep 2005 A1
20060021568 Matsumoto Feb 2006 A1
20060060138 Keller Mar 2006 A1
20060120011 Handa Jun 2006 A1
20060130763 Emerson Jun 2006 A1
20060144520 Fink Jul 2006 A1
20060171442 Volf Aug 2006 A1
20060193037 Strait Aug 2006 A1
20070039548 Johnson Feb 2007 A1
20070131354 Yokogawa Jun 2007 A1
20070166599 Burtner Jul 2007 A1
20070169704 Hao Jul 2007 A1
20070187363 Oka Aug 2007 A1
20070283704 Tanaka Dec 2007 A1
20070286688 Bradley Dec 2007 A1
20080006204 Rusinko Jan 2008 A1
20080083883 Bogart Apr 2008 A1
20080169282 Sorabji Jul 2008 A1
20080233016 Harvey Sep 2008 A1
20090016048 McBrien Jan 2009 A1
20090042321 Sasaki Feb 2009 A1
20090047801 Low Feb 2009 A1
20090120584 Lubomirsky May 2009 A1
20090159424 Liu Jun 2009 A1
20090199766 Tappan Aug 2009 A1
20100007337 Booth Jan 2010 A1
20100073679 Larking Mar 2010 A1
20100200546 Zaykova-Feldman Aug 2010 A1
20100202042 Marchman Aug 2010 A1
20100304571 Larson Dec 2010 A1
20110054661 Rasnick Mar 2011 A1
20110114261 Matsumoto May 2011 A1
20110126394 Heimmer Jun 2011 A1
20110141473 Black Jun 2011 A1
20110198417 Detmar Aug 2011 A1
20110290419 Horiguchi Dec 2011 A1
20110291568 Iizuka Dec 2011 A1
20120000301 Little Jan 2012 A1
20120111269 Du May 2012 A1
20120238102 Zhang Sep 2012 A1
20120238103 Zhang Sep 2012 A1
20120273130 Drewery Nov 2012 A1
20120305190 Kang Dec 2012 A1
20130008607 Matsumoto Jan 2013 A1
20130098554 Chhatre Apr 2013 A1
20130127124 Nam May 2013 A1
20130133834 Dhindsa May 2013 A1
20130188040 Kamen Jul 2013 A1
20130284700 Nangoy Oct 2013 A1
20130310990 Peret Nov 2013 A1
20130323860 Antolik Dec 2013 A1
20130340677 Tas Dec 2013 A1
20140024142 Shriner Jan 2014 A1
20140036274 Marquardt Feb 2014 A1
20140053779 Martinson Feb 2014 A1
20140118751 Rajagopalan May 2014 A1
20140218817 Gunell Aug 2014 A1
20140263179 Waldfried Sep 2014 A1
20140377890 Voronov Dec 2014 A1
20150020969 Sriraman Jan 2015 A1
20150026941 Heimmer Jan 2015 A1
20150037981 Sriraman Feb 2015 A1
20150064923 Matsumoto Mar 2015 A1
20150107669 Gotoh Apr 2015 A1
20150140786 Kwak May 2015 A1
20150225854 Madsen Aug 2015 A1
20150233016 Brillhart Aug 2015 A1
20150371882 Tsai Dec 2015 A1
20150380281 Sriraman Dec 2015 A1
20160005666 Woodard Jan 2016 A1
20160041359 Gaskin Feb 2016 A1
20160111265 Siegert Apr 2016 A1
20160141148 Sun May 2016 A1
20160163517 Tanaka Jun 2016 A1
20160181996 Baecklund Jun 2016 A1
20160222508 Schoepp Aug 2016 A1
20160289827 Augustyniak Oct 2016 A1
20160293431 Sriraman Oct 2016 A1
20160307812 Kenane Oct 2016 A1
20160343625 Wu Nov 2016 A1
20160370173 Paul Dec 2016 A1
20170052319 Schultheis Feb 2017 A1
20170148654 Paul May 2017 A1
20170365444 Kim Dec 2017 A1
20190057889 Mao Feb 2019 A1
20190103295 Hsu Apr 2019 A1
20190385816 Hsu Dec 2019 A1
20200135510 Hsu Apr 2020 A1
20210035777 Hsu Feb 2021 A1
20210043423 Hsu Feb 2021 A1
Foreign Referenced Citations (16)
Number Date Country
1639831 Jul 2005 CN
101529997 Sep 2009 CN
106373850 Feb 2017 CN
106415123 Feb 2017 CN
106601580 Apr 2017 CN
106601580 Apr 2017 CN
106653658 May 2017 CN
206428325 Aug 2017 CN
2528976 Feb 2016 GB
20140090219 Jul 2014 KR
20140094848 Jul 2014 KR
20140094848 Jul 2014 KR
20150094537 Aug 2015 KR
200739713 Oct 2007 TW
WO-2013176144 Nov 2013 WO
WO-2015169685 Nov 2015 WO
Non-Patent Literature Citations (2)
Entry
U.S. Appl. No. 62/181,689 in the names of Khokan C. Paul et al., filed Jun. 18, 2015 and entitled “In-Situ Metrology Method for Thickness Measurement During PECVD Processes.” pp. 1-41. (Year: 2015).
U.S. Appl. No. 61/382,176 in the name of Khokan C. Paul et al., filed Sep. 23, 2015 and entitled “In-Situ Metrology Method for Thickness Measurement During PECVD Processes.” pp. 1-38. (Year: 2015).
Related Publications (1)
Number Date Country
20190103295 A1 Apr 2019 US
Provisional Applications (1)
Number Date Country
62565325 Sep 2017 US