Low temperature tungsten film deposition for small critical dimension contacts and interconnects

Information

  • Patent Grant
  • 9673146
  • Patent Number
    9,673,146
  • Date Filed
    Wednesday, January 6, 2016
    8 years ago
  • Date Issued
    Tuesday, June 6, 2017
    7 years ago
Abstract
Provided are methods of void-free tungsten fill of high aspect ratio features. According to various embodiments, the methods involve a reduced temperature chemical vapor deposition (CVD) process to fill the features with tungsten. In certain embodiments, the process temperature is maintained at less than about 350° C. during the chemical vapor deposition to fill the feature. The reduced-temperature CVD tungsten fill provides improved tungsten fill in high aspect ratio features, provides improved barriers to fluorine migration into underlying layers, while achieving similar thin film resistivity as standard CVD fill. Also provided are methods of depositing thin tungsten films having low-resistivity. According to various embodiments, the methods involve performing a reduced temperature low resistivity treatment on a deposited nucleation layer prior to depositing a tungsten bulk layer and/or depositing a bulk layer via a reduced temperature CVD process followed by a high temperature CVD process.
Description
BACKGROUND

The deposition of tungsten films using chemical vapor deposition (CVD) techniques is an integral part of many semiconductor fabrication processes. Tungsten films may be used as low resistivity electrical connections in the form of horizontal interconnects, vias between adjacent metal layers, and contacts between a first metal layer and the devices on the silicon substrate. In a conventional tungsten deposition process, the wafer is heated to the process temperature in a vacuum chamber, and then a very thin portion of tungsten film, which serves as a seed or nucleation layer, is deposited. Thereafter, the remainder of the tungsten film (the bulk layer) is deposited on the nucleation layer. Conventionally, the tungsten bulk layer is formed by the reduction of tungsten hexafluoride (WF6) with hydrogen (H2) on the growing tungsten layer.


As semiconductor devices scale to the 32 nm technology node and beyond, shrinking contact and via dimensions make chemical vapor deposition of tungsten more challenging. Increasing aspect ratios can lead to voids or large seams within device features, resulting in lower yields and decreased performance in microprocessor and memory chips. The International Technology Roadmap for Semiconductors (ITRS) calls for 32 nm stacked capacitor DRAM contacts to have aspect ratios of greater than 20:1. Logic contacts, though not as aggressive as DRAM contacts, will still be challenged as aspect ratios grow to more than 10:1. Void-free fill in aggressive features like these is problematic using conventional CVD tungsten deposition techniques.


SUMMARY OF INVENTION

One aspect of the invention relates to methods of void-free tungsten fill of high aspect ratio features. According to various embodiments, the methods involve a reduced temperature chemical vapor deposition (CVD) process to fill the features with tungsten. In certain embodiments, the process temperature is maintained at less than about 350° C. during the chemical vapor deposition to fill the feature. The reduced-temperature CVD tungsten fill provides improved tungsten fill in high aspect ratio features, provides improved barriers to fluorine migration into underlying layers, while achieving similar thin film resistivity as standard CVD fill. Another aspect of the invention relates to methods of depositing thin tungsten films having low-resistivity. According to various embodiments, the methods involve performing a reduced temperature low resistivity treatment on a deposited nucleation layer prior to depositing a tungsten bulk layer and/or depositing a bulk layer via a reduced temperature CVD process followed by a high temperature CVD process.





BRIEF DESCRIPTION OF DRAWINGS


FIG. 1 is a schematic illustration of a feature filled with tungsten nucleation and bulk layers according to certain embodiments.



FIG. 2 is a plot showing volume percentage of a feature occupied by a nucleation layer as a function of technology node (feature size) for 12 Å and 50 Å nucleation layers.



FIG. 3 is a process flow diagram illustrating operations in a method of filling a feature with tungsten according to various embodiments.



FIG. 4 depicts schematic illustrations of feature cross-sections at various stages of a process according to certain embodiments.



FIG. 5 depicts a schematic illustration of a substrate cross-section after a feature filling process according to certain embodiments.



FIG. 6 is a plot depicting defects as a function of film thickness for a films deposited 1) by a pulsed layer nucleation (PNL) process and low temperature chemical vapor deposition (CVD) process and 2) by a PNL process only.



FIG. 7 depicts images of films after high and low temperature CVD fill of 32 nm features.



FIG. 8 depicts resistivity as a function of film thickness for films deposited by high and low temperature CVD.



FIG. 9 is a plot depicting resistivity as a function of film thickness for tungsten films deposited by various processes.



FIGS. 10-12 are process flow diagrams illustrating operations in methods of filling a feature with tungsten according to various embodiments.



FIG. 13 is a process flow diagram illustrating operations in a method of depositing a tungsten nucleation layer that may be employed with certain embodiments.



FIGS. 14A and 14B illustrates gas pulse sequences in a low resistivity treatment according to various embodiments.



FIG. 15 is a schematic illustration of a feature cross-section after a feature filling process according to certain embodiments.



FIG. 16A is a plot illustrating resistivity of 50 nm and 10 nm films as a function of reducing agent exposure during a low resistivity treatment process.



FIG. 16B is a plot illustrating resistivity of a 50 nm film as a function of reducing agent exposure for a low resistivity treatment for features filled via high temperature CVD only and features filled via low and high temperature CVD.



FIG. 17 is a plot illustrating resistivity as a function of film thickness for various fill processes.



FIG. 18 is a process flow diagram illustrating operations in a method of filling a feature with tungsten according to various embodiments.



FIG. 19 is a plot illustrating resistivity as a function of film thickness for various fill processes.



FIG. 20 is a schematic illustration of a processing system suitable for conducting tungsten deposition process in accordance with embodiments of the invention.



FIG. 21 is a basis illustration of a tungsten deposition in accordance with embodiments of the invention.





DETAILED DESCRIPTION

Introduction


In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention, which pertains to forming thin tungsten films. Modifications, adaptations or variations of specific methods and structures shown and discussed herein will be apparent to those skilled in the art and are within the scope of this invention.


Extending tungsten to sub-32 nm technologies is critical to maintaining via/contact performance and reliability in both memory and logic devices. There are various challenges in tungsten fill as devices scale to smaller technology nodes. One challenge is preventing an increase in resistance due to the thinner films in contacts and vias. As features become smaller, the tungsten (W) contact or line resistance increases due to scattering effects in the thinner W film. While efficient tungsten deposition processes require tungsten nucleation layers, these layers typically have higher electrical resistivities than the bulk tungsten layers. As features become smaller, low resistivity tungsten films minimize power losses and overheating in integrated circuit designs. The thin barrier and tungsten nucleation films, which are higher in resistivity, occupy a larger percentage of the smaller features.



FIG. 1 shows a volume occupied by a nucleation film 110 and a bulk tungsten material 120 in a via/contact structure 100. FIG. 2 shows the percent the percent volume occupied by 12 Å and 50 Å nucleation films as a function of technology node. Because the resistivity of the nucleation layer is higher than that of the bulk layer (ρnucleationbulk) the thickness of the nucleation layer should be minimized to keep the total resistance as low as possible. On the other hand, the tungsten nucleation should be sufficiently thick to fully cover the underlying substrate to support high quality bulk deposition.


Another challenge in tungsten plugfill as devices scale to smaller technology nodes is step coverage. Stacked capacitor DRAM contacts, for example, require high aspect ratio tungsten fill of features greater than 20:1 at 32 nm nodes. Logic contacts, though not as aggressive as DRAM contacts, still have challenges as the smaller contact openings increase the aspect ratio requirements to near 10:1. Memory devices typically use CVD TiCl4 based Ti/TiN liner/barriers, which are fairly conformal. Logic devices, however, still rely on PVD/MOCVD based Ti/TiN films that create additional step coverage challenges associated with large overhang that creates a reentrant shape or pinch off. PVD overhang from the liner/barrier film magnifies the difficulty in filling small features. This makes it difficult to fill features not only with the nucleation film, but ultimately the bulk CVD film. Incoming overhang combined with the dimensions of high aspect ratio structures makes it difficult or impossible to achieve void-free plugfill using CVD tungsten deposition processes used in previous technology nodes.


According to various embodiments, the present invention provides tungsten fill processes to overcome aggressive aspect rations and liner/barrier step coverage limitations, including reducing nucleation film thickness and improving step coverage of the fill process. In certain embodiments, the methods also provide superior barrier films against fluorine attack of the underlying barrier/liner layer.



FIG. 3 presents a process flow sheet illustrating operations in a method of providing fill according to certain embodiments. The process begins by providing substrate having a high aspect ratio feature formed therein. (302). While embodiments of the invention are not limited to high aspect ratio features, the methods described herein are critical to achieving good void-free fill in high aspect ratio features, for which CVD processes used to fill features in earlier technology nodes do not provide adequate fill. According to various embodiments, the substrate feature has an aspect ratio of at least 10:1, at least 15:1, at least 20:1, at least 25:1 or at least 30:1. Also according to various embodiments, the feature size is characterized by the feature opening size in addition to or instead of the aspect ratio. The opening may be from 10 nm-100 nm, or 10 nm-50 nm wide. For example, in certain embodiments, the methods may be advantageously used with features having narrow openings, regardless of the aspect ratio.


In certain embodiments, the recessed feature is formed within a dielectric layer on a substrate, with the bottom of the feature providing contact to an underlying metal layer. Also in certain embodiments, the feature includes a liner/barrier layer on its sidewalls and/or bottom. Examples of liner layers include Ti/TiN, TiN and WN. In addition to or instead of diffusion barrier layers, the feature may include layers such as an adhesion layer, a nucleation layer, a combination of thereof, or any other applicable material lining the sidewalls and bottom of the feature.


In certain embodiments, the feature is a re-entrant feature; that is the liner layer or other material forms an overhang that partially blocks the feature opening. Because many deposition processes do not have good step coverage properties, i.e., more material is deposited on the field region and near the opening than inside the feature, the liner layer thicker near the opening than, for example, inside the feature. For the purposes of this description, “near the opening” is defined as an approximate position or an area within the feature (i.e., along the side wall of the feature) corresponding to between about 0-10% of the feature depth measured from the field region. In certain embodiments, the area near the opening corresponds to the area at the opening. Further, “inside the feature” is defined as an approximate position or an area within the feature corresponding to between about 20-60% of the feature depth measured from the field region on the top of the feature. Typically, when values for certain parameters (e.g., thicknesses) are specified “near the opening” or “inside the feature”, these values represent a measurement or an average of multiple measurements taken within these positions/areas. In certain embodiments, an average thickness of the under-layer near the opening is at least about 10% greater than that inside the feature. In more specific embodiments, this difference may be at least about 25%, at least about 50%, or at least about 100%. Distribution of a material within a feature may also be characterized by its step coverage. For the purposes of this description, “step coverage” is defined as a ratio of two thicknesses, i.e., the thickness of the material inside the feature divided by the thickness of the material near the opening. In certain examples, the step coverage of the liner or other under-layer is less than about 100% or, more specifically, less than about 75% or even less than about 50%.


Returning to FIG. 3, a tungsten nucleation layer is then deposited in the feature, typically to conformally coat the sidewalls and bottom of the feature (304). In general, a nucleation layer is a thin conformal layer which serves to facilitate the subsequent formation of a bulk material thereon. Conformation to the underlying feature is critical to support high quality deposition. Various processes may be used to form the nucleation layer, including but not limited to, CVD processes, atomic layer deposition (ALD) processes and pulsed nucleation layer (PNL) deposition processes.


In a PNL technique, pulses of reactants are sequentially injected and purged from the reaction chamber, typically by a pulse of a purge gas between reactants. A first reactant is typically adsorbed onto the substrate, available to react with the next reactant. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL is similar to atomic layer deposition techniques reported in the literature. PNL is generally distinguished from ALD by its higher operating pressure range (greater than 1 Torr) and its higher growth rate per cycle (greater than 1 monolayer film growth per cycle). In the context of the description provided herein, PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate. Thus, the concept embodies techniques conventionally referred to as ALD. In the context of description provided herein, CVD embodies processes in which reactants are together introduced to a reactor for a vapor-phase reaction. PNL and ALD processes are distinct from CVD processes and vice-versa.


Forming a nucleation layer using one or more PNL cycles is discussed in U.S. Pat. Nos. 6,844,258; 7,005,372; 7,141,494; 7,262,125; and 7,589,017; US Patent Publication Nos. 2008/0254623 and 2009/0149022, and U.S. patent application Ser. No. 12/407,541, all of which references are incorporated herein by reference in their entireties. These PNL nucleation layer processes involve exposing a substrate to various sequences of reducing agents and tungsten precursors to grow a nucleation layer of the desired thickness. A combined PNL-CVD method of depositing a nucleation layer is described in U.S. Pat. No. 7,655,567, also incorporated in its entirety.


Nucleation layer thickness is enough to support high quality deposition. In certain embodiments, the requisite thickness depends in part on the nucleation layer deposition method. As described further below, in certain embodiments a PNL method providing near 100% step coverage nucleation film at thicknesses as low as about 12 Å (as compared to typical nucleation films of 50 Å) may be used in certain embodiments. Regardless of the method used to deposit the nucleation layer, however, the reduced temperature CVD operation used to fill the feature can be used with thinner nucleation layers than required by conventional higher temperature CVD. Without being bound by any particular theory, it is believed that this may be because the slower chemistry at the reduced temperatures improves growth even on nucleation sites that are not fully developed. According to various embodiments, nucleation layers of between about 30-50 Å (3-5 nm) may be formed, in certain embodiments, as low as 10-15 Å.


In certain embodiments, depositing the nucleation layer is followed by a post-deposition treatment operation to improve resistivity. Such treatment operations are described further below and in more detail in U.S. Patent Publication No. 2009/0149022, and U.S. patent application Ser. No. 12/407,541, both of which are incorporated by reference herein.


Once the nucleation layer is formed, the process continues by filling the feature with a low-temperature CVD tungsten film (306). In this operation, a reducing agent and a tungsten-containing precursor are flowed into a deposition chamber to deposit a bulk fill layer in the feature. An inert carrier gas may be used to deliver one or more of the reactant streams, which may or may not be pre-mixed. Unlike PNL or ALD processes, this operation generally involves flowing the reactants continuously until the desired amount is deposited. In certain embodiments, the CVD operation may take place in multiple stages, with multiple periods of continuous and simultaneous flow of reactants separated by periods of one or more reactant flows diverted.


Various tungsten-containing gases including, but not limited to, WF6, WCl6, and W(CO)6 can be used as the tungsten-containing precursor. In certain embodiments, the tungsten-containing precursor is a halogen-containing compound, such as WF6. In certain embodiments, the reducing agent is hydrogen gas, though other reducing agents may be used including silane (SiH4), disilane (Si2H6) hydrazine (N2H4), diborane (B2H6) and germane (GeH4). In many embodiments, hydrogen gas is used as the reducing agent in the CVD process.


CVD filling of the feature is performed at a reduced temperature. According to various embodiments, the reduced temperature (process and/or substrate temperature) is in one of the following ranges: between about 250-350° C., between about 250° C.-340° C., between about 250° C.-330° C., between about 250° C.-325° C., between about 250° C.-320° C., between about 250° C.-315° C., between about 250° C.-310° C., between about 250° C.-305° C., or between about 250° C.-300° C. Also according to various embodiments, the process and/or substrate temperature is: between about 260-310° C., between about 270° C.-310° C., between about 280° C.-310° C., or between about 290° C.-310° C. In certain embodiments, the process and/or substrate temperature is about 300° C.


After filling the feature, the temperature is raised to deposit a high temperature CVD layer (308). The high temperature may be in one of the following ranges: between about 350-450° C., between about 360° C.-450° C., between about 370° C.-450° C., between about 380° C.-450° C., between about 390° C.-450° C., or between about 400° C.-450° C. In certain embodiments, the high temperature CVD is performed at about 395° C. Raising the temperature may involve raising the substrate temperature. According to various embodiments, the temperature is raised at least about 50° C., at least about 60° C., at least about 70° C., at least about 80° C., at least about 90° C., at least about 100° C., or at least about 110° C. The high temperature CVD layer is then deposited (310). In certain embodiments, operations 308 and 310 are not performed; that is, after the low temperature CVD process is complete and the feature is filled, the substrate moves on for further processing such as planarization.


In certain embodiments, transitioning from operation 306 to operation 308 involves moving the substrate from one deposition station to another in a multi-station chamber. Still further, each of operation 304, the post-deposition resistivity treatment (if performed), operation 306 and operation 308 is performed in a different station of the same multi-station chamber.


In alternative embodiments in which a single station is used to perform operations 306 and 308, transitioning from operation 306 to operation 308 involved shutting off a flow of tungsten precursor (optionally allowing hydrogen or other reducing gas and/or carrier gas to run), while raising the substrate temperature. Once the substrate temperature is stabilized, the tungsten precursor and other gases, if necessary, are flowed into the reaction chamber for the high temperature deposition. In other embodiments, transitioning from operation 306 may involve raising the substrate temperature while allowing the deposition to continue during the transition period.


In embodiments in which the high temperature tungsten CVD film is deposited, it may be deposited as an overburden layer on the filled feature. FIG. 4 illustrates schematic representations of one example of a feature's cross-sections at different stages of a filling process in which a high temperature CVD layer is deposited after the feature 410 is filled using reduced temperature CVD. Cross-section 401 represents an example of the feature 410 prior to any tungsten deposition. In this example, the feature 410 is formed in a dielectric layer 430, has an opening 425 at the top surface 405 of the substrate and includes a liner layer 413, such as TiN layer. In certain embodiments, the size of the cavity near the opening 425 is narrower that inside the feature, for example, due to overhang 415 of the under-layer 413 as depicted in FIG. 4.


Cross-section 411 represents the feature after reduced temperature CVD is performed to fill the feature with low temperature CVD bulk layer 453. (The tungsten nucleation layer is not depicted in FIG. 4.) In certain embodiments, the reduced temperature CVD is performed at least until the feature corner 417 (the point at which the substrate transitions from a planar region to the recessed feature) is covered with low temperature CVD tungsten. This is because in certain embodiments the liner, dielectric or other under-layer is particularly vulnerable to F2 attack at the feature corner. As discussed further below, the reduced temperature CVD tungsten has unexpectedly good barrier properties, and protects the under-layer from F2 exposure during the subsequent high temperature CVD deposition.


Cross-section 421 represents the feature after the higher temperature CVD is performed to deposit an overburden layer 455. The feature sidewalls and corners are protected from F2 attack by the low-temperature CVD film 453. Cross-section 431 provides a comparative example of a narrow feature such as that depicted in cross-section 401 filled using a conventional (high temperature) process. With a high temperature process, because of the overhang 415 and the relatively poor step coverage of the high temperature layer 455, the closed feature has an unfilled void 429 (i.e., a seam). The seam is problematic for a variety reasons—increasing resistance in the feature and causing problems during chemical-mechanical planarization (CMP). Although not visible in the schematic, the corners or other parts of the liner have adhesion problems due to F2 attack, exhibiting peeling or and defects. Such defects are discussed further below with reference to FIG. 6.


In certain embodiments, a substrate having both high aspect ratio features and low aspect ratio features to be filled with tungsten is provided. For example, a substrate may have one or more features having an aspect ratio of at least about 10:1 and one or more features having aspect ratio of less than about 5:1, or 1:1 or 1:2. A reduced temperature CVD operation may then be performed to fill the one or more high aspect ratio features, followed by a high temperature CVD operation to fill the low aspect ratio features. FIG. 5 depicts an example of a high aspect ratio feature 510 and a low aspect ratio feature 520 filled in this manner. Feature 510 is filled with low temperature CVD film 553, critical to providing good void-free fill in narrow opening, high aspect ratio features. Due to its wide opening (e.g., on the order of hundreds of nanometers to a few microns), an insignificant amount of low-temperature CVD film is deposited into feature 520. A high temperature CVD operation is then used to fill feature 520 with high temperature CVD film 555, and in this case, deposit overburden.


Reduced temperature CVD is critical to obtaining high quality tungsten fill in narrow, high aspect ratio features. Current tungsten CVD is performed at temperatures around 400° C. Obtaining excellent plugfill on advanced node features is a challenge that is magnified when the features have pinched openings (as illustrated at cross-section 401 of FIG. 4). Another challenge is presented by thinning TiN barriers to allow more space in the features for tungsten deposition. In certain embodiments, the advanced node features have barrier layers as less than 5 nm thick, as thin as 1 nm. Fluorine migration from the WF6 in conventional CVD processes into the Ti liner region results in integration problems include fluorine attack of the liner and yield loss.


The reduced temperature CVD described above is critical to obtaining high quality plugfill. Without being bound by a particular theory, it is believed that the high quality plugfill provided by the reduced temperature CVD is due to a number of factors. First, lower CVD temperature decreases the tungsten deposition rate by reducing thermal decomposition of the tungsten-containing precursor. This is believed to aid in plugfill in high aspect ratio, narrow features by reducing tungsten deposition at the feature opening thereby allowing more WF6 (or other tungsten-containing precursor) molecules to reach the lower regions of the feature and deposit tungsten. In conventional CVD processes, deposition at the top of the feature prevents precursor diffusion into the lower region of the feature. The result is voids or seams in the internal region of the feature, such as depicted in cross-section 431 in FIG. 4. Better plugfill has multiple benefits: it results in more tungsten in the feature, promoting electron transport and reducing contact and line resistance, and it prevents post-CMP problems. For example, it reduces the likelihood that CMP slurry is trapped in seams and voids.


In addition to the above mechanisms, it is believed that excellent plugfill is due to insufficient energy at the reduced temperatures to promote fluorine migration through the tungsten nucleation and TiN layers and/or insufficient energy to form TiFx from a reaction between Ti and F or Ti and WF6, even if the fluorine atoms or tungsten hexafluoride molecules do migrate. A low temperature CVD reaction minimizes Ti attack by fluorine.


In addition to the above, it was found that low temperature CVD tungsten film provides unexpectedly good fluorine barrier properties compared to tungsten films deposited by other processes. FIG. 6 shows results of a defect study conducted on conventional PNL W and PNL W+low temperature CVD. PNL W only or PNL W+low temperature W films were deposited on a Ti/TiN substrate at the following thicknesses:

    • PNL W only: 34 Å, 54 Å and 76 Å
    • PNL W+low temp CVD W: 22 ÅPNL+8 Å CVD (30 Å total), 22 Å PNL+10 Å CVD (32 Å total), 22 Å PNL+15 Å CVD (37 Å total)


Both PNLW and low temp CVD occurred at 300° C. Then the W films were subjected to a torture test where they were exposed to WF6 at 395° C. If fluorine diffuses through the W film and the TiN it reacts with the underlying Ti to form volatile TiFx compounds and results in typical “volcano” defects as well as local peeling, cracking or bubbling. These defects are visible under an optical microscope. As shown in FIG. 6, low temperature CVD W along with thin PNL W behaved as a better W diffusion layer than PNL W only. This is an unexpected result in that for the same overall thickness of W film the low temperature CVD film provides improved F barrier properties. It would have been expected that the thin PNL+low temperature CVD layer would have similar defect counts as the thin PNL layer deposited at the same temperature.


A fluorine attack study was performed on wafers patterned with 100 nm opening/10:1 aspect ratio features including PVD Ti/MOCVD TiN barrier layers. A tungsten nucleation layer was deposited in the features, with a thin (12 Å) layer used so as to generate an exaggerated signal. Features were filled with either 395° C. CVD tungsten or 350° C. CVD tungsten. Feature fill was then examined and compared. The low temperature CVD fill provided better plugfill as well as reduced fluorine attack. In addition to showing reduced fluorine attack, the results indicate that reduced temperature provides better step coverage on thin nucleation layers. Without being bound by any particular theory, it is believed that the slower chemistry of the reduced temperature process allows growth on nucleation sites that are not fully formed.


Fill of 32 nm re-entrant features was performed using 300° C. and 395° C. The filled features were compared, and the films were examined for volcano defects. Low temperature CVD resulted in better fill, with fewer or no seams or voids. Voids were observed in the high temperature CVD filled features. FIG. 7 shows microscopic images of the 395° C. film (701) and the 300° C. film (702). Many volcano defects are observed in the 395° C. film; none in the 300° C. film. In addition to providing improved plugfill and reduced fluorine attack, the low temperature films have resistivities comparable to the high temperature films. This is shown in FIG. 8.


Also provided are improved methods of depositing ultra-low resistivity tungsten films. According to various embodiments, these methods involve depositing a thin PNL nucleation layer, performing a low resistivity treatment on the nucleation layer, and depositing a high temperature CVD layer to fill the feature. In certain embodiments, the low resistivity treatment includes a low temperature CVD process.


It has been found that low resistivity processes that grow low resistivity tungsten for thicknesses larger than 20 nm and above may not grow low resistivity tungsten at thicknesses of 20 nm or less. When the critical dimension of the devices reduces to 40 nm or lower, the thickness of the tungsten layers in the structures is 20 nm or less. FIG. 9 presents a plot illustrating film resistivity as a function of thickness for films treated using a first low resistivity process (905) and for films treated using a thin film low resistivity process according to certain embodiments (901). For comparison, a film deposited without low resistivity treatment (907) is depicted.


The process used to deposit films represented by 905 involves depositing a PNL nucleation layer in a hydrogen-free ambient at reduced temperature followed by a high temperature low resistivity treatment. The untreated films (data series 907 were deposited by a PNL nucleation layer, with no low resistivity treatment. Nucleation layers of about 20-25 Å were deposited, with the remaining thickness deposited by low-temperature CVD. While the high temperature treatment results in film having lower resistivity for thicknesses greater than 120 Å (12 nm), the opposite is true for thicknesses less than 120 Å. Process parameters for deposition of the films are shown below:





















Low








resistivity
Low



Nucleation
Nucleation
treatment
resistivity



layer pulse
layer
pulse
treatment
CVD
CVD


Data series
sequence
temperature
sequence
temperature
chemistry
temperature







907
B/W/S/W +
300° C.
n/a
n/a
WF6 and
300° C.


(no
3 ×



H2


Treatment)
(S/W) (H2



ambient)


905 (high
5 × (B/W)
300° C.
6 × (B)
395° C.
WF6 and
300° C.


temperature
(H2-free



H2


treatment)
ambient)


901 (thin
5 × (B/W)
300° C.
6 × (B)
300° C.
WF6 and
300° C.


film
(H2-free



H2
(partial


resistivity
ambient)




thickness)


treatment)





395° C.








(remaining








thickness)





B = B2H6/W = WF6/S = SiH4






The increase in resistivity for thin films treated via with high temperature process was unexpected. As can be seen from the figure, the low-resistivity treatment according to an embodiment of the inventive processes provides low resistivities even for films less than 120 Å. According to various embodiments, the thin film resistivity treatment involves performing a low temperature resistivity treatment involving exposing a deposited nucleation layer to multiple pulses of reducing agent at a reduced temperature. The multiple pulses of reducing agent may or may not include intervening pulses of a tungsten-containing precursor. Also according to various embodiments, the thin film resistivity treatment involves a partial fill via reduced temperature CVD prior to completing fill via high temperature CVD. While depositing some amount of the bulk CVD material, the reduced temperature CVD operation may be considered as a low-resistivity treatment. In certain embodiments, the processes involve both a low temperature exposure to multiple pulses of reducing agent and a partial fill via reduced temperature CVD, as in the films represented by data series 901 in FIG. 9.


While these processes described herein are appropriate for filling features having sub-40 nm critical dimensions, in particular for films having critical dimensions of 32 nm or smaller, they may also be employed for thicker films. As discussed further below, the improved resistivity is also observed for thicker films.



FIGS. 10-12 present process flow sheets illustrating operations in methods of filling features with low resistivity tungsten according to various embodiments. First, in FIG. 10, a substrate having a high aspect ratio recessed feature is provided to a deposition chamber (1002). As noted above, the feature may have a narrow opening, e.g., 40 nm in width or less. Also in certain embodiments, the method may be used to fill features having lower aspect ratios and/or wider openings. A tungsten nucleation layer is then deposited in the feature (1004).


While the nucleation layer may be deposited by any known method, in certain embodiments, improved resistivity is obtained by depositing the nucleation layer at low temperature, then performing a multi-pulse low resistivity treatment. Such methods of depositing the nucleation layer are described in U.S. Pat. No. 7,589,017, incorporated by reference herein and in U.S. Patent Publication 2008/0254623, also incorporated by reference herein.


In certain examples, the nucleation layer is deposited as described in FIG. 13. After a substrate without a nucleation layer (as at 401 in FIG. 4) is provided, the as-provided substrate is exposed to a boron-containing reducing agent to form a boron-containing layer on the substrate surface (1302). The boron-containing layer is often a layer of elemental boron, though in some embodiments, it may contain other chemical species or impurities from the boron-containing species itself or from residual gases in the reaction chamber. Any suitable boron-containing species may be used, including borane (BH3), diborane (B2H6), triborane, etc. Examples of other boron-containing species include boron halides (e.g., BF3, BCl3) with hydrogen.


Substrate temperature is low—below about 350° C., for example between about 250° C. and 350° C. or 250° C. and 325° C. In certain embodiments, the temperature is around 300° C. In certain embodiments, diborane is provided from a diluted source (e.g., 5% diborane and 95% nitrogen). Diborane may be delivered the reaction chamber using other or additional carrier gases such as nitrogen and/or argon. Importantly, no hydrogen is used.


Once the boron-containing layer is deposited to a sufficient thickness, the flow of boron-containing species to the reaction chamber is stopped and the reaction chamber is purged with a carrier gas such as argon, hydrogen, nitrogen or helium. In certain embodiments, only argon is used at the carrier gas. The gas purge clears the regions near the substrate surface of residual gas reactants that could react with fresh gas reactants for the next reaction step.


Continuing to the next operation in FIG. 13, the substrate is contacted with a tungsten-containing precursor to form a portion of the tungsten nucleation layer (1304). Any suitable tungsten-containing precursor may be used. In certain embodiments the tungsten-containing precursor is one of WF6, WCl6 and W(CO)6. The tungsten-containing precursor is typically provided in a dilution gas, such as argon, nitrogen, or a combination thereof. As with the boron-containing precursor pulse, the tungsten-containing precursor is delivered in a non-hydrogen environment. The substrate temperature is low—below about 350° C., for example between about 250° C. and 350° C. or 250° C. and 325° C. In certain embodiments, the temperature is around 300° C. In many cases, the substrate temperature is the same as during the exposure to the boron-containing species. Tungsten-containing precursor dosage and substrate exposure time will vary depending upon a number of factors. In general, the substrate is exposed until the adsorbed boron species is sufficiently consumed by reaction with the tungsten-containing precursor to produce a portion of the tungsten nucleation layer. Thereafter, the flow of tungsten-containing precursor to the reaction chamber is stopped and the reaction chamber is purged. The resulting portion of tungsten nucleation layer deposited in one boron-containing reducing agent/tungsten-containing precursor PNL cycle may be about 5 Å.


The low temperature boron-containing reducing agent pulse and tungsten precursor pulse operations are repeated to build up the tungsten nucleation layer to the desired thickness (1306). Between about 2-7 PNL cycles are required to deposit the very thin nucleation layer in certain embodiments, although in certain embodiments a single cycle may be sufficient. Depending on the substrate, the first one or two cycles may not result in thickness gain due to nucleation delay. As described previously, the tungsten nucleation layer should be sufficiently thin so as to not unduly increase the overall tungsten film, but sufficiently thick so as to support a high quality bulk tungsten deposition. The process described above is able to deposit a tungsten nucleation layer that can support high quality bulk deposition as low as about 10 Å in the high aspect ratio and/or narrow width feature. The thickness of the deposited nucleation layer is typically between about 10 Å and 50 Å, or for example, between 10 Å and 30 Å.


Temperature is one of the process conditions that affects the amount of tungsten deposited. Others include pressure, flow rate and exposure time. Maintaining temperatures at or below about 350° C. results in less material deposited during a cycle. This in turn provides lower resistivity. In some embodiments, temperatures may be about 300° C. or 200° C.


Referring back to FIG. 10, after the tungsten nucleation layer is deposited, the deposited nucleation layer is treated via low temperature multi-pulse treatment to lower resistivity (1006). FIGS. 14a and 14b are graphs showing examples of pulse sequences that may be used according to various embodiments of the low resistivity treatment. FIG. 14a shows an example of a pulse sequence such as described in U.S. Patent Publication No. 2009/0149022, incorporated by reference herein. The treatment process described therein involves exposing the deposited nucleation layer to multiple pulses of a reducing agent (without intervening pulses of another reactive compound). In FIG. 14a, diborane is depicted as the reducing agent, though other reducing agents may be used. The treatment lowers resistivity, while providing good adhesion and resistance non-uniformity. Notably, using multiple reducing agent pulses is shown to provide significantly improved resistivity and uniformity than using a single pulse—even with the same overall exposure time. However, too many pulses may lead to poor adhesion of the eventual tungsten film to the underlying layer. An optimal number of pulses, e.g., between 2-8 is used to obtain low resistivity, low non-uniformity and acceptable adhesion. Unlike the nucleation layer deposition described in FIG. 13, the treatment operation may be performed with hydrogen in the background. Thus, transitioning from the nucleation to the treatment operation may involve turning on a flow of hydrogen in certain embodiments. Also in certain embodiments, a nucleation layer is deposited in a first station of a multi-station deposition chamber, with the low resistivity treatment performed in a second station. Transitioning from the nucleation deposition to the low resistivity treatment involves transferring the substrate to the second station.



FIG. 14b shows another example of a pulse sequence in which the nucleation layer is exposed to multiple cycles of alternating reducing agent and a tungsten-containing precursor pulses. Diborane, B2H6, and tungsten hexafluoride, WF6, are shown as the reducing agent and tungsten-containing precursor, respectively, though certain embodiments may use other compounds. Alternating pulses of a reducing agent and tungsten-containing precursor are also used to deposit the tungsten nucleation layer, but in the treatment operation, typically substantially no tungsten is deposited. The flow rate and/or pulse time of the tungsten-containing precursor is limited to only scavenge the excess boron on the surface and in the chamber from the low-resistivity treatment, reducing the boron impurity. This in turn results in less micro-peeling and better film adhesion in certain embodiments. Accordingly, tungsten-containing precursor pulse exposure time and/or flow rate (relative to the reducing agent pulse) during the treatment may be less than that used to deposit the nucleation layer.


Some combination of the pulse sequences shown in FIGS. 14a and 14b may also be performed in certain embodiments. In the embodiments described herein, the multi-pulse treatment operation is performed at a reduced temperature (1006), below about 350° C., for example between about 250° C. and 350° C. or 250° C. and 325° C. In certain embodiments, the temperature is around 300° C. As shown above in FIG. 9 and discussed further below, for thin films, performing the low-resistivity treatment at low temperatures unexpectedly provides better resistivity than performing the treatment at higher temperatures. Without being bound by any particular theory, it is believed that this may be due to the amount of boron seen by the substrate. This is discussed further below with reference to FIG. 16A. According to various embodiments, the total amount of diborane (or other boron-containing reducing agent) exposure may be between about 1E-5 to 1E-2 moles, or more particularly, from about 1E-4 to 1E-3 moles during the multi-pulse treatment. A CVD bulk layer is then deposited to fill the feature (1008). This may involve reduced temperature fill, high temperature fill, or in some embodiments, a combination of both.



FIG. 11 shows a process flow sheet in a method of filling features with low resistivity tungsten according to certain embodiments in which reduced temperature CVD is used to partially fill the feature after the nucleation layer is deposited. High temperature CVD is then performed to complete the feature fill. A substrate having a high aspect ratio and/or narrow opening is provided as described with respect to FIG. 10 (1102). A nucleation layer is then deposited in the feature (1104). As described above, in certain embodiments, the nucleation layer is deposited as described in FIG. 13, with alternating diborane and tungsten precursor pulses in a low-temperature hydrogen-free environment. A multi-pulse low resistivity treatment is then optionally performed (1106). This treatment may involve multiple reducing agent pulses, without pulsing an intervening tungsten-precursor (as shown in FIG. 14a) or may involve multiple reducing agent/tungsten precursor pulses (as shown in FIG. 14b) or some combination of these. According to various embodiments, the multi-pulse treatment involves heating the substrate to a temperature between about 350° C. to 450° C., e.g., about 395° C., and allowing the temperature to stabilize, and exposing the nucleation layer, while maintaining the substrate temperature, to the multiple pulses. In other embodiments, the multi-pulse treatment is performed at a lower temperature, as described above with respect to FIG. 10.


Next, the feature is partially filled with a reduced temperature CVD bulk layer (1108). Various tungsten-containing gases including, but not limited to, WF6, WCl6, and W(CO)6 can be used as the tungsten-containing precursor. In certain embodiments, the tungsten-containing precursor is a halogen-containing compound, such as WF6. In certain embodiments, the reducing agent is hydrogen gas, though other reducing agents may be used including silane, disilane, hydrazine, diborane, and germane. In many embodiments, hydrogen gas is used as the reducing agent in the CVD process.


According to various embodiments, the reduced temperature (process and/or substrate temperature) is in one of the following ranges: between about 250-350° C., between about 250° C.-340° C., between about 250° C.-330° C., between about 250° C.-325° C., between about 250° C.-320° C., between about 250° C.-315° C., between about 250° C.-310° C., between about 250° C.-305° C., or between about 250° C.-300° C. Also according to various embodiments, the process temperature is: between about 260-310° C., between about 270° C.-310° C., between about 280° C.-310° C., or between about 290° C.-310° C. In certain embodiments, the process and/or substrate temperature is about 300° C.


Fill is completed via a high temperature CVD deposition (1110). The high temperature may be in one of the following ranges: between about 350-450° C., between about 360° C.-450° C., between about 370° C.-450° C., between about 380° C.-450° C., between about 390° C.-450° C., or between about 400° C.-450° C. In certain embodiments, the high temperature CVD is performed at about 395° C. Raising the temperature may involve raising the substrate temperature. According to various embodiments, the temperature is raised at least about 25° C., 30° C., 50° C., at least about 60° C., at least about 70° C., at least about 80° C., at least about 90° C., at least about 100° C., at least about 110° C., or at least about 125° C. In one process example, a low temperature CVD operation is performed at about 250° C. and a high temperature at 350° C. In certain embodiments, the temperature is raised no more than about 150° C. or even 125° C. to prevent thermal shock and consequent wafer breakage.


In certain embodiments, transitioning from operation 1108 to operation 1110 involves moving the substrate from one deposition station to another in a multi-station chamber. In alternative embodiments in which a single station is used to perform operations, transitioning from operation 1108 to operation 1110 may involve shutting off a flow of tungsten precursor (optionally allowing hydrogen or other reducing gas and/or carrier gas to run), while raising the substrate temperature. Once the substrate temperature is stabilized, the tungsten precursor and other gases, if necessary, are flowed into the reaction chamber for the high temperature deposition. In other embodiments, transitioning from operation 1210 may involve raising the substrate temperature while allowing the deposition to continue during the transition period.


According to various embodiments, reduced temperature CVD may be used to deposit about 0-70% of the total thickness of the bulk CVD fill. FIG. 15 illustrates a schematic representation of one example of a feature's cross-section after partial reduced temperature CVD fill and completed fill via high temperature CVD. Cross-section 1501 shows conformal partial fill reduced temperature CVD layer 1553 and high temperature fill 1555. T, the total thickness of the deposited CVD layer, is indicated (T is the width of the feature fill minus the tungsten nucleation layer thickness). 2T1 is the total thickness deposited via reduced temperature CVD. In certain embodiments, reduced temperature CVD may be used to deposit about 30-80% or 30-60% of the total thickness of the bulk CVD fill. The reduced temperature layer may also be characterized in terms of thickness deposited, with T1 being between about 1-10 nm or about 2-8 nm.


As discussed further below, partially filling the gap with reduced temperature CVD prior to completing gap fill with high temperature CVD improves resistivity. While the reduced temperature CVD operation generally deposits some amount of conformal tungsten in the feature, it may also be thought of as a low resistivity treatment operation. In certain embodiments, the exposure time and/or dose of the reduced temperature operation may be short or small enough such that substantially no tungsten is deposited.



FIG. 12 presents a process flow diagram in which both a low temperature multi-pulse treatment is performed as well as a partial fill via reduced temperature CVD prior to completing fill via high temperature CVD. As with in reference to FIGS. 10 and 11, a substrate having a high aspect ratio feature is provided (1202), and a nucleation layer is deposited in the feature (1204). Depositing a nucleation layer according to certain embodiments is described in FIG. 13. A low-temperature multiple pulse treatment is then performed (1206) as described above with respect to FIG. 10. At this juncture, in certain embodiments, both the nucleation layer formation and subsequent multi-pulse treatment operation involve the use of a boron-containing compound exclusively as a reducing agent; that is, silanes or other non-boron-containing reducing agents are not used in any operation preceding CVD deposition. Partial fill via reduced temperature CVD is then performed (1208), followed by completing fill using high temperature CVD (1210) as described above with respect to FIG. 11.


In certain embodiments, the processes described herein involve exposing a deposited tungsten nucleation layer to multiple, sequential pulses of diborane or other boron-containing reducing agent. See, e.g., the above discussion with respect to FIG. 10. FIG. 16A plots resistivity of blanket tungsten films as a function of total diborane exposure (in moles) during a low-temperature multi-pulse treatment process on nucleation layers. Nucleation layer were dosed with diborane as shown, followed by CVD to deposit 50 nm or 10 nm blanket films. 50 nm tungsten film resistivity decreases with increased dose time. Unexpectedly, for the thin 10 nm film, resistivity increases with increased dose time. In certain embodiments, with thin films of about 20 nm or less, the multi-pulse treatment is not performed, or the diborane exposure is maintained at no more than about 1E-5 to 1E-3 moles total exposure.


As indicated above, partial fill of a feature using reduced temperature CVD improves resistivity. FIG. 16B plots resistivity of 50 nm blanket films deposited with partial reduced temperature (300° C.) CVD and high temperature-only (395° C.) CVD as a function of multi-pulse low resistivity tungsten (LRW) diborane pulses. The process shown in FIG. 13 was used to deposit the nucleation layer, followed by a multi-pulse treatment as represented in FIG. 14a at 395° C. The partial reduced temperature CVD film is 6 nm, with the remainder of the film thickness deposited by high temperature CVD. Resistivities of both films decrease with an increased number of cycles of the multi-pulse treatment. However, the films with a thin reduced temperature CVD film deposited after the treatment have a lower resistivity that those films with high temperature-only CVD films. As shown, for thick films (e.g., >40 nm), the reduced temperature CVD partial fill improves resistivity. In certain embodiments, the reduced temperature CVD achieves low resistivity with a lower number of diborane pulses.



FIG. 17 shows film resistivity plotted against film thickness for the processes as described above in reference to FIGS. 10-12. For all films, a nucleation layer of about 2 nm was deposited with the nucleation layer sequence was 5×(B2H6/WF6) (H2-free ambient) at 300° C. Processes used to deposit the blanket films are shown below:

















Low






resistivity
Low



treatment
resistivity



pulse
treatment
CVD
CVD


Process
sequence
temperature
chemistry
temperature







A
6 × (B2H6)
395° C.
WF6 and H2
395° C. (only)


B
6 × (B2H6)
395° C.
WF6 and H2
300° C. (only)


C (FIG. 12)
6 × (B2H6)
300° C.
WF6 and H2
300° C. (partial






thickness -






about 30 Å






or 3 nm






for each






film)






395° C.






(remaining






thickness)


D
6 × (B2H6)
300° C.
WF6 and H2
300° C. (only)


E
6 × (B2H6)
300° C.
WF6 and H2
395° C. (only)










Between 8 and 15 nm, process C (a low temperature multi-pulse treatment and partial reduced temperature CVD) resulted in the lowest resistivity. Unexpectedly, partial reduced temperature CVD (process C) results in lower resistivity than reduced temperature-only CVD (process D) and high-temperature only CVD (E) for identical nucleation and treatment processes for films of about 7.5 nm and above.


Comparing process A to process E, low temperature low resistivity treatment results in lower resistivity for films less than about 9 nm thick. However, for reduced temperature-only CVD, as discussed above with respect to FIG. 9, the high temperature low-resistivity treatment (process B) results in higher resistivity than the low temperature low-resistivity process (process D) for almost all film thicknesses below about 120 nm.


In certain embodiments, reduced temperature CVD is preceded by a tungsten-precursor soak operation to lower resistivity. FIG. 18 presents a process flow illustrating operations in such a process. First, a substrate having a high aspect ratio feature is provided (1802). As with all the processes described herein, this process may also be employed with other feature geometries. Then, a tungsten nucleation layer is deposited in the feature by any appropriate method (1804), followed by a multi-pulse treatment (1806) as described above with reference to FIGS. 14A and 14B. At this point, the substrate is exposed to the tungsten-precursor, without the presence of reducing agent, in a tungsten-precursor soak operation (1808). Soak time may be between about 0.5 seconds to 10 seconds, e.g., about 1-5 seconds. Temperature during the soak operation may be the same temperature as the subsequent reduced temperature CVD, e.g., 300° C. After the tungsten-precursor soak, the feature is then filled with reduced temperature CVD tungsten film (1810). In alternative embodiments, the tungsten-precursor soak may be performed prior to partial fill reduced temperature CVD.



FIG. 19 is a plot of thin film resistivity as function of film thickness for films deposited by reduced temperature CVD with and without a WF6 soak. For all films, a nucleation layer was deposited using the process shown in FIG. 13, followed by a multi-pulse diborane low resistivity treatment. For films between about 8-12 nm, soaking achieves lower resistivity than the process without soaking. In certain embodiments, the process described in FIG. 18 is used to achieve low resistivity with the high quality plugfill described above with reference to FIG. 3.


Apparatus


The methods of the invention may be carried out in various types of deposition apparatus available from various vendors. Examples of suitable apparatus include a Novellus Concept-1 Altus™, a Concept 2 Altus™, a Concept-2 ALTUS-S™, Concept 3 Altus™ deposition system, and Altus Max™ or any of a variety of other commercially available CVD tools. In some cases, the process can be performed on multiple deposition stations sequentially. See, e.g., U.S. Pat. No. 6,143,082, which is incorporated herein by reference for all purposes. In some embodiments, a nucleation layer is deposited, e.g., by a pulsed nucleation process at a first station that is one of two, five or even more deposition stations positioned within a single deposition chamber. Thus, the reducing gases and the tungsten-containing gases are alternately introduced to the surface of the semiconductor substrate, at the first station, using an individual gas supply system that creates a localized atmosphere at the substrate surface.


A second station may then be used to complete nucleation layer deposition or to perform a multi-pulse low resistivity treatment. In certain embodiments, a single pulse low resistivity treatment may be performed.


One or more stations are then used to perform CVD as described above. Two or more stations may be used to perform CVD in a parallel processing. Alternatively a wafer may be indexed to have the CVD operations performed over two or more stations sequentially. For example, in processes involving both low temperature and high temperature CVD operations, a wafer or other substrate is indexed from one CVD station to another for each operation.



FIG. 20 is a block diagram of a processing system suitable for conducting tungsten thin film deposition processes in accordance with embodiments of the invention. The system 2000 includes a transfer module 2003. The transfer module 2003 provides a clean, pressurized environment to minimize the risk of contamination of substrates being processed as they are moved between the various reactor modules. Mounted on the transfer module 2003 is a multi-station reactor 2009 capable of performing PNL deposition, multi-pulse treatment if desired, and CVD according to embodiments of the invention. Chamber 2009 may include multiple stations 2011, 2013, 2015, and 2017 that may sequentially perform these operations. For example, chamber 2009 could be configured such that station 2011 performs PNL deposition, station 2013 performs multi-pulse treatment, and stations 2015 and 2017 perform CVD. Each deposition station includes a heated wafer pedestal and a showerhead, dispersion plate or other gas inlet. An example of a deposition station 2100 is depicted in FIG. 21, including wafer support 2102 and showerhead 2103. A heater may be provided in pedestal portion 2101.


Also mounted on the transfer module 2003 may be one or more single or multi-station modules 2007 capable of performing plasma or chemical (non-plasma) pre-cleans. The module may also be used for various other treatments, e.g., post liner tungsten nitride treatments. The system 2000 also includes one or more (in this case two) wafer source modules 2001 where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 2019 first removes wafers from the source modules 2001 to loadlocks 2021. A wafer transfer device (generally a robot arm unit) in the transfer module 2003 moves the wafers from loadlocks 2021 to and among the modules mounted on the transfer module 2003.


In certain embodiments, a system controller 2029 is employed to control process conditions during deposition. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


The controller may control all of the activities of the deposition apparatus. The system controller executes system control software including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, RF power levels, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.


Typically there will be a user interface associated with the controller. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


The computer program code for controlling the deposition and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.


The controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.


The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.


A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.


Examples of chamber sensors that may be monitored during deposition include mass flow controllers, pressure sensors such as manometers, and thermocouples located in pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions. The foregoing describes implementation of embodiments of the invention in a single or multi-chamber semiconductor processing tool.


Applications


The present invention may be used to deposit thin, low resistivity tungsten layers for many different applications. One application is vias, contacts and other tungsten structures commonly found in electronic devices. Another application are interconnects in integrated circuits such as memory chips and microprocessors. Interconnects are current lines found on a single metallization layer and are generally long thin flat structures. A primary example of an interconnect application is a bit line in a memory chip. In general, the invention finds application in any environment where thin, low-resistivity tungsten layers are required.


Other Embodiments

While this invention has been described in terms of several embodiments, there are alterations, modifications, permutations, and substitute equivalents, which fall within the scope of this invention. For example, while the above description is chiefly in the context of feature fill, the methods described above may also be used to deposit low resistivity tungsten films on blanket surfaces. These may be formed by a blanket deposition of a tungsten layer (by a process as described above), followed by a patterning operation that defines the location of current carrying tungsten lines and removal of the tungsten from regions outside the tungsten lines.


It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, modifications, permutations, and substitute equivalents as fall within the true spirit and scope of the present invention.

Claims
  • 1. A method of filling a recessed feature on a substrate, the method comprising: providing a substrate having a field region and a first recessed feature, the first recessed feature being recessed from the field region and comprising sidewalls, a bottom, an opening, and corners;depositing a tungsten nucleation layer on the sidewalls and bottom of the first recessed feature; andfilling the first recessed feature with a low temperature CVD tungsten bulk layer via a chemical vapor deposition (CVD) process; wherein the substrate temperature during the CVD process is maintained at between about 250° C. and 350° C.
  • 2. The method of claim 1, wherein the first recessed feature has an aspect ratio of at least 10:1.
  • 3. The method of claim 1, wherein the first recessed feature has an aspect ratio of at least 20:1.
  • 4. The method of claim 1, wherein width of the first recessed feature opening is no more than about 100 nm.
  • 5. The method of claim 1, wherein width of the first recessed feature opening is no more than about 50 nm.
  • 6. The method of claim 1, wherein the width of the first recessed feature opening is no more than about 40 nm.
  • 7. The method of claim 1, wherein filling the first recessed feature comprises covering the feature corners with the low temperature CVD bulk layer.
  • 8. The method of claim 1, wherein the substrate further comprises a second feature recessed from the field region, said second recessed feature having an aspect ratio lower than that of the first recessed feature.
  • 9. The method of claim 1, wherein filling the first recessed feature with a low temperature CVD tungsten bulk layer comprises introducing a halogenated tungsten-containing precursor and a reducing agent into a reaction station housing the substrate.
  • 10. The method of claim 9, wherein the halogenated tungsten-containing precursor is tungsten hexafluoride.
  • 11. The method of claim 1, wherein the feature comprises a liner layer.
  • 12. The method of claim 11, wherein the liner layer has a thickness of no more than 5 nm.
  • 13. The method of claim 1, further comprising, after depositing a tungsten nucleation layer on the sidewalls and bottom of the first recessed feature and prior to filling the first recessed feature with a low temperature CVD tungsten bulk layer, soaking the substrate with a tungsten-precursor.
  • 14. The method of claim 1, wherein substrate temperature during the CVD process is maintained at between about 250° C. and 325° C.
  • 15. The method of claim 1, wherein the low temperature CVD tungsten bulk layer has no observable volcano defects.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. application Ser. No. 14/097,160, filed Dec. 4, 2013, titled “LOW TEMPERATURE TUNGSTEN FILM DEPOSITION FOR SMALL CRITICAL DIMENSION CONTACTS AND INTERCONNECTS,” which is a continuation of U.S. application Ser. No. 12/755,259 (now U.S. Pat. No. 8,623,733), filed Apr. 6, 2010, titled “METHODS FOR DEPOSITING ULTRA THIN LOW RESISTIVITY TUNGSTEN FILM FOR SMALL CRITICAL DIMENSION CONTACTS AND INTERCONNECTS,” which claims the benefit under U.S.C. 119(e) to U.S. provisional application 61/169,954, filed Apr. 16, 2009, titled “METHOD FOR FORMING TUNGSTEN CONTACTS AND INTERCONNECTS WITH SMALL CRITICAL DIMENSIONS,” all of which are incorporated herein in their entireties for all purposes.

US Referenced Citations (252)
Number Name Date Kind
4746375 Iacovangelo May 1988 A
4804560 Shioya et al. Feb 1989 A
4874719 Kurosawa Oct 1989 A
4962063 Maydan et al. Oct 1990 A
5028565 Chang Jul 1991 A
5227329 Kobayashi et al. Jul 1993 A
5250329 Miracky et al. Oct 1993 A
5250467 Somekh et al. Oct 1993 A
5308655 Eichman et al. May 1994 A
5326723 Petro Jul 1994 A
5370739 Foster et al. Dec 1994 A
5391394 Hansen Feb 1995 A
5567583 Wang et al. Oct 1996 A
5633200 Hu May 1997 A
5661080 Hwang Aug 1997 A
5726096 Jung Mar 1998 A
5795824 Hancock Aug 1998 A
5804249 Sukharev et al. Sep 1998 A
5817576 Tseng et al. Oct 1998 A
5833817 Tsai et al. Nov 1998 A
5913145 Lu et al. Jun 1999 A
5916365 Sherman Jun 1999 A
5916634 Fleming et al. Jun 1999 A
5926720 Zhao et al. Jul 1999 A
5956609 Lee Sep 1999 A
5963833 Thakur Oct 1999 A
5994749 Oda Nov 1999 A
6001729 Shinriki et al. Dec 1999 A
6017818 Lu Jan 2000 A
6034419 Nicholls et al. Mar 2000 A
6037263 Chang Mar 2000 A
6066366 Berenbaum May 2000 A
6099904 Mak Aug 2000 A
6107200 Takagi Aug 2000 A
6143082 McInerney et al. Nov 2000 A
6174812 Hsiung et al. Jan 2001 B1
6206967 Mak et al. Mar 2001 B1
6245654 Shih et al. Jun 2001 B1
6265312 Sidhwa et al. Jul 2001 B1
6277744 Yuan et al. Aug 2001 B1
6284316 Sandhu et al. Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6294468 Gould-Choquette Sep 2001 B1
6297152 Itoh et al. Oct 2001 B1
6306211 Takahashi et al. Oct 2001 B1
6309966 Govindarajan et al. Oct 2001 B1
6310300 Cooney et al. Oct 2001 B1
6340629 Yeo et al. Jan 2002 B1
6355558 Dixit et al. Mar 2002 B1
6404054 Oh et al. Jun 2002 B1
6429126 Herner Aug 2002 B1
6465347 Ishizuka et al. Oct 2002 B2
6491978 Kalyanam Dec 2002 B1
6551929 Kori et al. Apr 2003 B1
6566250 Tu et al. May 2003 B1
6566262 Rissman et al. May 2003 B1
6581258 Yoneda et al. Jun 2003 B2
6593233 Miyazaki et al. Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6635965 Lee et al. Oct 2003 B1
6706625 Sudijono et al. Mar 2004 B1
6720261 Anderson et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6777331 Nguyen Aug 2004 B2
6797340 Fang et al. Sep 2004 B2
6844258 Fair et al. Jan 2005 B1
6861356 Matsuse Mar 2005 B2
6902763 Elers et al. Jun 2005 B1
6903016 Cohen Jun 2005 B2
6905543 Fair et al. Jun 2005 B1
6908848 Koo Jun 2005 B2
6936538 Byun Aug 2005 B2
6939804 Lai Sep 2005 B2
6962873 Park Nov 2005 B1
7005372 Levy Feb 2006 B2
7141494 Lee et al. Nov 2006 B2
7157798 Fair et al. Jan 2007 B1
7211144 Lu et al. May 2007 B2
7220671 Simka et al. May 2007 B2
7235486 Kori Jun 2007 B2
7262125 Wongsenakhum et al. Aug 2007 B2
7355254 Datta et al. Apr 2008 B2
7416979 Yoon et al. Aug 2008 B2
7419904 Kato Sep 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7465665 Xi Dec 2008 B2
7465666 Kori Dec 2008 B2
7501343 Byun Mar 2009 B2
7501344 Byun Mar 2009 B2
7563718 Kim Jul 2009 B2
7589017 Chan Sep 2009 B2
7595263 Chung et al. Sep 2009 B2
7605083 Lai Oct 2009 B2
7611990 Yoon Nov 2009 B2
7655567 Gao Feb 2010 B1
7674715 Kori Mar 2010 B2
7675119 Taguwa Mar 2010 B2
7691749 Levy et al. Apr 2010 B2
7695563 Lu Apr 2010 B2
7709385 Xi May 2010 B2
7732327 Lee Jun 2010 B2
7745329 Wang Jun 2010 B2
7745333 Lai Jun 2010 B2
7749815 Byun Jul 2010 B2
7754604 Wongsenakhum Jul 2010 B2
7772114 Chan Aug 2010 B2
7955972 Chan et al. Jun 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7977243 Sakamoto et al. Jul 2011 B2
8048805 Chan et al. Nov 2011 B2
8053365 Humayun et al. Nov 2011 B2
8058170 Chandrashekar et al. Nov 2011 B2
8062977 Ashtiani et al. Nov 2011 B1
8071478 Wu et al. Dec 2011 B2
8087966 Hebbinghaus et al. Jan 2012 B2
8101521 Gao et al. Jan 2012 B1
8110877 Mukherjee et al. Feb 2012 B2
8207062 Gao et al. Jun 2012 B2
8258057 Kuhn et al. Sep 2012 B2
8329576 Chan et al. Dec 2012 B2
8367546 Humayun et al. Feb 2013 B2
8409985 Chan et al. Apr 2013 B2
8409987 Chandrashekar et al. Apr 2013 B2
8551885 Chen et al. Oct 2013 B2
8623733 Chen et al. Jan 2014 B2
8709948 Danek et al. Apr 2014 B2
8853080 Guan et al. Oct 2014 B2
8975184 Chen et al. Mar 2015 B2
8993055 Rahtu et al. Mar 2015 B2
9034760 Chen et al. May 2015 B2
9076843 Lee et al. Jul 2015 B2
9153486 Arghavani et al. Oct 2015 B2
9159571 Humayun et al. Oct 2015 B2
9236297 Chen et al. Jan 2016 B2
9240347 Chandrashekar et al. Jan 2016 B2
20010007797 Jang et al. Jul 2001 A1
20010008808 Gonzalez Jul 2001 A1
20010014533 Sun Aug 2001 A1
20010015494 Ahn Aug 2001 A1
20010044041 Badding et al. Nov 2001 A1
20020037630 Agarwal Mar 2002 A1
20020090796 Desai et al. Jul 2002 A1
20020090811 Kim et al. Jul 2002 A1
20020117399 Chen Aug 2002 A1
20020132472 Park Sep 2002 A1
20020155722 Satta Oct 2002 A1
20020168840 Hong et al. Nov 2002 A1
20020177316 Miller et al. Nov 2002 A1
20020190379 Jian et al. Dec 2002 A1
20030013300 Byun Jan 2003 A1
20030059980 Chen Mar 2003 A1
20030082902 Fukui et al. May 2003 A1
20030091870 Bhowmik et al. May 2003 A1
20030104126 Fang et al. Jun 2003 A1
20030123216 Yoon et al. Jul 2003 A1
20030127043 Lu et al. Jul 2003 A1
20030129828 Cohen Jul 2003 A1
20030190802 Wang et al. Oct 2003 A1
20030194850 Lewis et al. Oct 2003 A1
20030224217 Byun et al. Dec 2003 A1
20040014315 Lai et al. Jan 2004 A1
20040044127 Okubo et al. Mar 2004 A1
20040142557 Levy Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040202786 Wongsenakhum Oct 2004 A1
20040206267 Sambasivan et al. Oct 2004 A1
20040247788 Fang et al. Dec 2004 A1
20050031786 Lee Feb 2005 A1
20050059236 Nishida et al. Mar 2005 A1
20050136594 Kim Jun 2005 A1
20050179141 Yun et al. Aug 2005 A1
20050191803 Matsuse et al. Sep 2005 A1
20060003581 Johnston et al. Jan 2006 A1
20060075966 Chen et al. Apr 2006 A1
20060094238 Levy et al. May 2006 A1
20060145190 Salzman et al. Jul 2006 A1
20060211244 Deshpande et al. Sep 2006 A1
20060284317 Ito et al. Dec 2006 A1
20070087560 Kwak et al. Apr 2007 A1
20070099420 Dominquez et al. May 2007 A1
20070190780 Chung et al. Aug 2007 A1
20080045010 Wongsenakhum et al. Feb 2008 A1
20080081127 Thompson et al. Apr 2008 A1
20080081452 Kim et al. Apr 2008 A1
20080081453 Kim et al. Apr 2008 A1
20080124926 Chan et al. May 2008 A1
20080254619 Lin et al. Oct 2008 A1
20080254623 Chan Oct 2008 A1
20080280438 Lai et al. Nov 2008 A1
20080283844 Hoshi et al. Nov 2008 A1
20090045517 Sugiura et al. Feb 2009 A1
20090050937 Murata et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090142509 Yamamoto Jun 2009 A1
20090149022 Chan et al. Jun 2009 A1
20090160030 Tuttle Jun 2009 A1
20090163025 Humayun et al. Jun 2009 A1
20090315154 Kirby et al. Dec 2009 A1
20100035427 Chan et al. Feb 2010 A1
20100055904 Chen Mar 2010 A1
20100062149 Ma et al. Mar 2010 A1
20100072623 Prindle et al. Mar 2010 A1
20100130002 Dao et al. May 2010 A1
20100130003 Lin et al. May 2010 A1
20100155846 Mukherjee et al. Jun 2010 A1
20100159694 Chandrashekar Jun 2010 A1
20100244141 Beyer et al. Sep 2010 A1
20100244260 Hinomura Sep 2010 A1
20100267230 Chandrashekar et al. Oct 2010 A1
20100267235 Chen et al. Oct 2010 A1
20100273327 Chan et al. Oct 2010 A1
20100330800 Ivanov et al. Dec 2010 A1
20110059608 Gao et al. Mar 2011 A1
20110151670 Lee et al. Jun 2011 A1
20110156154 Hoentschel et al. Jun 2011 A1
20110221044 Danek et al. Sep 2011 A1
20110223763 Chan et al. Sep 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110236594 Haverkamp et al. Sep 2011 A1
20120009785 Chandrashekar et al. Jan 2012 A1
20120015518 Chandrashekar et al. Jan 2012 A1
20120040530 Humayun et al. Feb 2012 A1
20120077342 Gao et al. Mar 2012 A1
20120199887 Chan et al. Aug 2012 A1
20120225192 Yudovsky et al. Sep 2012 A1
20120231626 Lee et al. Sep 2012 A1
20120244699 Khandelwal et al. Sep 2012 A1
20120294874 Macary et al. Nov 2012 A1
20130043554 Piper Feb 2013 A1
20130062677 Li et al. Mar 2013 A1
20130109172 Collins et al. May 2013 A1
20130168864 Lee et al. Jul 2013 A1
20130171822 Chandrashekar et al. Jul 2013 A1
20130285195 Piper Oct 2013 A1
20130302980 Chandrashekar et al. Nov 2013 A1
20140011358 Chen et al. Jan 2014 A1
20140027664 Lee et al. Jan 2014 A1
20140030889 Chen et al. Jan 2014 A1
20140061784 Kang Mar 2014 A1
20140061931 Kang Mar 2014 A1
20140073135 Guan et al. Mar 2014 A1
20140154883 Humayun et al. Jun 2014 A1
20140162451 Chen et al. Jun 2014 A1
20140308812 Arghavani et al. Oct 2014 A1
20140319614 Paul et al. Oct 2014 A1
20150037972 Danek et al. Feb 2015 A1
20150056803 Chandrashekar et al. Feb 2015 A1
20150179461 Bamnolker et al. Jun 2015 A1
20150279732 Lee et al. Oct 2015 A1
20160118345 Chen et al. Apr 2016 A1
20160190008 Chandrashekar et al. Jun 2016 A1
20160233220 Danek et al. Aug 2016 A1
Foreign Referenced Citations (57)
Number Date Country
101899649 Dec 2010 CN
103125013 May 2013 CN
0 437 110 Jul 1991 EP
1 156 132 Nov 2001 EP
1 179 838 Feb 2002 EP
S5629648 Mar 1981 JP
08-115984 May 1996 JP
09-027596 Jan 1997 JP
H10-144688 May 1998 JP
H10-163132 Jun 1998 JP
11-330006 Nov 1999 JP
2000-208516 Jul 2000 JP
2000-235962 Aug 2000 JP
2001-525889 Dec 2001 JP
2002-124488 Apr 2002 JP
2003-193233 Jul 2003 JP
2004-235456 Aug 2004 JP
2004-273764 Sep 2004 JP
2005-029821 Feb 2005 JP
2005-518088 Jun 2005 JP
2007-009298 Jan 2007 JP
2007-027627 Feb 2007 JP
2007-027680 Feb 2007 JP
2007-507892 Mar 2007 JP
2007-520052 Jul 2007 JP
2007-250907 Sep 2007 JP
2007-251164 Sep 2007 JP
2008-016803 Jan 2008 JP
2008-060603 Mar 2008 JP
2008-091844 Apr 2008 JP
2008-283220 Nov 2008 JP
2009-024252 Feb 2009 JP
2009-144242 Jul 2009 JP
2009-533877 Sep 2009 JP
2009-540123 Nov 2009 JP
10-2002-0049730 Jun 2002 KR
10-2005-0022261 Mar 2005 KR
10-2005-0087428 Aug 2005 KR
10-2006-0087844 Aug 2006 KR
10-2007-705936 Apr 2007 KR
10-2008-0036679 Apr 2008 KR
10-2008-0110897 Dec 2008 KR
10-2009-0103815 Oct 2009 KR
WO 9851838 Nov 1998 WO
WO 0127347 Apr 2001 WO
WO 0129893 Apr 2001 WO
WO 0241379 May 2002 WO
WO 03029515 Apr 2003 WO
WO 2005027211 Mar 2005 WO
WO 2005034223 Apr 2005 WO
WO 2007121249 Oct 2007 WO
WO 2007146537 Dec 2007 WO
WO 2010025357 Mar 2010 WO
WO 2011119293 Sep 2011 WO
WO 2013148444 Oct 2013 WO
WO 2013148880 Oct 2013 WO
WO 2014058536 Apr 2014 WO
Non-Patent Literature Citations (193)
Entry
U.S. Appl. No. 14/723,270, filed May 27, 2015, entitled “Deposition of Low Fluorine Tungsten by Sequential CVD Process”.
U.S. Appl. No. 13/758,928, filed Feb. 4, 2013, entitled “Methods for Forming All Tungsten Contacts and Lines.”
US Office Action, dated Apr. 7, 2014, issued in U.S. Appl. No. 13/633,502.
US Final Office Action, dated Nov. 5, 2014, issued in U.S. Appl. No. 13/633,502.
US Notice of Allowance, dated Mar. 2, 2015, issued in U.S. Appl. No. 13/633,502.
US Notice of Allowance (Supplemental Notice of Allowability), dated Apr. 16, 2015, issued in U.S. Appl. No. 13/633,502.
US Office Action, dated May 30, 2014, issued in U.S. Appl. No. 13/862,048.
US Final Office Action, dated Oct. 16, 2014, issued in U.S. Appl. No. 13/862,048.
US Notice of Allowance, dated Apr. 28, 2015, issued in U.S. Appl. No. 13/862,048.
US Notice of Allowance, dated Jun. 17, 2015, issued in U.S. Appl. No. 13/862,048.
US Office Action, dated May 6, 2015, issued in U.S. Appl. No. 14/135,375.
US Final Office Action, dated Sep. 29, 2015, issued in U.S. Appl. No. 14/135,375.
US Office Action, dated Dec. 11, 2014, issued in U.S. Appl. No. 14/173,733.
US Notice of Allowance, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/173,733.
US Office Action, dated Jul. 17, 2002, issued in U.S. Appl. No. 09/975,074.
US Notice of Allowance, dated Mar. 12, 2003, issued in U.S. Appl. No. 09/975,074.
US Office Action, dated Feb. 8, 2005, issued in U.S. Appl. No. 10/649,351.
US Final Office Action, dated Jul. 14, 2005, issued in U.S. Appl. No. 10/649,351.
US Office Action, dated Dec. 30, 2005, issued in U.S. Appl. No. 10/649,351.
US Notice of Allowance, dated Jul. 21, 2006, issued in U.S. Appl. No. 10/649,351.
US Office Action, dated Jun. 22, 2004, issued in U.S. Appl. No. 10/435,010.
US Notice of Allowance, dated Oct. 7, 2004, issued in U.S. Appl. No. 10/435,010.
US Notice of Allowance, dated Jan. 19, 2005, issued in U.S. Appl. No. 10/435,010.
US Office Action, dated Nov. 23, 2005, issued in U.S. Appl. No. 10/984,126.
US Final Office Action, dated May 17, 2006, issued in U.S. Appl. No. 10/984,126.
US Notice of Allowance, dated Aug. 25, 2006, issued in U.S. Appl. No. 10/984,126.
US Office Action, dated Mar. 23, 2005, issued in U.S. Appl. No. 10/690,492.
US Notice of Allowance, dated Sep. 14, 2005, issued in U.S. Appl. No. 10/690,492.
US Office Action, dated Jun. 27, 2008, issued in U.S. Appl. No. 11/305,368.
US Office Action, dated Apr. 3, 2009, issued in U.S. Appl. No. 11/305,368.
US Notice of Allowance, dated Nov. 17, 2009, issued in U.S. Appl. No. 11/305,368.
US Office Action, dated Jul. 12, 2005, issued in U.S. Appl. No. 10/815,560.
US Final Office Action, dated Dec. 28, 2005, issued in U.S. Appl. No. 10/815,560.
US Office Action, dated Apr. 17, 2006, issued in U.S. Appl. No. 10/815,560.
US Office Action, dated Sep. 28, 2006, issued in U.S. Appl. No. 10/815,560.
US Notice of Allowance dated Apr. 24, 2007, issued in U.S. Appl. No. 10/815,560.
US Office Action, dated Aug. 21, 2008, issued in U.S. Appl. No. 11/265,531.
US Final Office Action, dated Feb. 26, 2009, issued in U.S. Appl. No. 11/265,531.
US Notice of Allowance, dated May 4, 2009, issued in U.S. Appl. No. 11/265,531.
US Office Action, dated Nov. 23, 2010, issued in U.S. Appl. No. 12/538,770.
US Notice of Allowance, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/538,770.
US Office Action, dated Oct. 16, 2008, issued in U.S. Appl. No. 11/349,035.
US Final Office Action, dated Feb. 25, 2009, issued in U.S. Appl. No. 11/349,035.
US Office Action, dated Jun. 4, 2009, issued in U.S. Appl. No. 11/349,035.
US Final Office Action, dated Nov. 20, 2009, issued in U.S. Appl. No. 11/349,035.
US Notice of Allowance, dated Mar. 2, 2010, issued in U.S. Appl. No. 11/349,035.
US Office Action, dated Sep. 29, 2008, issued in U.S. Appl. No. 11/782,570.
US Final Office Action, dated Apr. 28, 2009, issued in U.S. Appl. No. 11/782,570.
US Notice of Allowance, dated Sep. 17, 2009, issued in U.S. Appl. No. 11/782,570.
US Office Action, dated Jan. 25, 2011, issued in U.S. Appl. No. 12/636,616.
US Final Office Action, dated Jun. 15, 2011, issued in U.S. Appl. No. 12/636,616.
US Notice of Allowance, dated Sep. 30, 2011, issued in U.S. Appl. No. 12/636,616.
US Office Action, dated Jun. 24, 2009, issued in U.S. Appl. No. 12/030,645.
US Final Office Action, dated Jan. 13, 2010, issued in U.S. Appl. No. 12/030,645.
US Final Office Action, dated Jul. 23, 2010, issued in U.S. Appl. No. 12/030,645.
US Notice of Allowance and Fee Due, dated Jan. 24, 2011, issued in U.S. Appl. No. 12/030,645.
US Office Action, dated Aug. 6, 2012, issued in U.S. Appl. No. 13/095,734.
Notice of Allowance dated Dec. 3, 2012, issued in U.S. Appl. No. 13/095,734.
US Office Action, dated Aug. 5, 2009, issued in U.S. Appl. No. 11/951,236.
US Final Office Action, dated Jan. 26, 2010 from U.S. Appl. No. 11/951,236.
US Notice of Allowance, dated Apr. 6, 2010, issued in U.S. Appl. No. 11/951,236.
US Office Action, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/829,119.
US Final Office Action, dated Nov. 17, 2011, issued in U.S. Appl. No. 12/829,119.
US Office Action, dated Apr. 19, 2012, issued in U.S. Appl. No. 12/829,119.
US Notice of Allowance, dated Aug. 7, 2012, issued in U.S. Appl. No. 12/829,119.
US Office Action, dated Jun. 11, 2009, issued in U.S. Appl. No. 11/963,698.
US Final Office Action, dated Dec. 9, 2009, issued in U.S. Appl. No. 11/963,698.
US Office Action, dated Jun. 11, 2010, issued in U.S. Appl. No. 11/963,698.
US Final Office Action, dated Dec. 30, 2010, issued in U.S. Appl. No. 11/963,698.
US Notice of Allowance, dated Sep. 2, 2011, issued in U.S. Appl. No. 11/963,698.
US Office Action, dated Apr. 16, 2012, issued in U.S. Appl. No. 13/276,170.
US Notice of Allowance, dated Oct. 4, 2012, issued in U.S. Appl. No. 13/276,170.
US Notice of Allowance, dated Jul. 25, 2011, issued in U.S. Appl. No. 12/363,330.
US Office Action dated Oct. 21, 2009, issued in U.S. Appl. No. 12/202,126
US Final Office Action, dated May 7, 2010, issued in U.S. Appl. No. 12/202,126.
US Office Action, dated Jul. 26, 2010 issued in U.S. Appl. No. 12/202,126.
US Final Office Action, dated Feb. 7, 2011, issued in U.S. Appl. No. 12/202,126.
US Office Action, dated Jan. 7, 2013, issued in U.S. Appl. No. 12/202,126
US Notice of Allowance, dated Jun. 7, 2013, issued in U.S. Appl. No. 12/202,126.
US Office Action, dated May 3, 2010, issued in U.S. Appl. No. 12/407,541.
US Final Office Action, dated Oct. 19, 2010, issued in U.S. Appl. No. 12/407,541.
US Office Action, dated May 2, 2011, issued in U.S. Appl. No. 12/407,541.
US Notice of Allowance, dated Sep. 19, 2011, issued in U.S. Appl. No. 12/407,541.
US Office Action, dated Mar. 6, 2012, issued in U.S. Appl. No. 13/244,016.
US Notice of Allowance dated Nov. 29, 2012, issued in U.S. Appl. No. 13/244,016.
US Office Action, dated Jun. 14, 2011, issued in U.S. Appl. No. 12/556,490.
US Notice of Allowance, dated Mar. 2, 2012, issued in U.S. Appl. No. 12/556,490.
US Office Action, dated May 13, 2011, issued in U.S. Appl. No. 12/755,248.
US Office Action, dated Oct. 28, 2011, issued in U.S. Appl. No. 12/755,248.
US Final Office Action, dated Apr. 30, 2012, issued in U.S. Appl. No. 12/755,248.
US Office Action, dated Feb. 15, 2013, issued in U.S. Appl. No. 12/755,248.
US Office Action dated Dec. 18, 2012, issued in U.S. Appl. No. 12/723,532.
US Office Action dated Jul. 18, 2013, issued in U.S. Appl. No. 12/723,532.
US Notice of Allowance dated Dec. 24, 2013, issued in U.S. Appl. No. 12/723,532.
US Office Action, dated Feb. 16, 2012, issued in U.S. Appl. No. 12/755,259.
US Final Office Action, dated Sep. 12, 2012, issued in U.S. Appl. No. 12/755,259.
US Notice of Allowance, dated Jul. 10, 2013, issued in U.S. Appl. No. 12/755,259.
US Notice of Allowance dated Sep. 4, 2013 issued in U.S. Appl. No. 12/755,259.
US Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/097,160.
US Final Office Action, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/097,160.
US Notice of Allowance, dated Sep. 9, 2015, issued in U.S. Appl. No. 14/097,160.
US Office Action, dated May 10, 2012, issued in U.S. Appl. No. 13/020,748.
US Final Office Action, dated Nov. 16, 2012, issued in U.S. Appl. No. 13/020,748.
US Office Action, dated Feb. 24, 2014, issued in U.S. Appl. No. 13/020,748.
US Final Office Action, dated Jul. 2, 2014, issued in U.S. Appl. No. 13/020,748.
US Office Action, dated Jan. 15, 2015, issued in U.S. Appl. No. 13/774,350.
US Office Action, dated Oct. 8, 2015, issued in U.S. Appl. No. 13/774,350.
US Office Action, dated Dec. 23, 2014, issued in U.S. Appl. No. 13/851,885.
US Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/502,817.
US Final Office Action, dated Jul. 17, 2015, issued in U.S. Appl. No. 14/502,817.
US Notice of Allowance, dated Sep. 25, 2015, issued in U.S. Appl. No. 14/502,817.
US Office Action, dated Sep. 18, 2014, issued in U.S. Appl. No. 13/928,216.
US Notice of Allowance, dated Jan. 22, 2015, issued in U.S. Appl. No. 13/928,216.
US Office Action, dated Jun. 20, 2013, issued in U.S. Appl. No. 13/560,688.
US Final Office Action, dated Feb. 14, 2014, issued in U.S. Appl. No. 13/560,688.
US Notice of Allowance, dated Nov. 4, 2014, issued in U.S. Appl. No. 13/560,688.
US Office Action, dated May 29, 2015, issued in U.S. Appl. No. 13/949,092.
US Office Action, dated Jun. 14, 2013, issued in U.S. Appl. No. 13/633,798.
US Final Office Action, dated Nov. 26, 2013, issued in U.S. Appl. No. 13/633,798.
US Notice of Allowance, dated May 23, 2014, issued in U.S. Appl. No. 13/633,798.
PCT Search Report and Written Opinion, dated Jan. 19, 2005, issued in PCT/US2004/006940.
Korean First Notification of Provisional Rejection, dated Dec. 8, 2010, issued in Application No. 2004-0036346.
Korean Office Action, dated Jun. 13, 2011, issued in Application No. 2011-0032098.
Korean Office Action, dated Nov. 24, 2010, issued in Application No. KR 10-2004-0013210.
Korean Office Action, dated Mar. 28, 2013, issued in Application No. KR 10-2007-0012027.
Japanese Office Action dated May 7, 2013, issued in Application No. JP 2008-310322.
Japanese Office Action dated Sep. 3, 2013, issued in Application No. JP 2008-325333.
PCT International Search Report and Written Opinion, dated Apr. 12, 2010, issued in PCT/US2009/055349.
PCT International Preliminary Report on Patentability and Written Opinion, dated Mar. 10, 2011, issued in PCT/US2009/055349.
Chinese First Office Action dated Sep. 18, 2012 issued in Application No. 200980133560.1.
Chinese Second Office Action dated Aug. 7, 2013 issued in Application No. 200980133560.1.
Chinese Third Office Action dated Apr. 22, 2014 issued in Application No. 200980133560.1.
Chinese Fourth Office Action dated Jan. 5, 2015 issued in Application No. 200980133560.1.
Chinese Fifth Office Action dated May 5, 2015 issued in Application No. 200980133560.1.
Japanese Office Action dated Dec. 3, 2013 issued in Application No. 2011-525228.
Korean Office Action dated Sep. 6, 2012 issued in Application No. 2011-7004322.
Korean Office Action dated Jul. 19, 2013 issued in Application No. 2011-7004322.
Korean Office Action dated Nov. 4, 2013 issued in Application No. 10-2013-7027117.
Korean Office Action dated Jun. 17, 2014 issued in Application No. 10-2013-7027117.
Japanese Office Action dated Jun. 17, 2014 issued in Application No. JP 2010-055163.
Korean Office Action dated Mar. 21, 2013 issued in KR Application No. 10-2010-0024905.
Taiwan Office Action dated Jun. 8, 2015 issued in TW 099107504.
Korean Notification of Provisional Rejection dated Jul. 17, 2012, issued in Application No. 2010-0087997.
Taiwan Office Action and Search Report dated Feb. 12, 2015 issued in TW 099130354.
Japanese Office Action dated Mar. 4, 2014 issued in JP 2010-093522.
Korean Office Action dated Mar. 4, 2013 in KR Application No. 2010-0035449.
Taiwan Office Action dated Dec. 27, 2014 issued in TW 099111860.
Japanese Office Action dated Jul. 29, 2014 issued in JP 2010-093544.
Korean Second Office Action dated Jan. 25, 2014 in KR Application No. 10-2010-0035453.
Korean First Office Action dated Jul. 10, 2015 issued in KR Application No. 10-2014-0090283.
Taiwan Office Action dated Aug. 4, 2015 issued in TW Application No. 099111859.
PCT International Search Report and Written Opinion, dated Jun. 28, 2013, issued in PCT/US2013/033174.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/033174.
PCT International Search Report and Written Opinion, dated Jul. 26, 2013, issued in PCT/US2013/034167.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/034167.
Chinese Office Action [no translation] dated Sep. 6, 2015 issued in CN 201310320848.8.
Becker, Jill (Apr. 7, 2003) “Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia,” Applied Physics Letters, 82(14):2239-2241, [Retrieved online Dec. 13, 2013 at http://dx.doi.org/10.1063/1.1565699].
Bell et al. (Jan. 1996) “Batch Reactor Kinetic Studies of Tungsten LPCVD from Silane and Tungsten Hexafluoride”, J. Electrochem. Soc., 143(1):296-302.
Collins et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten for Plugfill of High Aspect Ratio Contacts,” Presentation made at Semicon Korea, 9 pages.
Diawara, Y. et al. (1993) “Rapid thermal annealing for reducing stress in tungsten x-ray mask absorber,” http://dx.doi.org/10.1116/1.586673, Journal of Vacuum Science & Technology B 11:296-300 (per table of contents of journal).
Elam et al. (2001) “Nucleation and Growth During Tungsten Atomic Layer Deposition on SiO2 Surfaces,” Thin Solid Films, 13pp.
Fair, James A. (1983) Presentation by Inventor “Chemical Vapor Deposition of Refractory Metal Silicides,” GENUS Incorporated, 27 pp.
George et al. (1996) “Surface Chemistry for atomic Layer Growth”, J. Phys. Chem, 100(31):13121-13131.
Gonohe, Narishi (2002) “Tungsten Nitride Deposition by Thermal Chemical Vapor Deposition as Barrier Metal for Cu Interconnection,” [http://www.jim.co.jp/journal/e/pdf3/43/07/1585.pdf.], Materials Transactions, 43(7):1585-1592.
Hoover, Cynthia (Jul. 2007) “Enabling Materials for Contact Metallization,” Praxair Electronic Materials R&D, pp. 1-16.
Klaus et al. (2000) “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction,” Thin Solid Films 360:145-153.
Klaus et al. (2000) “Atomically Controlled Growth of Tungsten and Tungsten Nitride Using Sequential Surface Reactions,” Applied Surface Science, pp. 162-163, 479-491.
Lai, Ken et al. (Jul. 17, 2000) “Tungsten chemical vapor deposition using tungsten hexacarbonyl: microstructure of as-deposited and annealed films,” [http://dx.doi.org/10.1016/S0040-6090(00)00943-3], Thin Solid Films, 370:114-121.
Lai, Ken K. and Lamb, H. Henry (1995) “Precursors for Organometallic Chemical Vapor Deposition of Tungsten Carbide Films,” Chemistry Material, 7(12):2284-2292.
Lee et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten and its Application for Plugfill of High Aspect Ratio Contacts,” Abstract, 1 page.
Li et al. (2002) “Deposition of WNxCy Thin Films by ALCVD™ Method for Diffusion Barriers in Metallization,” IITC Conference Report, 3 pp.
Manik. P, et al. (2012) “Fermi-level unpinning and low resistivity in contacts to n-type Ge with a thin ZnO interfacial layer,” App. Phys. Lett. 101:182105-5.
Saito et al. (2001) “A Novel Copper Interconnection Technology Using Self Aligned Metal Capping Method,” IEEE, 3pp.
Shioya, Yoshimi et al. (Dec. 1, 1985) “Analysis of stress in chemical vapor deposition tungsten silicide film,” [Retrieved online Dec. 18, 2013 at http://dx.doi.org/10.1063/1.335552], Journal of Applied Physics, 58(11):4194-4199.
U.S. Appl. No. 14/723,275, filed May 27, 2015, entitled “Tungsten Films Having Low Fluorine Content.”
US Office Action, dated Jan. 12, 2016, issued in U.S. Appl. No. 14/738,685.
US Final Office Action, dated Jul. 25, 2016, issued in U.S. Appl. No. 14/738,685.
US Office Action, dated Jan. 21, 2016, issued in U.S. Appl. No. 14/135,375.
US Final Office Action, dated May 31, 2016, issued in U.S. Appl. No. 14/135,375.
US Office Action, dated Aug. 18, 2016, issued in U.S. Appl. No. 15/040,561.
US Office Action, dated Feb. 1, 2016, issued in U.S. Appl. No. 14/723,275.
US Office Action, dated Jul. 28, 2016, issued in U.S. Appl. No. 14/723,275.
US Office Action, dated Jul. 12, 2016, issued in U.S. Appl. No. 14/723,270.
US Office Action, dated Jun. 2, 2016, issued in U.S. Appl. No. 13/774,350.
US Notice of Allowance, dated Aug. 3, 2016, issued in U.S. Appl. No. 13/851,885.
US Office Action, dated Sep. 2, 2016, issued in U.S. Appl. No. 14/965,806.
US Final Office Action, dated Jan. 14, 2016, issued in U.S. Appl. No. 13/949,092.
US Office Action, dated Sep. 19, 2016, issued in U.S. Appl. No. 13/949,092.
Taiwan Office Action (Rejection Decision) dated Oct. 28, 2015 issued in Application No. TW 099130354.
Chinese First Office Action dated Feb. 26, 2016, issued in CN 201380022648.2.
Chinese First Office Action dated Mar. 18, 2016 issued in Application No. CN 201380022693.8.
Chinese Second Office Action dated May 16, 2016 issued in Application No. CN 201310320848.8.
Taiwan Office Action [no translation] dated Jan. 10, 2017 issued in Application No. TW 105105984.
Related Publications (1)
Number Date Country
20160118345 A1 Apr 2016 US
Provisional Applications (1)
Number Date Country
61169954 Apr 2009 US
Continuations (2)
Number Date Country
Parent 14097160 Dec 2013 US
Child 14989444 US
Parent 12755259 Apr 2010 US
Child 14097160 US