Method of depositing low K films

Information

  • Patent Grant
  • 6806207
  • Patent Number
    6,806,207
  • Date Filed
    Tuesday, February 25, 2003
    21 years ago
  • Date Issued
    Tuesday, October 19, 2004
    19 years ago
Abstract
A silicon oxide layer is produced by plasma enhanced decomposition of an organosilicon compound to deposit films having a carbon content of at least 1% by atomic weight. An optional carrier gas may be introduced to facilitate the deposition process at a flow rate less than or equal to the flow rate of the organosilicon compounds. An oxygen rich surface may be formed adjacent the silicon oxide layer by temporarily increasing oxidation of the organosilicon compound.
Description




FIELD OF THE INVENTION




The present invention relates to the fabrication of integrated circuits. More particularly, the invention relates to a process for depositing dielectric layers on a substrate.




BACKGROUND OF THE INVENTION




One of the primary steps in the fabrication of modern semiconductor devices is the formation of metal and dielectric films on a substrate by chemical reaction of gases. Such deposition processes are referred to as chemical vapor deposition or CVD. Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film. The high temperatures at which some thermal CVD processes operate can damage device structures having layers previously formed on the substrate. A preferred method of depositing metal and dielectric films at relatively low temperatures is plasma-enhanced CVD (PECVD) techniques such as described in U.S. Pat. No. 5,362,526, entitled “Plasma-Enhanced CVD Process Using TEOS for Depositing Silicon Oxide”, which is incorporated by reference herein. Plasma-enhanced CVD techniques promote excitation and/or disassociation of the reactant gases by the application of radio frequency (RF) energy to a reaction zone, thereby creating a plasma of highly reactive species. The high reactivity of the released species reduces the energy required for a chemical reaction to take place, and thus lowers the required temperature for such PECVD processes.




Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices that will fit on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.35 μm and even 0.18 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.




In order to further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and insulators having low k (dielectric constant<4.0) to reduce the capacitive coupling between adjacent metal lines. Liner/barrier layers have been used between the conductive materials and the insulators to prevent diffusion of byproducts such as moisture onto the conductive material. For example, moisture that can be generated during formation of a low k insulator readily diffuses to the surface of the conductive metal and increases the resistivity of the conductive metal surface. A barrier/liner layer formed from conventional silicon oxide or silicon nitride materials can block the diffusion of the byproducts. However, the barrier/liner layers typically have dielectric constants that are significantly greater than 4.0, and the high dielectric constants result in a combined insulator that does not significantly reduce the dielectric constant.




The deposition of silicon oxide films that contain carbon and have low dielectric constants is described in World Patent Publication No. WO 99/41423, which published on Aug. 19, 1999, and is incorporated by reference herein. Films having dielectric constants of about 3.0 or less are deposited from organosilicon compounds at conditions sufficient to deposit silicon oxide films that contain from 1% to 50% carbon by atomic weight carbon-containing films. Curing of the films to remove moisture improves the barrier properties of the films. The retention of carbon in the films contributes to the low dielectric constants. Carbon is more readily retained in the films at deposition conditions that do not fully remove moisture from the films, thus, favoring deposition and then curing of the film. However, films that retain substantial moisture may shrink and crack during curing which detracts from the smoothness of the film or subsequent layers. Process conditions that avoid shrinkage of the films are desired.




SUMMARY OF THE INVENTION




The present invention provides a method for depositing a silicon oxide layer having low moisture content and a low dielectric constant. The silicon oxide layer is produced by plasma enhanced decomposition of an organosilicon compound to deposit films having a carbon content of at least 1% by atomic weight. Preferably, the plasma is generated at a power density ranging between 0.9 W/cm


2


and about 3.2 W/cm


2


. An optional carrier gas may be introduced to facilitate the deposition process at a flow rate less than or equal to the flow rate of the organosilicon compounds.




Another aspect of the invention provides for controlling process conditions to deposit a silicon oxide layer having an atomic ratio of carbon to silicon (C:Si) of greater than or equal to about 1:9. Preferably the atomic ratio of carbon to silicon (C:Si) is less than about 1:1. The silicon oxide layer is produced by plasma enhanced decomposition of an organosilicon compound, preferably in the presence of an oxidizing gas and an inert carrier gas.




The silicon oxide layers can replace conventional or low k silicon oxide layers such as in intermetal dielectric layers, as dielectric layers in a damascene process, or as adhesion layers.











BRIEF DESCRIPTION OF THE DRAWINGS




So that the manner in which the above recited features, advantages and object of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments thereof which are illustrated in the appended drawings.




It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.





FIG. 1

is a cross-sectional diagram of an exemplary CVD plasma reactor configured for use according to the present invention;





FIG. 2

is a flow chart of a process control computer program product used in conjunction with the exemplary CVD plasma reactor of

FIG. 1

; and





FIGS. 3A-3D

are cross-sectional views showing an integrated dual damascene deposition sequence wherein the silicon oxide of the present invention is used to eliminate a conventional etch stop.




For a further understanding of the present invention, reference should be made to the ensuing detailed description.











DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT




The present invention is described by reference to a method and apparatus for depositing a silicon oxide layer having a low dielectric constant and low moisture content. The low moisture content reduces or eliminates curing of the film and enhances surface smoothness, good hydrophobic properties, a high cracking threshold, and good barrier properties. The silicon oxide layer is produced by oxidizing an organosilicon compound which can be used as a dielectric layer, a lining layer adjacent other dielectric materials, an etch stop layer adjacent other dielectric materials, or as an adhesion layer between different materials. The oxidized organosilicon material is deposited by plasma assisted oxidation of the organosilicon compounds using a power density ranging between about 0.03 W/cm


2


and about 3.2 W/cm


2


, which is a RF power level of between about 10 W and about 1000 W for a 200 mm substrate. The silicon oxide layer can be deposited continuously or with interruptions, such as changing chambers or providing cooling time, to improve porosity. The RF power is preferably provided at a high frequency such as between 13 MHz and 14 MHz. The RF power is preferably provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle.




The silicon oxide layer contains carbon which contributes to low dielectric constants and barrier properties. The remaining carbon content of the deposited film is between about 1% and about 50% by atomic weight, and is preferably between about 5% and about 30% by atomic weight. The deposited films may contain C—H or C—F bonds throughout to provide hydrophobic properties to the silicon oxide layer resulting in significantly lower dielectric constants and improved moisture barrier properties.




Prior to deposition, reactive process gases are introduced into the chamber. Additionally, an inert gas such as helium may be used in the deposition to assist in plasma generation. The process gases containing the organosilicon compounds and the oxidizing gas may be carried by an inert gas, such as He, Ar, Ne, or a relatively inert gas, such as nitrogen, which are typically not incorporated into the film.




In a process where little or no carrier gas is introduced, the oxidation of the process gas deposits a film with low moisture content resulting in less shrinkage during subsequent processing of the film in comparison to films that retain more moisture. Reduced shrinkage of the film results in a smoother surface. The dielectric constant of these films show a range from about 2.6 to about 3.0 depending upon the deposition temperature. The process temperatures with the limited carrier gas supply is between about 10° C. and about 400° C. Preferably, no carrier gas is used in the oxidation reaction, but when a carrier gas is used, the carrier gas will preferably have a flow rate of less than or equal to the flow rate of the process gas containing the organosilicon compounds.




The silicon oxide layers are produced from organosilicon compounds containing carbon in organo groups that are not readily removed by oxidation at processing conditions. Suitable organo groups include alkyl, alkenyl, cyclohexenyl, and aryl groups and functional derivatives. The organosilicon compounds include:


















methylsilane,




CH


3


—SiH


3








dimethylsilane,




(CH


3


)


2


—SiH


2








trimethylsilane,




(CH


3


)


3


—SiH






tetramethylsilane,




(CH


3


)


4


—Si






dimethylsilanediol,




(CH


3


)


2


—Si—(OH)


2








ethylsilane,




CH


3


—CH


2


—SiH


3








phenylsilane,




C


6


H


5


—SiH


3








diphenylsilane,




(C


6


H


5


)


2


—SiH


2








diphenylsilanediol,




(C


6


H


5


)


2


—Si—(OH)


3








methylphenylsilane,




C


6


H


5


—SiH


2


—CH


3








disilanomethane,




SiH


3


—CH


2


—SiH


3








bis(methylsilano)methane,




CH


3


—SiH


2


—CH


2


—SiH


2


—CH


3








1,2-disilanoethane,




SiH


3


—CH


2


—CH


2


—SiH


3








1,2-bis(methylsilano)ethane,




CH


3


—SiH


2


—CH


2


—CH


2


—SiH


2


—CH


3








2,2-disilanopropane,




SiH


3


—C(CH


3


)


2


—SiH


3















1,3,5-trisilano-2,4,6-trimethylene,

























dimethyldimethoxysilane,




(CH


3


)


2


—Si—(OCH


3


)


2








diethyldiethoxysilane,




(CH


3


CH


2


)


2


—Si—(OCH


2


CH


3


)


2








dimethyldiethoxysilane,




(CH


3


)


2


—Si—(OCH


2


CH


3


)


2








diethyldimethoxysilane,




(CH


3


CH


2


)


2


—Si—(OCH


3


)


2








1,3-dimethyldisiloxane,




CH


3


—SiH


2


—O—SiH


2


—CH


3








1,1,3,3-tetramethyldisiloxane,




(CH


3


)


2


—SiH—O—SiH—(CH


3


)


2








hexamethyldisiloxane,




(CH


3


)


3


—Si—O—Si—(CH


3


)


3















1,3-bis(silanomethylene)disiloxane,

























bis(1-methyldisiloxanyl)methane,

























2,2-bis(1-methyldisiloxanyl)propane,

























2,4,6,8-tetramethylcyclotetrasiloxane,

























octamethylcyclotetrasiloxane,

























2,4,6,8,10-pentamethylcyclopentasiloxane,

























1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene,

























2,4,6-trisilanetetrahydropyran,




—SiH


2


—CH


2


—SiH


2


—CH


2


—SiH


2


—O— (cyclic)






2,5-disilanetetrahydrofuran,




—SiH


2


—CH


2


—CH


2


—SiH


2


—O— (cyclic),






and fluorinated derivatives thereof.














The organosilicon compounds are oxidized during deposition, preferably by reaction with oxygen (O


2


) or oxygen containing compounds such as nitrous oxide (N


2


O), carbon monoxide (CO), carbon dioxide (CO


2


), and water (H


2


O), preferably O


2


and N


2


O. Organosilicon compounds that contain oxygen way be decomposed to provide the oxygen. The deposited film are further defined as having an atomic ratio of carbon to silicon (C:Si) in the film of less than about 1:1. Preferably, the carbon to silicon ratio in the film is between about 1:9 and about 3:4. The deposited films formed from oxidized organosilicon compounds have dielectric constants of less than about 3.0 and low moisture content.




Oxygen and oxygen containing compounds are dissociated to increase reactivity and achieve desired oxidation of the deposited film. RF power is coupled to the deposition chamber to increase dissociation of the oxidizing compounds. The oxidizing compounds may also be dissociated in a microwave chamber prior to entering the deposition chamber to reduce excessive dissociation of the organosilicon compounds.




Deposition of the silicon oxide layer can be continuous or discontinuous. Although deposition preferably occurs in a single deposition chamber, the layer can be deposited sequentially in two or more deposition chambers, e.g., to permit cooling of the film during deposition. Furthermore, RF power can be cycled or pulsed to reduce heating of the substrate, which promotes greater porosity in the deposited film. The oxidizing gas is preferably oxygen which is disassociated at a power density of at least 0.03 about W/cm


2


. During deposition of the silicon oxide layer, the substrate is maintained at a temperature of between about −20° C. and about 500° C., and preferably is maintained at a temperature of between about 300° C. and about 450° C.




The organosilicon compounds preferably include the structure:











wherein each Si is bonded to at least two carbon (C) atoms, preferably 2 or 3 carbon atoms, and C is included in an organo group, preferably alkyl or alkenyl groups such as —CH


3


, —CH


2


—CH


3


, —CH


2


—, —CH


2


—CH


2


—, and fluorinated carbon derivatives thereof. The carbon atoms in the fluorinated derivatives may be partially or fully fluorinated to replace hydrogen atoms. When an organosilicon compound includes two or more Si atoms, each Si is separated from another Si by —O—, —C—, or —C—C—, wherein C is included in an organo group, preferably alkyl or alkenyl groups such as —CH


2


—, —CH


2


—CH


2


—, —CH(CH


3


)— or —C(CH


3


)


2


—, or fluorinated carbon derivatives thereof.




Preferred organosilicon compounds are gases or liquids near room temperature and can be volatilized above about 10 Torr. The preferred organosilicon compounds include:


















dimethylsilane,




(CH


3


)


2


—SiH


2








trimethylsilane,




(CH


3


)


3


—SiH






tetramethylsilane,




(CH


3


)


4


—Si






dimethylsilanediol,




(CH


3


)


2


—Si—(OH)


2








diphenylsilane,




(C


6


H


5


)


2


—SiH


2








diphenylsilanediol,




(C


6


H


5


)


2


—Si—(OH)


3








methylphenylsilane,




C


6


H


5


—SiH


2


—CH


3








bis(methylsilano)methane,




CH


3


—SiH


2


—CH


2


—SiH


2


—CH


3








1,2-bis(methylsilano)ethane,




CH


3


—SiH


2


—CH


2


—CH


2


—SiH


2


—CH


3















1,3,5-trisilano-2,4,6- trimethylene,

























1,1,3,3-tetramethyldisiloxane,




(CH


3


)


2


—SiH—O—SiH—(CH


3


)


2








dimethyldimethoxysilane,




(CH


3


)


2


—Si—(OCH


3


)


2








diethyldiethoxysilane,




(CH


3


CH


2


)


2


—Si—(OCH


2


CH


3


)


2








dimethyldiethoxysilane,




(CH


3


)


2


—Si—(OCH


2


CH


3


)


2








diethyldimethoxysilane,




(CH


3


CH


2


)


2


—Si—(OCH


3


)


2








hexamethyldisiloxane,




(CH


3


)


3


—Si—O—Si—(CH


3


)


3








trimethylene,













octamethylcyclotetrasiloxane, trimethylene,






























and fluorinated carbon derivatives thereof, such as:






trifluorotrimethylsilane, (CF


3


)


3


—SiH.














The hydrocarbon groups in the organosilicon compounds may be partially or fully fluorinated to convert C—H bonds to C—F bonds. A combination of two or more of the organosilicon compounds can be employed to provide a blend of desired properties such as dielectric constant, oxide content, hydrophobicity, film stress, and plasma etching characteristics.




The oxidized compounds adhere to contacted surfaces such as a patterned layer of a semiconductor substrate to form a deposited film. The deposited films may be cured at low pressure and at temperatures from between about 100° C. and about 450° C., preferably above about 400° C., to remove remaining moisture and stabilize the barrier properties of the films. The deposited film has sufficient hydrocarbon content to be hydrophobic (i.e., repels water) which provides moisture barrier properties.




Films having low moisture content and low dielectric constants prior to curing are deposited by oxidizing organosilane compounds having two or three carbon atoms bonded to each silicon atom at a substrate temperature of between about 10° C. and about 450° C., preferably between about 300° C. and about 450° C. The organosilicon compounds are introduced into a processing chamber maintained at a chamber pressure of between about 200 milliTorr and about 20 Torr, preferably between about 2.5 Torr and about 10 Torr, at a flow rate of between about 5 sccm and 1000 sccm, preferably at about 600 sccm.




An oxidizing gas may be introduced into the chamber at a flow rate of less than or equal to about 200 sccm, and preferably at about 100 sccm. More preferably, oxygen is introduced into the chamber at a flow rate of less than or equal to the flow rate of the organosilicon compounds. When a carrier gas is used in the deposition process, the carrier gas is introduced at a flow rate of between about 0 sccm and 2000 sccm, preferably with a flow rate of less than or equal to the flow rate of the organosilicon compounds. The reaction is plasma enhanced with a power density ranging between about 0.03 W/cm


2


and about 3.2 W/cm


2


, preferably between about 0.9 W/cm


2


and about 3.2 W/cm


2


. Optionally, a bias power having a power density ranging between about 0 W/cm


2


and about 1.6 W/cm


2


, e.g., a bias power level of between about 0 watts and about 500 watts for a 200 mm substrate, preferably at about 250 watts, can be applied during the deposition process to provide improved filing of features formed on a substrate. The bias power promotes even filling of features such as vias and contact holes by etching the film as it is deposited.




For producing a low dielectric constant film that has good hydrophobic properties, and is resistant to cracking, organosilicon compounds are used which will produce films wherein each silicon atom is bonded to at least one carbon atom, preferably two or three carbon atoms, and each silicon atom is bonded to one or two hydrogen atoms.




An oxygen rich surface, or oxide cap, can be formed adjacent the low dielectric constant film to modify the surface of the film and improve interlayer adhesion. The oxygen rich surface is formed by exposing the organosilicon compound deposited on the surface of the low k film to higher amounts of oxygen. Preferably, an oxygen plasma is formed following deposition of the low k film by terminating the flow of the precursor while continuing or increasing the oxygen flow. The increased oxygen replaces additional carbon at the surface of the low k film to for a silicon oxide layer. Prior to depositing the low dielectric constant film, an oxygen rich surface can be provided on the underlying substrate surface by depositing the film as described above, except for increasing the amount of oxygen at the start of the deposition process. The oxygen flow is increased for a time sufficient to remove additional carbon from the deposited film and form a silicon oxide layer having low amounts of carbon.




In an exemplary deposition process for a 200 mm substrate, the oxygen has a flow rate of less than about 2000 sccm, preferably at a flow rate of about 100 sccm and about 1000 sccm, and most preferably at about 700 sccm. The plasma is generated at a power density ranging between about 0.03 W/cm


2


and about 3.2 W/cm


2


, preferably between about 0.9 W/cm


2


and about 3.2 W/cm


2


. For a 200 mm substrate, the power density provides for a power level of between about 300 watts and about 1000 watts, preferably at about 600 watts, for a time of between about 5 seconds and about 60 seconds. The depth of the oxygen rich surface is less than about 200 Å, preferably about 150 Å. The temperature of the deposition process is maintained at between about 10° C. and about 450° C., preferably between about 300° C. and about 450° C., with the chamber pressure at between about 200 milliTorr and about 20 Torr, preferably between about 2.5 Torr and 10 Torr.




In a preferred embodiment, the oxide rich surface is formed on the deposited low k film by flowing oxygen at a rate of about 700 sccm into a chamber maintained at a pressure of about 4 Torr and generating a plasma by applying an RF power of about 600 watts for about thirty seconds for a 200 mm diameter substrate. It was observed that there was no adverse impact on the dielectric constant of the deposited low k film and that the properties of the oxygen rich surface formed on the low k film could be further improved by turning off the pump evacuating the processing chamber after generating the plasma. It has also been observed that the process for forming an oxygen rich surface converted the surface of the deposited low k film from hydrophobic (with a measured wet angle of between about 80° and about 100°) to hydrophilic (with a measured a wet angle of less than 45°), with or without the use of surfactants.




It is contemplated that a film formed from the oxidation reaction has a greater number of oxygen links, —O—, and significantly less Si—H bonds in comparison to films deposited without the disassociated oxygen due to the presence of more reactive sites in each silicon atom. By minimizing the number of Si—H bonds in the film, a higher cracking threshold and less moisture uptake can be introduced in a deposited film. Additionally, less Si—H bonding groups make a film more resistant to etching and to oxygen ashing of the film after etching, thereby providing improved mechanical properties. If desired, enough Si—H bonds can be retained to form subsequent hydrophobic layers.




The deposition process of the present invention can be performed in a substrate processing system as described in more detail below.




Exemplary CVD Plasma Reactor





FIG. 1

shows a vertical, cross-section view of a parallel plate chemical vapor deposition reactor


10


having a high vacuum region


15


. The reactor


10


contains a gas distribution manifold


11


for dispersing process gases through perforated holes in the manifold to a substrate or wafer (not shown) that rests on a substrate support plate or susceptor


12


which is raised or lowered by a lift motor


14


. A liquid injection system (not shown), such as typically used for liquid injection of TEOS, may also be provided for injecting a liquid organosilicon compound.




The reactor


10


includes heating of the process gases and substrate, such as by resistive heating coils (not shown) or external lamps (not shown). Referring to

FIG. 1

, susceptor


12


is mounted on a support stem


13


so that susceptor


12


(and the wafer supported on the upper surface of susceptor


12


) can be controllably moved between a lower loading/off-loading position and an upper processing position which is closely adjacent to manifold


11


.




When susceptor


12


and the wafer are in processing position


14


, they are surrounded by a an insulator


17


and process gases exhaust into a manifold


24


. During processing, gases inlet to manifold


11


are uniformly distributed radially across the surface of the wafer. A vacuum pump


32


having a throttle valve controls the exhaust rate of gases from the chamber.




Before reaching manifold


11


, deposition and carrier gases are input through gas lines


18


into a mixing system


19


where they are combined and then sent to manifold


11


. Generally, the process gases supply line


18


for each of the process gases also includes (i) safety shut-off valves (not shown) that can be used to automatically or manually shut off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the gas supply lines. When toxic gases are used in the process, several safety shut-off valves are positioned on each gas supply line in conventional configurations.




The deposition process performed in reactor


10


can be either a thermal process or a plasma enhanced process. In a plasma process, a controlled plasma is typically formed adjacent to the wafer by RF energy applied to distribution manifold


11


from RF power supply


25


(with susceptor


12


grounded). Alternatively, RF power can be provided to the susceptor


12


or RF power can be provided to different components at different frequencies. RF power supply


25


can supply either single or mixed frequency RF power to enhance the decomposition of reactive species introduced into the high vacuum region


15


. A mixed frequency RF power supply typically supplies power at a high RF frequency (RF


1


) of 13.56 MHz to the distribution manifold


11


and at a low RF frequency (RF


2


) of 360 KHz to the susceptor


12


. The silicon oxide layers of the present invention are most preferably produced using low levels of constant high frequency RF power or pulsed levels of high frequency RF power.




When additional dissociation of the oxidizing gas is desired, an optional microwave chamber


28


can be used to input from between about 0 Watts and about 6000 Watts of microwave power to the oxidizing gas prior to entering the deposition chamber. Separate addition of microwave power would avoid excessive dissociation of the organosilicon compounds prior to reaction with the oxidizing gas. A gas distribution plate having separate passages for the organosilicon compound and the oxidizing gas is preferred when microwave power is added to the oxidizing gas.




Typically, any or all of the chamber lining, distribution manifold


11


, susceptor


12


, and various other reactor hardware is made out of material such as aluminum or anodized aluminum. An example of such a CVD reactor is described in U.S. Pat. No. 5,000,113, entitled A Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process, issued to Wang et al. and assigned to Applied Materials, Inc., the assignee of the present invention.




The lift motor


14


raises and lowers susceptor


12


between a processing position and a lower, wafer-loading position. The motor, the gas mixing system


19


, and the RF power supply


25


are controlled by a system controller


34


over control lines


36


. The reactor includes analog assemblies, such as mass flow controllers (MFCs) and standard or pulsed RF generators, that are controlled by the system controller


34


which executes system control software stored in a memory


38


, which in the preferred embodiment is a hard disk drive. Motors and optical sensors are used to move and determine the position of movable mechanical assemblies such as the throttle valve of the vacuum pump


32


and motor for positioning the susceptor


12


.




The system controller


34


controls all of the activities of the CVD reactor and a preferred embodiment of the controller


34


includes a hard disk drive, a floppy disk drive, and a card rack. The card rack contains a single board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. The system controller conforms to the Versa Modular Europeans (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure having a 16-bit data but and 24-bit address bus.




The system controller


34


operates under the control of a computer program stored on the hard disk drive


38


. The computer program dictates the timing, mixture of gases, RF power levels, susceptor position, and other parameters of a particular process.




Referring to

FIG. 2

, the process can be implemented using a computer program product


410


that runs on, for example, the system controller


34


. The computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.





FIG. 2

shows an illustrative block diagram of the hierarchical control structure of the computer program


410


. A user enters a process set number and process chamber number into a process selector subroutine


420


in response to menus or screens displayed on the CRT monitor


40


by using the light pen


44


interface. The process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. The process selector subroutine


420


the (i) selects a desired process chamber on a cluster tool such as an Centura® platform (available from Applied Materials, Inc.), and (ii) selects a desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature and are provided to the user in the form of a recipe. The parameters specified by the recipe are entered utilizing the light pen/CRT monitor interface.




The signals for monitoring the process are provided by the analog input and digital input boards of system controller and the signals for controlling the process are output on the analog output and digital output boards of the system controller


34


.




A process sequencer subroutine


430


comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine


420


, and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process chamber numbers, so the sequencer subroutine


430


operates to schedule the selected processes in the desired sequence. Preferably the sequencer subroutine


430


includes computer readable program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, the sequencer subroutine


430


can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining the scheduling priorities.




Once the sequencer subroutine


430


determines which process chamber and process set combination is going to be executed next, the sequencer subroutine


430


causes execution of the process set by passing the particular process set parameters to a chamber manager subroutine


440


which controls multiple processing tasks in a process chamber


10


according to the process set determined by the sequencer subroutine


430


. For example, the chamber manager subroutine


440


comprises program code for controlling CVD process operations in the process chamber


10


. The chamber manager subroutine


440


also controls execution of various chamber component subroutines which control operation of the chamber component necessary to carry out the selected process set. Examples of chamber component subroutines are susceptor control subroutine


450


, process gas control subroutine


460


, pressure control subroutine


470


, heater control subroutine


480


, and plasma control subroutine


490


. Those having ordinary skill in the art would readily recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the reactor


10


.




In operation, the chamber manager subroutine


440


selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. The chamber manager subroutine


440


schedules the process component subroutines similarly to how the sequencer subroutine


430


schedules which process chamber


10


and process set is to be executed next. Typically, the chamber manager subroutine


440


includes steps of monitoring the various chamber components, determining which components needs to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.




Operation of particular chamber component subroutines will now be described with reference to FIG.


2


. The susceptor control positioning subroutine


450


comprises program code for controlling chamber components that are used to load the substrate onto the susceptor


12


, and optionally to lift the substrate to a desired height in the reactor


10


to control the spacing between the substrate and the gas distribution manifold


11


. When a substrate is loaded into the reactor


10


, the susceptor


12


is lowered to receive the substrate, and thereafter, the susceptor


12


is raised to the desired height in the chamber, to maintain the substrate at a first distance or spacing from the gas distribution manifold


11


during the CVD process. In operation, the susceptor control subroutine


450


controls movement of the susceptor


12


in response to process set parameters that are transferred from the chamber manager subroutine


440


.




The process gas control subroutine


460


has program code for controlling process gas composition and flow rates. The process gas control subroutine


460


controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain the desired gas flow rate. The process gas control subroutine


460


is invoked by the chamber manager subroutine


440


, as are all chamber components subroutines, and receives from the chamber manager subroutine process parameters related to the desired gas flow rates. Typically, the process gas control subroutine


460


operates by opening the gas supply lines, and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine


440


, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, the process gas control subroutine


460


includes steps for monitoring the gas flow rates for unsafe rates, and activating the safety shut-off valves when an unsafe condition is detected.




In some processes, an inert gas such as helium or argon is flowed into the reactor


10


to stabilize the pressure in the chamber before reactive process gases are introduced into the chamber. For these processes, the process gas control subroutine


460


is programmed to include steps for flowing the inert gas into the chamber


10


for an amount of time necessary to stabilize the pressure in the chamber, and then the steps described above would be carried out. Additionally, when a process gas is to be vaporized from a liquid precursor, for example 1,3,5-trisilano-2,4,6-trimethylene (1,3,5-trisilanacyclo-hexane), the process gas control subroutine


460


would be written to include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly. For this type of process, the process gas control subroutine


460


regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature in order to obtain the desired process gas flow rates. As discussed above, the desired process gas flow rates are transferred to the process gas control subroutine


460


as process parameters. Furthermore, the process gas control subroutine


460


includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.




The pressure control subroutine


470


comprises program code for controlling the pressure in the reactor


10


by regulating the size of the opening of the throttle valve in the exhaust pump


32


. The size of the opening of the throttle valve is set to control the chamber pressure to the desired level in relation to the total process gas flow, size of the process chamber, and pumping set point pressure for the exhaust pump


32


. When the pressure control subroutine


470


is invoked, the desired, or target pressure level is received as a parameter from the chamber manager subroutine


440


. The pressure control subroutine


470


operates to measure the pressure in the reactor


10


by reading one or more conventional pressure manometers connected to the chamber, compare the measure value(s) to the target pressure, obtain PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and adjust the throttle valve according to the PID values obtained from the pressure table. Alternatively, the pressure control subroutine


470


can be written to open or close the throttle valve to a particular opening size to regulate the reactor


10


to the desired pressure.




The heater control subroutine


480


comprises program code for controlling the temperature of the heat modules or radiated heat that is used to heat the susceptor


12


. The heater control subroutine


480


is also invoked by the chamber manager subroutine


440


and receives a target, or set point, temperature parameter. The heater control subroutine


480


measures the temperature by measuring voltage output of a thermocouple located in a susceptor


12


, compares the measured temperature to the set point temperature, and increases or decreases current applied to the heat module to obtain the set point temperature. The temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth order polynomial. The heater control subroutine


480


gradually controls a ramp up/down of current applied to the heat module. The gradual ramp up/down increases the life and reliability of the heat module. Additionally, a built-in-fail-safe mode can be included to detect process safety compliance, and can shut down operation of the heat module if the reactor


10


is not properly set up.




The plasma control subroutine


490


comprises program code for setting the RF bias voltage power level applied to the process electrodes in the reactor


10


, and optionally, to set the level of the magnetic field generated in the reactor. Similar to the previously described chamber component subroutines, the plasma control subroutine


490


is invoked by the chamber manager subroutine


440


.




The above CVD system description is mainly for illustrative purposes, and other plasma CVD equipment such as electrode cyclotron resonance (ECR) plasma CVD devices, induction-coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above described system such as variations in susceptor design, heater design, location of RF power connections and others are possible. For example, the wafer could be supported and heated by a resistively heated susceptor. The pretreatment and method for forming a pretreated layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method.




Dual Damascene Integration





FIGS. 3A-3D

are cross sectional views of a substrate showing underlying layers following sequential steps in a dual damascene process. The dual damascene structure


800


comprises silicon oxide layers having low dielectric constants to reduce cross-talk between metal lines.




As shown in

FIG. 3A

, a first or via level dielectric layer


810


is deposited and pattern etched on the substrate


812


. The via level dielectric layer can be deposited on the substrate


812


by conventional means known in the art, but is preferably deposited by oxidizing one or more organosilicon compounds at a RF power density of at least about 0.03 W/cm


2


to deposit a silicon oxide film having a carbon content of at least 1% by atomic weight. The via level dielectric layer


810


preferably has a carbon content of about 20 percent by atomic weight, and is deposited to a thickness of between about 5,000 and about 10,000 Å, depending on the size of the structure to be fabricated. The via level dielectric layer is preferably deposited by reacting O


2


and trimethylsilane at an RF power level of about 600 W for a 200 mm diameter substrate with a chamber pressure of about 4 Torr and a substrate temperature of about 350° C. Once deposited, the via level dielectric layer is then pattern etched to form the vias and contact holes


814


with photolithography and etch processes for silicon oxide films using fluorine, carbon, and oxygen ions.




As shown in

FIG. 3B

, a second, or trench level dielectric layer


822


comprises a silicon oxide layer deposited by oxidizing one or more organosilicon compounds at a RF power density of at least about 0.03 W/cm


2


to produce a film having a carbon content of at least 1% by atomic weight. The trench level dielectric layer


822


preferably has a carbon content of about 10% by atomic weight, and is deposited over the via level dielectric layer


810


to a thickness of between about 5,000 and about 10,000 Å. The trench level dielectric layer is preferably deposited by reacting O


2


and dimethylsilane at an RF power level of about 600 W for a 200 mm diameter substrate with a chamber pressure of about 4 Torr and a substrate temperature of about 350° C. The trench level dielectric layer


822


is then pattern etched to define interconnect lines


824


as shown in

FIG. 3B

, using photolithography processes and etch processes. The etch process used for the trench level dielectric layers is preferably more effective for silicon oxides having lower carbon contents to reduce over-etching of the via level dielectric layer. Any photo resist or other material used to pattern the dielectric layers is removed using chemical mechanical polishing, an oxygen strip, or other suitable process.




The metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof. Presently, the trend is to use copper to form the smaller features due to the low resistivity of copper compared to aluminum. Preferably, as shown in

FIG. 3C

, a suitable barrier layer


828


such as tantalum nitride is first deposited conformally in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material. Thereafter, copper


830


is deposited as shown in

FIG. 4D

using either chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing or other planarizing methods.




In an alternative embodiment of a dual damascene structure (not shown), both the via level and the trench level dielectric layers could contain essentially the same amount of carbon, e.g., about 10% carbon by atomic weight, if separated by an etch stop layer that contains a different amount of carbon, e.g., about 20% carbon by atomic weight. The etch stop layer would be pattern etched to define the vias and contact holes as described above.




Referring to

FIGS. 3A-3D

, the dielectric layers


810


,


812


are deposited in the reactor


10


by introducing an oxidizing gas, preferably O


2


, an organosilicon compound, such as (CH


3


)


3


SiH, (CH


3


)


2


SiH


2


, or combinations thereof, and a carrier gas, such as helium. The substrate is maintained at a temperature between about −20° C. and about 500° C., and preferably is maintained at a temperature of approximately 300° C. to 450° C., throughout the deposition of the dielectric layer. The dielectric layer is deposited with a process gas that includes a mixture of the organosilicon compound at a flow rate of between about 5 sccm and about 1000 sccm and the oxidizing gas at a flow rate of less than about 200 sccm. In depositing layers with a smooth surface and low dielectric constants, the carrier gas typically has a flow rate less than or equal to the flow rate of the process gas that includes a mixture of the organosilicon compound. In this instance, the carrier gas has a flow rate of between about 0 sccm and about 1000 sccm. The carrier gas is optional, since the process gas oxidation reaction can occur in the total absence of a carrier gas to deposit a smooth surface dielectric layer.




The process gases react at a pressure from between about 0.2 and about 20 Torr, preferably between about 2.5 Torr and about 10 Torr, to form a conformal silicon oxide layer. Generally, it has been observed that a higher deposition pressure will produce films with lower dielectric constants, or higher carbon contents, but such a trend may not be true for all identified precursors under the identified processing parameters.




The process temperature range is preferably between about 10° C. and about 450° C. for depositing layers with a smooth surface and a low dielectric constant (k 2.6-3.0). The dielectric constant depends upon the process temperature with a lower temperature generally producing a lower dielectric constant. The reaction is plasma enhanced with a power density ranging between about 0.03 W/cm


2


and about 3.2 W/cm


2


. It has been further observed that the lower dielectric films can be produced at lower power rates.




For an 8″ single wafer chamber, the high frequency RF source of approximately 13.56 MHz is preferably connected to a gas distribution system and driven at between about 10 and about 1000 W while a low frequency RF source of about 350 KHz to 1 MHz is optionally connected to a susceptor and driven at between about 0 W and about 500 W. In a preferred embodiment, the high frequency RF source is driven at between about 300 W and about 1000 W of continuous or pulsed RF power, and the low frequency RF source is driven at about 0 and about 50 W of pulsed RF power at a duty cycle from 10% to 30% for a 200 mm diameter substrate. The pulsed RF power is preferably cycled in short intervals, most preferably having a frequency less than about 200 Hz.




The oxidized organosilicon layer may be cured at a pressure less than about 10 Torr a temperature from between about 100° C. and about 450° C. Optionally, curing could be conducted after deposition of additional dielectric layers.




The above process conditions result in the deposition of a dielectric layer (at about 2000 Å per minute) with good hydrophobic properties, good resistance to cracking, and improved barrier characteristics for subsequently deposited layers. The deposited dielectric layers have a With the limited carrier gas flow rate, the deposited layer


300


has a low dielectric, typically 2.6 to 3.0 and has a smooth surface which is beneficial to the deposition of subsequent layers and etching processes. The lining layer obtained from trimethylsilane has sufficient C—H bonds to be hydrophobic, and is an excellent moisture barrier. Deposition of a hydrophobic lining layer has a surprising and unexpected result of converting subsequent hydrophilic layers to hydrophobic layers. Additionally, by limiting an oxidizing gas in the oxidation reaction, a film can be produced with a lower amount of Si—H bonds that has a high cracking threshold while still retaining the desired hydrophobic properties.




Preferred organosilicon compounds containing carbon of the invention may also be used as a gap filling layer over the etch stop in place of the dielectric films deposited by the process detailed above. The process gases for the gap filling layer are preferably (CH


3


)


2


SiH


2


and 50 wt. % of hydrogen peroxide (H


2


O


2


) which is vaporized and mixed with an inert carrier gas, such as helium. Alternative organosilicon compounds can be used if byproducts are vapors at deposition conditions. Preferred alternative compounds incorporate oxysilano or silano groups, such as:




trimethylsilane,




disilanomethane,




bis(methylsilano)methane,




1,2-disilanoethane,




2,2-disilanopropane,




1,3,5-trisilano-2,4,6-trimethylene (cyclic),




1,3-bis(silanomethylene)siloxane,




bis(1-methyldisiloxanyl)methane,




2,4,6,8-tetramethylcyclotetrasiloxane, or




1,2-disilanotetrafluoroethane.




The process gas flows range from between about 0 and about 2000 sccm for He, between about 10 and about 200 sccm for CH


3


SiH, and between about 0.1 and about 3 g/min. for H


2


O


2


. The preferred gas flows range from between about 100 and about 500 sccm for He, between about 20 and about 100 sccm for CH


3


SiH, and between about 0.1 and about 1 g/min. for H


2


O


2


. These flow rates are given for a chamber having a volume of approximately between about 5.5 and about 6.5 liters.




The invention is further described by the following examples.




EXAMPLES




The following examples demonstrate the deposition of oxidized organosilicon films having smooth surfaces, good hydrophobic properties, high cracking thresholds, and low dielectric constants on 200 mm diameter substrates. This example was undertaken using a chemical vapor deposition chamber, and in particular, a “CENTURA DxZ” system which includes a solid-state RF matching unit with a two-piece quartz process kit, both fabricated and sold by Applied Materials, Inc., Santa Clara, Calif.




Example of Low Dielectric Constant Film with Smooth Surface




An oxidized trimethylsilane film was deposited at a chamber pressure of 4.0 Torr and a temperature of 350° C. from reactive gases which were flowed into the reactor as follows:





















Trimethylsilane, (CH


3


)


3


SiH, at




600 sccm







Oxygen, O


2


, at




100 sccm







Helium, He, at




  0 sccm















The substrate was positioned 220 millimeters from the gas distribution showerhead and 600 W of high frequency power (13.56 MHz) was applied to the showerhead for plasma enhanced deposition of an oxidized trimethylsilane layer at an observed rate of about 7000 Å/minute. The oxidized trimethylsilane material had a dielectric constant of about 2.8+/−0.1, a carbon content of about 17%, a thickness uniformity of less than about 3%, and was hydrophobic. The deposited film indicated a RMS (root-mean-square) thickness of 5 Å and a R


max


(peak to valley) distance of 75 Å, which is less than the observed 60 Å RMS and 500 Å R


max


in films deposited with a carrier gas. The data from the sample dielectric layers indicate the films of the present invention have much smoother surfaces than prior techniques. Dielectric constant measurements of films produced under the above processing conditions show a dielectric constant range of about 2.6 to 3.0 depending upon the deposition temperatures.




Example of High Cracking Threshold and Low Dielectric Constant




An oxidized trimethylsilane film was deposited at a chamber pressure of 4 Torr and a temperature of 350° C. from reactive gases which were flowed into the reactor as follows:





















Trimethylsilane, (CH


3


)


3


SiH, at




600 sccm







Oxygen, O


2


, at




100 sccm







Helium, He, at




 0 sccm















The substrate was positioned 220 millimeters from the gas distribution showerhead and 600 W of high frequency power 13.56 MHz was applied to the showerhead for plasma enhanced deposition of an oxidized trimethylsilane layer at an observed rate of about 7000 Å/minute. The oxidized trimethylsilane material had a dielectric constant of about 2.8+/−0.1, a thickness uniformity of about less than about 3%, and was hydrophobic.




While the foregoing is directed to preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims which follow.



Claims
  • 1. A process for depositing a low dielectric constant film, comprising decomposing one or more organosilicon compounds selected from a group consisting of octamethylcyclotetrasiloxane, hexamethyldisiloxane, bis(1-methyldisiloxanyl)methane, 2,4,6,8,10-pentamethylcyclopentasiloxane, 1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene, 2,4,6-trisilanetetrahydropyran, and 2,5-disilanetetrahydrofuran at a RF power density of at least about 0.03 W/cm2 and at a processing chamber pressure of between about 0.2 Torr and about 20 Torr to deposit a film comprising silicon, oxygen, and a carbon content of at least 1% by atomic weight.
  • 2. The process of claim 1, wherein the low dielectric constant film has an atomic ratio of carbon to silicon (C:Si) of less than about 1:1.
  • 3. The process of claim 1, wherein the low dielectric constant film has an atomic ratio of carbon to silicon (C:Si) between about 1:4 and about 3:4.
  • 4. The process of claim 1, wherein the one or more organosilicon compounds have a flow rate of between about 5 sccm and about 1000 sccm.
  • 5. The process of claim 1, wherein the one or more organosilicon compounds are decomposed in the presence of a carrier gas.
  • 6. The process of claim 1, wherein the one or more organosilicon compounds comprise octamethylcyclotetrasiloxane.
  • 7. The process of claim 1, wherein the low dielectric constant film is deposited at a temperature of between about 10° C. and about 500° C.
  • 8. The process of claim 5, wherein the carrier gas has a flow rate less than or equal to a combined flow rate of the one or more organosilicon compounds.
  • 9. The process of claim 1, further comprising forming an oxide rich surface adjacent the low dielectric constant film.
  • 10. A process for depositing a low dielectric constant film, consisting essentially of decomposing one or more organosilicon compounds selected from the group consisting of octamethylcyclotetrasiloxane, 2,4,6,8-tetramethylcyclotetrasiloxane, 1,3-dimethyldisiloxane, 1,1,3,3-tetramethyldisiloxane, hexamethyldisiloxane,1,3-bis(silanomethylene)disiloxane, bis(1-methyldisiloxanyl)methane, 2,2-bis(1-methyldisiloxanyl)propane, 2,4,6,8,10-pentamethylcyclopentasiloxane, 1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene, 2,4,6-trisilanetetrahydropyran, and 2,5-disilanetetrahydrofuran at a power density of greater than about 0.03 W/cm2 and at a processing chamber pressure of between about 0.2 Torr and about 20 Torr, and a carrier gas flow rate less than or equal to a combined flow rate of the one or more organosilicon compounds at conditions sufficient to deposit a film comprising silicon, oxygen, and carbon and an atomic ratio of carbon to silicon (C:Si) of greater than or equal to about 1:9.
  • 11. The process of craim 10, wherein the atomic ratio of carbon to silicon (C:Si) is less than about 1:1 in the film.
  • 12. The process of claim 10, wherein the atomic ratio of carbon to silicon (C:Si) is between about 1:4 and about 3:4 in the film.
  • 13. The process of claim 10, wherein the one or more organosilicon compounds comprise octamethylcyclotetrasiloxane.
  • 14. The process of claim 10, further comprising forming an oxide rich surface adjacent the low dielectric constant film.
  • 15. A process for depositing a low dielectric constant film, comprising decomposing octamethylcyclotetrasiloxane at a power density ranging between about 0.9 W/cm2 and about 3.2 W/cm2 and at a processing chamber pressure of between about 0.2 Torr and about 20 Torr to deposit a film having an atomic ratio of carbon to silicon (C:Si) of between about 1:9 and about 1:1.
  • 16. The process of claim 15, wherein the atomic ratio of carbon to silicon (C:Si) is between about 1:4 and about 3:4 in the film.
  • 17. The process of claim 15, wherein the low dielectric constant film is deposited at a temperature of between about 300° C. and about 450° C.
  • 18. The process of claim 15, wherein the low dielectric constant film is deposited at a processing chamber pressure of between about 2.5 Torr and about 10 Torr.
  • 19. The process of claim 15, further comprising a carrier gas having a flow rate less than or equal to a flow rate of the octamethylcyclotetrasiloxane.
  • 20. The process of claim 15, further comprising forming an oxide rich surface adjacent the low dielectric constant film.
RELATED APPLICATIONS

This application is a continuation of U.S. patent application Ser. No. 09/553,461 [AMAT/2592.P3], filed Apr. 19, 2000 now U.S. Pat. No. 6,593,247, which is a continuation-in-part of U.S. patent application Ser. No. 09/021,788 [AMAT/2592], which was filed on Feb. 11, 1998, and is now issued as U.S. Pat. No. 6,054,379 B1, a continuation-in-part of U.S. patent application Ser. No. 09/114,682 [AMAT/2592.02], which was filed on Jul. 13, 1998, and is now issued as U.S. Pat. No. 6,072,227 B1, a continuation-in-part of U.S. patent application Ser. No. 09/162,915 [AMAT/3032], which was filed on Sep. 29, 1998; and is now issued as U.S. Pat. No. 6,287,990 B1, and a continuation-in-part of U.S. patent application Ser. No. 09/185,555 [AMAT/3032.P1], which was filed on Nov. 4, 1998, and is now issued as U.S. Pat. No. 6,303,523, and a continuation-in-part of U.S. patent application Ser. No. 09/247,381 [AMAT/3032.P2], filed on Feb. 10, 1999, and is now issued as U.S. Pat. No. 6,348,725. Each of the aforementioned related patent applications is herein incorporated by reference.

US Referenced Citations (121)
Number Name Date Kind
5186718 Tepman et al. Feb 1993 A
5298587 Hu et al. Mar 1994 A
5494712 Hu et al. Feb 1996 A
5593741 Ikeda Jan 1997 A
5598027 Matsuura Jan 1997 A
5599740 Jang et al. Feb 1997 A
5616369 Williams et al. Apr 1997 A
5618619 Petrmichl et al. Apr 1997 A
5637351 O'Neal et al. Jun 1997 A
5638251 Goel et al. Jun 1997 A
5679413 Petrmichl et al. Oct 1997 A
5683940 Yahiro Nov 1997 A
5693563 Teong Dec 1997 A
5700720 Hashimoto Dec 1997 A
5703404 Matsuura Dec 1997 A
5739579 Chiang et al. Apr 1998 A
5753564 Fukada May 1998 A
5789319 Havemann et al. Aug 1998 A
5800877 Maeda et al. Sep 1998 A
5807785 Ravi Sep 1998 A
5821168 Jain Oct 1998 A
5834162 Malba Nov 1998 A
5858880 Dobson et al. Jan 1999 A
5874367 Dobson Feb 1999 A
5888593 Petrmichl et al. Mar 1999 A
5891799 Tsui Apr 1999 A
5989998 Sugahara et al. Nov 1999 A
6037274 Kudo et al. Mar 2000 A
6045877 Gleason et al. Apr 2000 A
6051321 Lee et al. Apr 2000 A
6054206 Mountsier Apr 2000 A
6054379 Yau et al. Apr 2000 A
6068884 Rose et al. May 2000 A
6072227 Yau et al. Jun 2000 A
6080526 Yang et al. Jun 2000 A
6124641 Matsuura Sep 2000 A
6140226 Grill et al. Oct 2000 A
6147009 Grill et al. Nov 2000 A
6159871 Loboda et al. Dec 2000 A
6176198 Kao et al. Jan 2001 B1
6238751 Mountsier May 2001 B1
6245690 Yau et al. Jun 2001 B1
6258735 Xia et al. Jul 2001 B1
6287990 Cheung et al. Sep 2001 B1
6303523 Cheung et al. Oct 2001 B2
6312793 Grill et al. Nov 2001 B1
6316063 Andideh et al. Nov 2001 B1
6331494 Olson et al. Dec 2001 B1
6340435 Bjorkman et al. Jan 2002 B1
6340628 VanCleemput et al. Jan 2002 B1
6348421 Shu et al. Feb 2002 B1
6348725 Cheung et al. Feb 2002 B2
6368924 Mancini et al. Apr 2002 B1
6383955 Matsuki et al. May 2002 B1
6410462 Yang et al. Jun 2002 B1
6410463 Matsuki Jun 2002 B1
6413583 Moghadam et al. Jul 2002 B1
6417098 Wong et al. Jul 2002 B1
6432846 Matsuki et al. Aug 2002 B1
6437443 Grill et al. Aug 2002 B1
6441491 Grill et al. Aug 2002 B1
6448176 Grill et al. Sep 2002 B1
6448186 Olson et al. Sep 2002 B1
6455445 Matsuki Sep 2002 B2
6458720 Aoi Oct 2002 B1
6462371 Weimer et al. Oct 2002 B1
6472231 Gabriel et al. Oct 2002 B1
6472317 Wang et al. Oct 2002 B1
6479110 Grill et al. Nov 2002 B2
6479407 Yokoyama et al. Nov 2002 B2
6479408 Shioya et al. Nov 2002 B2
6479409 Shioya et al. Nov 2002 B2
6482754 Andideh et al. Nov 2002 B1
6485815 Jeong et al. Nov 2002 B1
6489233 Chooi et al. Dec 2002 B2
6492731 Catabay et al. Dec 2002 B1
6497963 Grill et al. Dec 2002 B1
6500772 Chakravarti et al. Dec 2002 B2
6511903 Yau et al. Jan 2003 B1
6511909 Yau et al. Jan 2003 B1
6514667 Angelopoulos et al. Feb 2003 B2
6531714 Bacchetta et al. Mar 2003 B1
6534616 Lee et al. Mar 2003 B2
6537929 Cheung et al. Mar 2003 B1
6541282 Cheung et al. Apr 2003 B1
6547977 Yan et al. Apr 2003 B1
6559520 Matsuki et al. May 2003 B2
6562690 Cheung et al. May 2003 B1
6570256 Conti et al. May 2003 B2
20010004479 Cheung et al. Jun 2001 A1
20010005546 Cheung et al. Jun 2001 A1
20010021590 Matsuki Sep 2001 A1
20010029110 Lee et al. Oct 2001 A1
20010031563 Shioya et al. Oct 2001 A1
20010051445 Shioya et al. Dec 2001 A1
20010055672 Todd Dec 2001 A1
20020068458 Chiang et al. Jun 2002 A1
20020076944 Wang et al. Jun 2002 A1
20020098684 Li et al. Jul 2002 A1
20020098714 Grill et al. Jul 2002 A1
20020105084 Li Aug 2002 A1
20020160604 Quek et al. Oct 2002 A1
20020160626 Matsuki et al. Oct 2002 A1
20020164868 Chang et al. Nov 2002 A1
20020164891 Gates et al. Nov 2002 A1
20020168870 Matsuki Nov 2002 A1
20020173157 Chang et al. Nov 2002 A1
20020173172 Loboda et al. Nov 2002 A1
20020177303 Jiang et al. Nov 2002 A1
20020177329 Yang et al. Nov 2002 A1
20020185741 Babich et al. Dec 2002 A1
20020192982 Adideh et al. Dec 2002 A1
20020198353 Chen et al. Dec 2002 A1
20030001239 Gallahger et al. Jan 2003 A1
20030003765 Gibson, Jr. et al. Jan 2003 A1
20030017718 Aoi Jan 2003 A1
20030020108 Weimer et al. Jan 2003 A1
20030032274 Daniels et al. Feb 2003 A1
20030054666 Matsuki Mar 2003 A1
20030089988 Matsuura May 2003 A1
20030111730 Takeda et al. Jun 2003 A1
Foreign Referenced Citations (37)
Number Date Country
196 54 737 Dec 1996 DE
198 04 375 Feb 1998 DE
199 04 311 Jan 1999 DE
0 771 886 May 1997 EP
0 774 533 May 1997 EP
0 826 791 Mar 1998 EP
0 840 365 May 1998 EP
0 849 789 Jun 1998 EP
0 826 791 Aug 1998 EP
0 885 983 Dec 1998 EP
0 926 715 Jun 1999 EP
0 926 724 Jun 1999 EP
0 935 283 Aug 1999 EP
0 960 958 Dec 1999 EP
1 037 275 Sep 2000 EP
1 123 991 Aug 2001 EP
1 176 226 Jan 2002 EP
2316 535 Feb 1998 GB
01-125193 May 1989 JP
09-008031 Jan 1997 JP
09-064029 Mar 1997 JP
09-237785 Sep 1997 JP
09-251997 Sep 1997 JP
09-260369 Oct 1997 JP
10-242143 Sep 1998 JP
11-251293 Sep 1999 JP
9808249 Feb 1998 WO
9859089 Dec 1998 WO
9921706 May 1999 WO
9938202 Jul 1999 WO
9941423 Aug 1999 WO
9955526 Nov 1999 WO
0001012 Jan 2000 WO
0019498 Apr 2000 WO
0024050 Apr 2000 WO
0101472 Jan 2001 WO
0243119 May 2002 WO
Non-Patent Literature Citations (22)
Entry
PCT/International Search Report for US/02/40034 dated May 19, 2003.
Wu, et al “Advanced Metal Barrier Free Cu Damascene Interconnects with PECVD Silicon Carbide Barriers for 90/65-nm BEOL Technology”, 2002 IEEE, IEDM pp. 595-598.
A. Hass Bar-Ilan, et al., “A Comparative Study of Sub-Micro Gap Filing and Planarization Techniques” Tower Semiconductor Ltd. Israel, SPIE vol. 2636, pp. 277-288.
V. Hazari, et al., “Characterization of Alternative Chemistries for Depositing PECVD Silicon Dioxide Films” Novellus Systems, Inc., San Jose, CA, Feb. 16-17, 1998, pp. 319-326.
EP Communication, Aug. 24, 2001.
Stuardo Robles, et al., “Characterization of High Density Plasma Chemical Vapor Deposited x-Carbon and x-Fluorinated Carbon Films for Ultra Low Dielectric Application”, Feb. 10-11, 1997 DUMIC Conference 1997 ISMIC-222D/0026, p.26-33.
Ichiro Tajima, et al. “Characterization of Plasma Polymers from Tetramethylsilane, Octamethylcyclotetrasiloxane, and Methyltrimethoxysilane” Journal of Polymer Science: Part A:Polymer Chemistry vol. 25, 1737-1744 (1987).
S. McClatchie, “Low Dielectric Constant Oxide Films Deposited Using CVD Techniques” Feb. 16-17, 1998 DUMIC Conference 1998 IMIC—333D/98/0311 p. 311-318.
Rafael Reif, et al. “Environmental, Safety, and Health Issues in IC Production” Materials Reasearch Society Symposium Proceedings vol. 447, Dec. 4-5, 1996, Boston, Mass. 6 pages.
M.J. Loboda, et al. “Deposition of Low-k Dielectric Films Using Trimethylsilane” Electrochemical Society Proceedings 1999, vol. 98-6, p. 145-152.
Bin Zhao, et al. “Integration of Low Dielectric Constant Materials in Advanced Aluminum and Copper Interconnects” Conexant Systems, 4311 Jamboree Road, Newport Beach, CA 92660, 1999, p. 485-497.
G. Sugahara, et al. “Low Dielectric Constant Carbon Containing SiC02 Films Deposited by PECVD Technique Using a Novel CVD Precursor” Feb. 10-11, 1997 DUMIC Conference, 1997 ISMIC- 222/97/0019, pp. 19-25.
Akiko Narea, et al. Low Dielectric Constant Insulator Formed by Downstream Plasma CVD at Room Temperature Using TMS/O2 Mar. 1997, Japanese Journal of Applied Physics, vol. 36 No. 3b, p. 1477-1480.
Jamal Deen, et al. “Silicon Nitride and Silicon Dioxide Thin Insulating Films” Dielectric Science, Technology and Electronics Divisions Proceedings, vol. 97-10, p. 443-453.
W.D. Brown, “Dielectric Material Integration for Microelectronics” Dielectric Science, Technology and Electronics Divisions Proceedings, 1998 vol. 98-3, 8 pages.
A. Grill, et al. “Diamondlike Carbon Materials as Low-k Dielectrics” 1997 Material Research Society, pp. 417-423.
A. Grill, et al. “Novel Low-k Dielectric Based on Diamondlike Carbon Materials” IBM, J. Electrochem Soc. Vol. 145, No. 5, May 1998, USA, p. 1649-1653.
K.J. Taylor, et al. “Parylene Copolymers” 1997 Parylene Copolymers, pp. 1-9.
Y.S. Lin, et al. “Plasma Polyerization of Trimethylsilane in Cascade Arc Discharge” Journal of Applied Polymer Science, vol. 66, 1997, p. 1653-1665.
Laura Peters, “Pursuing the Perfect Low-K Dielectric” 64 Semiconductor International, Sep. 1998, 7 pages.
A. Grill, et al. “Low Dielectric Constant Films Prepared by Plasma-enhanced Chemical Vapor Deposition From Tetramethylsilane”Journal of Applied Physics, Mar. 15, 1999, vol. 85, No. 6, p. 3314-3318.
A.M. Wrobel, et al. “Reactivity of Alkylsilanes and Alkylcarbosilanes in Atomic Hydrog n-Induced Chemical Vapor Deposition” J. Electrochem Soc., vol. 145, No. 3, Mar. 1999, pp. 1060-1065.
Continuations (1)
Number Date Country
Parent 09/553461 Apr 2000 US
Child 10/375852 US
Continuation in Parts (5)
Number Date Country
Parent 09/247381 Feb 1999 US
Child 09/553461 US
Parent 09/185555 Nov 1998 US
Child 09/247381 US
Parent 09/162915 Sep 1998 US
Child 09/185555 US
Parent 09/114682 Jul 1998 US
Child 09/162915 US
Parent 09/021788 Feb 1998 US
Child 09/114682 US