Offset pads over TSV

Information

  • Patent Grant
  • 11728313
  • Patent Number
    11,728,313
  • Date Filed
    Monday, May 3, 2021
    3 years ago
  • Date Issued
    Tuesday, August 15, 2023
    9 months ago
Abstract
Representative techniques and devices including process steps may be employed to mitigate the potential for delamination of bonded microelectronic substrates due to metal expansion at a bonding interface. For example, a metal pad may be disposed at a bonding surface of at least one of the microelectronic substrates, where the contact pad is positioned offset relative to a TSV in the substrate and electrically coupled to the TSV.
Description
FIELD

The following description relates to integrated circuits (“ICs”). More particularly, the following description relates to manufacturing IC dies and wafers.


BACKGROUND

Microelectronic elements often comprise a thin slab of a semiconductor material, such as silicon or gallium arsenide, commonly called a semiconductor wafer. A wafer can be formed to include multiple integrated chips or dies on a surface of the wafer and/or partly embedded within the wafer. Dies that are separated from a wafer are commonly provided as individual, prepackaged units. In some package designs, the die is mounted to a substrate or a chip carrier, which is in turn mounted on a circuit panel, such as a printed circuit board (PCB). For example, many dies are provided in packages suitable for surface mounting.


Packaged semiconductor dies can also be provided in “stacked” arrangements, wherein one package is provided, for example, on a circuit board or other carrier, and another package is mounted on top of the first package. These arrangements can allow a number of different dies or devices to be mounted within a single footprint on a circuit board and can further facilitate high-speed operation by providing a short interconnection between the packages. Often, this interconnect distance can be only slightly larger than the thickness of the die itself. For interconnection to be achieved within a stack of die packages, interconnection structures for mechanical and electrical connection may be provided on both sides (e.g., faces) of each die package (except for the topmost package).


Additionally, dies or wafers may be stacked in a three-dimensional arrangement as part of various microelectronic packaging schemes. This can include stacking a layer of one or more dies, devices, and/or wafers on a larger base die, device, wafer, substrate, or the like, stacking multiple dies or wafers in a vertical or horizontal arrangement, and various combinations of both.


Dies or wafers may be bonded in a stacked arrangement using various bonding techniques, including direct dielectric bonding, non-adhesive techniques, such as ZiBond® or a hybrid bonding technique, such as DBI®, both available from Invensas Bonding Technologies, Inc. (formerly Ziptronix, Inc.), an Xperi company. The bonding includes a spontaneous process that takes place at ambient conditions when two prepared surfaces are brought together (see for example, U.S. Pat. Nos. 6,864,585 and 7,485,968, which are incorporated herein in their entirety).


Respective mating surfaces of the bonded dies or wafers often include embedded conductive interconnect structures (which may be metal), or the like. In some examples, the bonding surfaces are arranged and aligned so that the conductive interconnect structures from the respective surfaces are joined during the bonding. The joined interconnect structures form continuous conductive interconnects (for signals, power, etc.) between the stacked dies or wafers.


There can be a variety of challenges to implementing stacked die and wafer arrangements. When bonding stacked dies using a direct bonding or hybrid bonding technique, it is usually desirable that the surfaces of the dies to be bonded be extremely flat, smooth, and clean. For instance, in general, the surfaces should have a very low variance in surface topology (i.e., nanometer scale variance), so that the surfaces can be closely mated to form a lasting bond.


Double-sided dies can be formed and prepared for stacking and bonding, where both sides of the dies will be bonded to other substrates or dies, such as with multiple die-to-die or die-to-wafer applications. Preparing both sides of the die includes finishing both surfaces to meet dielectric roughness specifications and metallic layer (e.g., copper, etc.) recess specifications. For instance, conductive interconnect structures at the bonding surfaces may be slightly recessed, just below the insulating material of the bonding surface. The amount of recess below the bonding surface may be determined by a dimensional tolerance, specification, or physical limitation of the device or application. The hybrid surface may be prepared for bonding with another die, wafer, or other substrate using a chemical mechanical polishing (CMP) process, or the like.


In general, when direct bonding surfaces containing a combination of a dielectric layer and one or more metal features (e.g., embedded conductive interconnect structures) are bonded together, the dielectric surfaces bond first at lower temperatures and the metal of the features expands afterwards, as the metal is heated during annealing. The expansion of the metal can cause the metal from both bonding surfaces to join into a unified conductive structure (metal-to-metal bond). While both the substrate and the metal are heated during annealing, the coefficient of thermal expansion (CTE) of the metal relative to the CTE of the substrate generally dictates that the metal expands much more than the substrate at a particular temperature (e.g., ˜300 C). For instance, the CTE of copper is 16.7, while the CTE of fused silica is 0.55, and the CTE of silicon is 2.56.


In some cases, the greater expansion of the metal relative to the substrate can be problematic for direct bonding stacked dies or wafers. If a metal pad is positioned over a through-silicon via (TSV), the expansion of the TSV metal can contribute to the expansion of the pad metal. In some cases, the combined metal expansion can cause localized delamination of the bonding surfaces, as the expanding metal rises above the bonding surface. For instance, the expanded metal can separate the bonded dielectric surfaces of the stacked dies.





BRIEF DESCRIPTION OF THE DRAWINGS

The detailed description is set forth with reference to the accompanying figures. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. The use of the same reference numbers in different figures indicates similar or identical items.


For this discussion, the devices and systems illustrated in the figures are shown as having a multiplicity of components. Various implementations of devices and/or systems, as described herein, may include fewer components and remain within the scope of the disclosure. Alternatively, other implementations of devices and/or systems may include additional components, or various combinations of the described components, and remain within the scope of the disclosure.



FIG. 1A shows a cross-section of an example substrate with bonding pads and a TSV.



FIG. 1B shows a top view of the example substrate of FIG. 1A.



FIG. 2 shows a cross-section of two example bonded substrates with bonding pads and TSVs, and example resulting delamination.



FIG. 3 shows a cross-section of an example substrate with a bonding pad positioned offset relative to the TSV, according to an embodiment.



FIG. 4 shows a cross-section of an example substrate with a bonding pad positioned offset relative to the TSV, the bonding pad having an uneven surface, according to an embodiment.



FIG. 5 shows a cross-section of an example substrate with a bonding pad positioned offset relative to the TSV and a recess disposed above the TSV, according to an embodiment.



FIGS. 6-14 show a cross-section of an example substrate with a bonding pad positioned offset relative to a TSV, illustrating an example backside process of the substrate, according to an embodiment.



FIG. 15 shows a cross-section of two example bonded substrates with TSVs and offset bonding pads, bonded front to back, according to an embodiment.



FIG. 16 shows a cross-section of two example bonded substrates with TSVs, offset bonding pads, and stress recesses, bonded front to back, according to an embodiment.



FIG. 17 shows a cross-section of two example bonded substrates with TSVs, multiple offset bonding pads, and stress recesses, bonded front to back, according to an embodiment.



FIG. 18 shows a cross-section of two example bonded substrates with TSVs, offset bonding pads, and stress recesses, bonded back to back, according to an embodiment.



FIG. 19 shows a cross-section of two example bonded substrates with TSVs, offset bonding pads, and stress recesses, bonded front to front, according to an embodiment.



FIG. 20 shows a diagram of example TSVs used for heat management of a die, according to various embodiments.



FIG. 21 is a text flow diagram illustrating an example process of forming a microelectronic assembly to reduce or eliminate delamination of the bonded substrates, according to an embodiment.





SUMMARY

Representative techniques and devices are disclosed, including process steps for preparing various microelectronic devices for bonding, such as for direct bonding without adhesive. In various embodiments, techniques may be employed to mitigate the potential for delamination due to metal expansion, particularly when a TSV or a bond pad over a TSV is presented at the bonding surface of one or both devices to be bonded. For example, in one embodiment, the TSV may extend partially through the substrate of the device, and a metal contact pad may be disposed at the bonding surface offset relative to the TSV. For instance, the contact pad is disposed so that it does not overlap the TSV. The contract pad may be electrically coupled to the TSV using one or more conductive traces, or the like.


In an embodiment where a contact pad is positioned offset relative to a TSV, the offset of the pad avoids the metal expansion of the TSV combining with the metal expansion of the pad, which can reduce or eliminate delamination that could occur otherwise.


In various implementations, an example process includes embedding a first through silicon via (TSV) into a first substrate having a first bonding surface, where the first TSV extends partially through the first substrate, normal to the first bonding surface and is not exposed at the first bonding surface. A first metal contact pad is disposed at the first bonding surface, offset relative to the first TSV, not overlapping the first TSV, and extending partially into the first substrate below the first bonding surface. The first metal contact pad is electrically coupled to the first TSV with one or more embedded conductive traces.


In various examples, the contact pad may be selected or formed based on a diameter or a surface area of the first metal contact pad, or a predicted recess for the first metal contact pad. For instance, in an embodiment, the process includes determining a desired recess for the first metal contact pad relative to the first bonding surface, to allow for expansion of the material of the first metal contact pad, based on an estimating, and selecting or forming the first metal contact pad to have a perimeter shape likely to result in the desired recess when the first metal contact pad is planarized. This may include forecasting an amount of recess that is likely to occur in a surface of the first metal contact pad as a result of the planarizing. In another embodiment, the process includes forming the desired recess in a surface of the first metal contact pad (prior to bonding), based on the prediction.


In various embodiments, the process includes reducing or eliminating delamination of bonded microelectronic components by selecting the first metal contact pad and offsetting the first contact pad relative to the TSV.


Additionally or alternatively, the back side of the first substrate may also be processed for bonding. One or more insulating layers of preselected materials may be deposited on the back side of the first substrate to facilitate proper reveal and planarization of the TSV and form the dielectric surface for bonding when the back side of the first substrate is to be direct bonded.


Further, the first TSV, as well as other TSVs within the first substrate may be used to direct or transfer heat within the first substrate and/or away from the first substrate. In some implementations, the thermal transfer TSVs may extend partially or fully through a thickness of the first substrate and may include a thermally conductive barrier layer. In such examples, barrier layers normally used around the TSVs that tend to be thermally insulating may be replaced with thermally conductive layers instead. In various implementations, some TSVs may be used for signal transfer and thermal transfer.


In an embodiment, a microelectronic assembly comprises a first substrate including a first bonding surface with a planarized topography having a first predetermined maximum surface variance. A first through silicon via (TSV) is embedded in the first substrate and extends partially through the first substrate. The first TSV extends normal to the first bonding surface and is not exposed at the first bonding surface.


A first metal contact pad is disposed at the first bonding surface and electrically coupled to the first TSV. The first metal contact pad is disposed offset relative to the first TSV, not overlapping the first TSV, and extends partially into the first substrate below the first bonding surface. One or more embedded conductive traces electrically couple the first TSV to the first metal contact pad.


Various implementations and arrangements are discussed with reference to electrical and electronics components and varied carriers. While specific components (i.e., dies, wafers, integrated circuit (IC) chip dies, substrates, etc.) are mentioned, this is not intended to be limiting, and is for ease of discussion and illustrative convenience. The techniques and devices discussed with reference to a wafer, die, substrate, or the like, are applicable to any type or number of electrical components, circuits (e.g., integrated circuits (IC), mixed circuits, ASICS, memory devices, processors, etc.), groups of components, packaged components, structures (e.g., wafers, panels, boards, PCBs, etc.), and the like, that may be coupled to interface with each other, with external circuits, systems, carriers, and the like. Each of these different components, circuits, groups, packages, structures, and the like, can be generically referred to as a “microelectronic component.” For simplicity, unless otherwise specified, components being bonded to another component will be referred to herein as a “die.”


This summary is not intended to give a full description. Implementations are explained in more detail below using a plurality of examples. Although various implementations and examples are discussed here and below, further implementations and examples may be possible by combining the features and elements of individual implementations and examples.


DETAILED DESCRIPTION
Overview

Referring to FIG. 1A (showing a cross-sectional profile view) and FIG. 1B (showing a top view), patterned metal and oxide layers are frequently provided on a die, wafer, or other substrate (hereinafter “die 102”) as a hybrid bonding, or DBI®, surface layer. A representative device die 102 may be formed using various techniques, to include a base substrate 104 and one or more insulating or dielectric layers 106. The base substrate 104 may be comprised of silicon, germanium, glass, quartz, a dielectric surface, direct or indirect gap semiconductor materials or layers or another suitable material. The insulating layer 106 is deposited or formed over the substrate 104, and may be comprised of an inorganic dielectric material layer such as oxide, nitride, oxynitride, oxycarbide, carbides, carbonitrides, diamond, diamond like materials, glasses, ceramics, glass-ceramics, and the like.


A bonding surface 108 of the device wafer 102 can include conductive features such as contact pads 110, traces 112, and other interconnect structures, for example, embedded into the insulating layer 106 and arranged so that the conductive features 110 from respective bonding surfaces 108 of opposing devices can be mated and joined during bonding, if desired. The joined conductive features 110 can form continuous conductive interconnects (for signals, power, etc.) between stacked devices.


Damascene processes (or the like) may be used to form the embedded conductive features 110 in the insulating layer 106. The conductive features 110 may be comprised of metals (e.g., copper, etc.) or other conductive materials, or combinations of materials, and include structures, traces, pads, patterns, and so forth. In some examples, a barrier layer may be deposited in the cavities for the conductive features 110 prior to depositing the material of the conductive features 110, such that the barrier layer is disposed between the conductive features 110 and the insulating layer 106. The barrier layer may be comprised of tantalum, for example, or another conductive material, to prevent or reduce diffusion of the material of the conductive features 110 into the insulating layer 106. After the conductive features 110 are formed, the exposed surface of the device wafer 102, including the insulating layer 106 and the conductive features 110 can be planarized (e.g., via CMP) to form a flat bonding surface 108.


Forming the bonding surface 108 includes finishing the surface 108 to meet dielectric roughness specifications and metallic layer (e.g., copper, etc.) recess specifications, to prepare the surface 108 for direct bonding. In other words, the bonding surface 108 is formed to be as flat and smooth as possible, with very minimal surface topology variance. Various conventional processes, such as chemical mechanical polishing (CMP), dry or wet etching, and so forth, may be used to achieve the low surface roughness. These processes provides the flat, smooth surface 108 that results in a reliable bond.


In the case of double-sided dies 102, a patterned metal and insulating layer 106 with prepared bonding surfaces 108 may be provided on both sides of the die 102. The insulating layer 106 is typically highly planar (usually to nm-level roughness) with the metal layer (e.g., embedded conductive features 110) at or recessed just below the bonding surface 108. The amount of recess below the surface 108 of the insulating layer 106 is typically determined by a dimensional tolerance, specification, or physical limitation. The bonding surfaces 108 are often prepared for direct bonding with another die, wafer, or other substrate using a chemical-mechanical polishing (CMP) step and/or other preparation steps.


Some embedded conductive features or interconnect structures may comprise metal pads 110 or conductive traces 112 that extend partially into the dielectric substrate 106 below the prepared surface 108. For instance, some patterned metal (e.g., copper) features 110 or 112 may be about 0.5-2 microns thick. The metal of these features 110 or 112 may expand as the metal is heated during annealing. Other conductive interconnect structures may comprise metal (e.g., copper) through silicon vias (TSVs) 114 or the like, that extend normal to the bonding surface 108, partly or fully through the substrate 102 and include a larger quantity of metal. For instance, a TSV 114 may extend about 50 microns, depending on the thickness of the substrate 102. The metal of the TSV 114 may also expand when heated. Pads 110 and/or traces 112 may or may not be electrically coupled to TSVs 114, as shown in FIG. 1A.


Referring to FIG. 2, dies 102 may be direct bonded, for instance, without adhesive to other dies 102 with metal pads 110, traces 112, and/or TSVs 114. If a metal pad 110 is positioned over a TSV 114 (overlapping and physically and electrically coupled to the TSV 114), the expansion of the TSV 114 metal can contribute to the expansion of the pad 110 metal. In some cases, the combined metal expansion can cause localized delamination 202 of the bonding surfaces at the location of the TSV 114 (or TSV 114/pad 110 combination), as the expanding metal rises above the bonding surface 108. For instance, the expanded metal can separate the bonded dielectric surfaces 108 of the stacked dies 102.


Example Embodiments

Referring to FIGS. 3-5, in various embodiments, techniques may be employed to mitigate the potential for delamination due to metal expansion. For example, in one embodiment, a contact pad 110 may be disposed on the bonding surface 108, offset relative to the TSV 114 and not overlapping the TSV 114. The contact pad 110 may be embedded in the dielectric layer 106, extending partially into the dielectric layer 106 below the bonding surface 108, and electrically coupled to the TSV 114 using a trace 112, or the like. In some embodiments, the size of the metal pad 110 may be selected based on the material of the pad 110, its thickness, and anticipated recess during CMP processing.


In various implementations, disposing the contact pad 110 offset relative to the TSV 114 (e.g., the contact pad 110 is not disposed over the TSVs 114 or overlapping the TSV 114) reduces or eliminates delamination of bonded dies 102, when the dies 102 are heat annealed and the metal of the TSV 114 and the contact pad 110 expand. In the implementations, the TSV 114 will not (or is less likely to) contribute its expanding metal to the expanding metal of the offset pad 110. Accordingly, a predetermined recess in the pad 110 can be sufficient to provide room for the material expansion of the pad 110.


In an embodiment, the size of the contact pads 110 are selected or formed by estimating an amount that the material of the contact pad 110 will expand when heated to a preselected temperature (˜300°), based on a volume of the material of the contact pad 110 and a coefficient of thermal expansion (CTE) of the material of the contact pad 110, and predicting an amount that the material of the contact pad 110 will expand when heated to the preselected temperature. The contact pad 110 is planarized along with the bonding surface 108 of the dielectric layer 106, including recessing the contact pad 110 to have a predetermined recess depth (or amount) relative to the bonding surface 108, based on estimating and predicting the expansion of the contact pad 110 material at the predetermined temperature.


In one embodiment, a contact pad 110 may be selectively etched (via acid etching, plasma oxidation, etc.) to provide the desired recess depth (to accommodate a predicted metal expansion). In another example, as shown at FIG. 4, a pad 110 or a corresponding TSV 114 may be selected, formed, or processed to have an uneven top surface as an expansion buffer. For example, referring to FIG. 4, the top surface of the pad 110 may be formed or selectively etched to be rounded, domed, convex, concave, irregular, or otherwise non-flat to allow additional space 402 for material expansion.


The additional space 402 may be determined and formed based on the prediction of the amount that the material of the contact pad 110 will expand when heated. In various implementations, the top surface of the contact pad 110 may be formed to be uneven during deposition, or may be etched, grinded, polished, or otherwise made uneven after forming the contact pad 110. In some cases, the top surface of the pad 110 may be made uneven during CMP of the bonding surface 108.


Additionally or alternately, the dielectric 106 around the metal pad 110 can be formed or shaped to allow room for the metal of the pad 110 to expand. In one example, a CMP process can be used to shape the surface 108 of the dielectric 106 around the metal pad 110, or in other examples other processes can be used, so that the dielectric 106 around the pad 110 includes a recess or other gap that provides room for metal expansion. In an embodiment, the dielectric 106 can be recessed (e.g., with CMP) while the bonding surface 108 is being prepared. In the embodiment, the metal pad 110 and the dielectric 106 may be recessed concurrently (but at different rates). For instance, the process may form erosion in the dielectric 106 around the edges of the metal pad 110 while recessing the metal pad 110.


In various embodiments, the pad 110 and/or the TSV 114 are comprised of copper, a copper alloy, or the like. In a further embodiment, the materials of the pad 110 and/or the TSV 114 may be varied to control metal expansion and potential resulting delamination. For instance, in some embodiments, the pad 110 and/or the TSV 114 may be comprised of different conductive materials, perhaps with lower CTEs. In some embodiments the TSV 114 may be comprised of a different conductive material (with a lower CTE) than the contact pad 110. For example, the TSV 114 may be comprised of tungsten, an alloy, or the like.


In other embodiments the volume of material of the TSV 114 may be varied to control metal expansion and the potential for resulting delamination. For instance, in some embodiments, a TSV 114 with a preselected material volume (e.g., less volume of material) may be used, when this is allowable within the design specifications. The preselection of volume of the TSV 114 may be based on predicted material expansion of the TSV 114.


Alternately, the top surface of the TSV 114 can be arranged to be exposed at the bonding surface 108 and used as a contact pad. This arrangement can avoid combining the expansion of the metal pad 110 with that of the TSV 114, and so minimize or eliminate delamination.


In another implementation, as shown at FIG. 5, a recess 502 is disposed in the bonding surface 108 and through a portion of the insulating layer 106 to provide stress relief for material expansion of the TSV 114 in the z-direction. For instance, the recess 502 can be formed by etching the dialectic layer 106. In the implementation, at least a portion of the recess 502 is disposed over (e.g., overlapping) the TSV 114. The recess 502 can be tuned, for example, to the volume of the TSV 114, using a prediction of the expansion of the TSV 114, based on the volume of the particular metal of the TSV 114. In some cases, the diameter or area of the recess 502 is larger than the diameter or cross-sectional area of the TSV 114.


The recess 502 may or may not expose the TSV 114. The recess 502 may have a depth that extends to the top of the TSV 114 or the trace 112 (for instance if it was desired to make contact with the TSV 114 or the trace 112), but generally the depth of the recess 502 is more shallow and the TSV 114 and/or the trace 112 remain covered by a portion of the insulating layer 106. The recess 502 may be left open or may be filled with a material, such as a compliant material.


After preparation of the bonding surface 108 (e.g., by CMP) the die 102 may be direct bonded, for instance, without adhesive to other dies 102 with metal pads 110, traces 112, and/or TSVs 114. The material of the TSV 114 and the material of the pad 110 expand during heated annealing as the mating contact pads 110 of opposite dies 102 bond to form a single conductive interconnect. However, the metal expansion does not cause delamination of the bonding surfaces since the expanding metal of the TSV 114 does not combine with the expanding metal of the contact pads 110 (because the contact pads 110 are offset from the TSVs 114).


Further, if the contact pads 110 are sufficiently recessed, the expanding metal of the contact pads 110 does not separate the bonded dielectric surfaces 108 of the stacked dies 102 (see FIGS. 15-19). When using surface preparation processes such as CMP to prepare the bonding surface 108 of the die 102, the metal pads 110 on the bonding surface 108 can become recessed (intentionally or unintentionally) relative to the dielectric 106, due to the softness of the contact pads 110 (which may comprise copper, for instance) relative to the dielectric 106 (which may comprise an oxide, for example).


In various embodiments, the amount of recessing of a metal pad 110 may be predictable, based on the surface preparation technique used (e.g., the chemical combination used, the speed of the polishing equipment, etc.), the materials of the dielectric layer 106 and the metal pads 110, the spacing or density of the metal pads 110, and the size (e.g., area or diameter) of the metal pads 110. In the embodiments, the area or diameter of the metal pads 110 may be selected (e.g., for a particular metal thickness) to avoid delamination of bonded dies 102 based on the recess prediction and the expected metal expansion of the metal pad 110.


In the embodiments, the shape and size of a contact pad 110 positioned offset from a TSV 114 may be tailored or selected to avoid delamination based on the recess prediction and the expected metal expansion of the metal pad 110.


Additional Embodiments


FIGS. 6-14 illustrate examples of backside die 102 processing, according to various embodiments. In some implementations, where dies 102 are stacked and direct bonded without adhesive, the backside 602 of the die 102 may receive different preparation than the topside bonding surface 108, when the backside 602 is prepared for direct bonding. Instead of forming the dielectric layer 106 on the backside 602 of the die 102, the backside 602 may be prepared differently to reduce process steps, reduce manufacturing costs, or for other reasons.


In one implementation, the backside 602 is prepared so that the TSV 114 is exposed, to be used as a contact surface for bonding to a conductive pad, interconnect, or other conductive bonding surface. The preparation may include depositing one or more layers of insulating material and planarizing (via CMP, for example) the insulating material to reveal the TSV 114. In some cases, however, the expansion of the material of the TSV 114 during heated annealing can cause the insulating material and/or the substrate 104 to become damaged.


In an embodiment, as shown in FIGS. 6-14, one or more layers of inorganic dielectric materials with different residue stress characteristics may be deposited on the backside 602 to balance stress on the device side of the die 102 and minimize die warpage after singulation. The layers of insulating material can be planarized and otherwise prepared as a bonding surface on the backside 602 of the die 102.


As shown at FIG. 6, the TSV 114 is disposed within the die 102, transverse to the bonding surface 108 of the die 102. A dielectric liner and diffusion barrier 604 surrounds the TSV 114 to prevent diffusion of the metal of the TSV 114 (e.g., copper) into the material of the base substrate 104 (e.g., silicon). The base substrate 104 is thinned and selectively etched to expose the bottom end of the TSV 114 with the liner and diffusion barrier layer 604 intact. In an embodiment, as shown at FIG. 6, another diffusion barrier 606 is deposited on the surface of the backside 602 of the die 102. In an example, the diffusion barrier 606 comprises a dielectric, such as a nitride or the like.


In various embodiments, one or more dielectric layers, which may have different residue stress characteristics are then deposited onto the backside 602 of the die 102 to prevent damage to the die 102 when the material of the TSV 114 expands. For example, a first layer 608, comprising a first low temperature dielectric, such as an oxide, may be deposited over the backside 602, including over the diffusion layer 606. FIG. 7 shows this scenario with a formed contact pad 110 on the front side bonding surface 108.


As shown at FIG. 8, the backside 602 is planarized (via CMP, for example), including the one or more dielectric layers 608 to form a flat, smooth bonding surface for direct bonding. The remaining dielectric layer 608 can assist with warpage control, based on a residue stress characteristic of the dielectric layer 608.


In an embodiment, as shown at FIGS. 9-10, a contact pad 1004 (or other conductive structure) may be coupled to the TSV 114 on the backside 602 of the die 102. As shown at FIG. 9, after deposition of the first low temperature oxide stress layer 608 (which also comprises the bonding layer in some implementations), a second dielectric layer 902 (which may comprise a low temperature oxide) may be deposited over the first layer 608. No barrier or adhesion layer is needed between the two oxide layers (608 and 902). In various implementations, the first layer 608 and the second layer 902 are comprised of similar or the same materials (in varying thicknesses). In other implementations, the first layer 608 and the second layer 902 are comprised of different materials. In alternate implementations, additional dielectric layers may also be deposited over the first 608 and second 902 layers.


The backside 602 is patterned and opened (e.g., etched, etc.) for deposition of a conductive pad 1004. As shown at FIG. 9, the opening 904 in the oxide layers 608 and 902 may have a different shape than that of the TSV 114. (The opening for an RDL layer is most likely to be a line, not a circle)


In an embodiment, the opening 904 for the conductive pad 1004 extends through the second layer 902 and partially (10-1000 nm) into the first layer 608. A barrier/adhesion layer 1002 (comprising titanium/titanium nitride, tantalum/tantalum nitride, etc.) may be deposited into the opening 904 (and may cover the entire surface of the opening 904), as shown at FIG. 10. A copper (or the like) deposition/plating (e.g., damascene process) fills the opening 904, which is planarized (via CMP, for example) to remove excess copper and to set a recess of the resulting conductive pad 1004 to a specified depth. The backside 602 surface may be prepared for bonding at this point. Alternately, a dual damascene process may be used to form an interconnect such as the conductive structure 1004, as desired.


In another embodiment, as shown at FIG. 11, a thin (˜10-500 nm) adhesion layer 1102, which may comprise silicon nitride, or the like, is deposited over the surface of the backside 602 (e.g., over the second layer 902 and the conductive pad 1004), followed by a third dielectric layer 1104 (e.g., oxide) as a bonding layer (e.g., DBI layer) for the backside 602. A thickness of the third dielectric layer 1104 (top layer) and a thickness of the conductive pad 1004 may be adjusted to minimize thin die warpage, and to achieve a desired anneal temperature. In various implementations, the first layer 608, the second layer 902, and the third layer 1104 are comprised of similar or the same materials (in varying thicknesses). In other implementations, one or more of the first layer 608, the second layer 902, and/or the third layer 1104 are comprised of different materials. In alternate implementations, additional dielectric layers may also be deposited over the first 608, second 610, and third 1104 layers to balance stress on the device side and minimize die warpage.


As shown at FIG. 12, the third layer 1104 may be patterned and etched for pad 1204 deposition. After etching an opening in the third layer 1104, a diffusion/adhesion layer 1202 (e.g., Ti/TiN) may be deposited to line the opening, after which the opening is filled (via damascene process, for example) with conductive material (e.g., copper) to form the pad 1204. The pad 1204 and the third layer 1104 are planarized (using CMP, for example) to prepare the backside 602 for direct bonding and to recess the pad 1204 to specification. In an alternate embodiment, as shown at FIG. 13, a dual damascene process may be used to add the pad 1204 as part of a via layer 1302.


In an implementation, as shown at FIG. 14, a recess 1402 can be etched on the backside 602 over the TSV 114, as a stress relief for metal expansion during annealing. The recess 1402 is disposed in the surface of the third layer 1104 (or other top-last layer of the backside 602) and through a portion of the third layer 1104 to provide stress relief for material expansion of the TSV 114 in the −z-direction. In the implementation, at least a portion of the recess 1402 is disposed over (e.g., overlapping) the TSV 114. The recess 1402 can be tuned, for example, to the volume of the TSV 114, using a prediction of material expansion of the TSV 114, based on the volume of the particular metal of the TSV 114. In some cases, the diameter or area of the recess 1402 is larger than the diameter or cross-sectional area of the TSV 114. The recess 1402 may be left open or may be filled with a material, such as a compliant material.


In other embodiments, alternate techniques may be used to reduce or eliminate delamination due to metal feature expansion, and remain within the scope of the disclosure.



FIGS. 15-19 show example stacking arrangements of the dies 102 formed with regard to FIGS. 6-14 (and like structures) with front side 108 and backside 602 interconnectivity. For example, at FIG. 15, an example “front-to-back” die 102 stack arrangement is shown. This bonds a front side bonding surface 108 of a first die 102 to a backside 602 bonding surface of a second die 102, including a contact pad 110 of the first die 102 to a contact pad 1204 of the second die 102. In an example, as discussed above, the conductive structure 1004 of the first and second dies 102 penetrate into the second dielectric layer 902 and the first dielectric layer 608 (without going through the first dielectric layer 608) of the first and second dies 102, below the respective bonding surfaces 602.


At FIG. 16, another example “front-to-back” die 102 stack arrangement is shown. In the embodiment illustrated at FIG. 16, each die 102 includes a recess 1402 at the backside 602 of the die 102, through the topmost layer (in this example, the third layer 1104). As discussed above, the recess 1402 provides stress relief from expanding material of the TSVs 114 during heated annealing. In an implementation, the recess 1402 may be filled with a compliant material. In an example, as discussed above, the conductive structure 1004 of the first and second dies 102 penetrate into the second dielectric layer 902 and the first dielectric layer 608 (without going through the first dielectric layer 608) of the first and second dies 102, below the respective bonding surfaces 602.


At FIG. 17, a further example “front-to-back” die 102 stack arrangement is shown. In the embodiment illustrated at FIG. 17, each die 102 includes multiple contact pads 110 (which may be coupled to the respective TSV 114 by one or more traces 112, or the like) and multiple contact pads 1204 (which may be coupled to the respective TSV 114 by a conductive structure 1004, or the like). The first and second dies 102 are stacked so that the multiple contact pads 110 of the first die 102 are bonded to the multiple contact pads 1204 of the second die 102.


In various implementations, the dies 102 may include a recess 1402 disposed on the backside 602 above the respective TSV 114 (as shown in FIG. 17) to provide stress relief from expanding material of the TSVs 114 during heated annealing. In an implementation, the recesses 1402 may be filled with a compliant material. In an example, as discussed above, the conductive structure 1004 of the first and second dies 102 penetrate into the second dielectric layer 902 and the first dielectric layer 608 (without going through the first dielectric layer 608) of the first and second dies 102, below the respective bonding surfaces 602.


At FIG. 18, an example “back-to-back” die 102 stack arrangement is shown. This bonds a backside 602 bonding surface of a first die 102 to a backside 602 bonding surface of a second die 102, including a contact pad 1204 of the first die 102 to a contact pad 1204 of the second die 102. In an example, as discussed above, the conductive structure 1004 of the first and second dies 102 penetrate into the second dielectric layer 902 and the first dielectric layer 608 (without going through the first dielectric layer 608) of the first and second dies 102, below the respective bonding surfaces 602.


At FIG. 19, an example “front-to-front” die 102 stack arrangement is shown. This bonds a front side bonding surface 108 of a first die 102 to a front side bonding surface 108 of a second die 102, including one or more contact pads 110 of the first die 102 to one or more contact pads 110 of the second die 102. In the example shown, the contact pads 110 are electrically coupled to the TSVs 114 of the respective dies 102 by one or more traces 112, or the like. In an example, as discussed above, the conductive structure 1004 of the first and second dies 102 penetrate into the second dielectric layer 902 and the first dielectric layer 608 (without going through the first dielectric layer 608) of the first and second dies 102, below the respective bonding surfaces 602.


In various embodiments, as illustrated at FIG. 20, one or more of the TSVs 114 of a set of stacked dies 102 may be used to conduct heat in addition to or instead of electrical signals. For example, in some cases, it may not be practical or possible to attach a heat sink (or other heat transfer device) to a die 102 of a set of stacked dies 102 to alleviate heat generated by the die 102. In such cases, other techniques may be looked-for to transfer heat as desired.


In the embodiments, as shown at FIG. 20, various configurations of TSVs 114, including TSVs that extend partially or fully through a die 102, may be employed to conduct heat away from the dies 102 (or away from a heat-generating portion of the dies 102). The TSVs 114 of one die 102 may be used in conjunction with TSVs 114, contact pads 110, traces 112, and the like, of the second die 102 to complete heat transfer from one die 102 to the other die 102, and so forth. The TSVs 114 of the first die 102 can be direct bonded (e.g., DBI) to the TSVs 114, contact pads 110, traces 112, and the like of the second die 102 for high performance thermal conductivity.


In an implementation, some of the TSVs 114, contact pads 110, traces 112, and the like are electrically floating or “dummy” structures, which can be used for thermal transfer. These structures may conduct heat away from a high power die 102 to another die 102 or substrate as desired. Dummy contact pads 110 may be coupled to via last or via mid thermal TSVs 114 for thermal conduction.


In the embodiments, diffusion barrier layers 604, which surround the TSVs 114 and can be thermally restrictive or thermal barriers may be replaced by diffusion barriers of a different material having some thermal conductivity (such as metal or alloy barriers, or the like).


Example Process


FIG. 21 illustrates a representative process 2100 for preparing various microelectronic components (such as dies 102, for example) for bonding, such as for direct bonding without adhesive, while reducing or eliminating the potential for delamination due to metal expansion of embedded structures at the bonding surface. For instance, through-silicon vias (TSVs) at the bonding surface may cause delamination, particularly when coupled to contact pads, as the material of the TSVs and the contact pads expands during heated annealing. The process refers to FIGS. 1-20.


The order in which the process is described is not intended to be construed as limiting, and any number of the described process blocks in the process can be combined in any order to implement the process, or alternate processes. Additionally, individual blocks may be deleted from the process without departing from the spirit and scope of the subject matter described herein. Furthermore, the process can be implemented in any suitable hardware, software, firmware, or a combination thereof, without departing from the scope of the subject matter described herein. In alternate implementations, other techniques may be included in the process in various combinations and remain within the scope of the disclosure.


In an implementation, a die, wafer, or other substrate (a “substrate”) is formed using various techniques to include a base substrate and one or more dielectric layers. In the implementation, at block 2102, the process 2100 includes embedding a first through silicon via (TSV) (such as TSV 114, for example) into a first substrate having a first bonding surface (such as bonding surface 108, for example), the first TSV extending partially through the first substrate, normal to the first bonding surface and not exposed at the first bonding surface.


In the implementation, at block 2104, the process includes disposing a first metal contact pad (such as contact pad 110, for example) at the first bonding surface, offset relative to the first TSV, not overlapping the first TSV, and extending partially into the first substrate below the first bonding surface. In an implementation, the process includes predicting an amount that a material of the first metal contact pad will expand when heated to a preselected temperature, based on a volume of the material of the first metal contact pad and a CTE of the material of the first metal contact pad; and selecting the first metal contact pad based on the predicting. In an example, the selecting comprises selecting a diameter or a surface area of the first metal contact pad.


In another example, the process includes determining a desired recess for the first metal contact pad relative to the first bonding surface, to allow for expansion of the material of the first metal contact pad; and selecting the first metal contact pad to have a perimeter shape likely to result in the desired recess when the first metal contact pad is planarized. In an embodiment, the process includes forecasting an amount of recess that is likely to occur in a surface of the first metal contact pad as a result of the planarizing, based on a diameter or an area of the first metal contact pad, and selecting the first metal contact pad based on the forecasting.


In an implementation, the process includes determining a desired recess for the first metal contact pad relative to the first bonding surface, to allow for expansion of the material of the first metal contact pad; and forming the desired recess in a surface of the first metal contact pad. In one example, the process includes forming the surface of the first metal contact pad to have a domed or uneven topology.


At block 2106, the process includes electrically coupling the first metal contact pad to the first TSV with one or more embedded conductive traces (such as conductive traces 112, for example).


In an implementation, the process includes planarizing the first bonding surface to have a predetermined maximum surface variance for direct bonding and the first metal contact pad to have a predetermined recess relative to the first bonding surface.


In an implementation, the process includes forming a recess (such as the recess 502, for example) in the first bonding surface above the first TSV. In one example, the process includes estimating an amount that a material of the first TSV will expand when heated to a preselected temperature, based on a volume of the material of the first TSV and a coefficient of thermal expansion (CTE) of the material of the first TSV; and determining a depth and an area of the recess in the first bonding surface, based on the volume of the material of the first TSV and a coefficient of thermal expansion (CTE) of the material of the first TSV. For instance, the process can include forming the recess in the first bonding surface to have a diameter that is larger by a predetermined amount than a diameter of the first TSV.


In an implementation, the process includes depositing one or more insulating stress-relief layers on a second surface of the first substrate opposite the insulating layer and planarizing the one or more stress-relief layers to form a second bonding surface having a second predetermined maximum surface variance. In an example, the process includes depositing a first low temperature insulating layer at the second surface of the first substrate, a second low temperature insulating layer over the first low temperature insulating layer, and a third insulating layer over the second low temperature insulating layer to form the second bonding surface.


In an implementation, the process includes patterning the second low temperature insulating layer, etching an opening over the first TSV, the opening extending through the second low temperature insulating layer and partially through the first low temperature insulating layer, depositing a conductive material within the opening to form a conductive pad electrically coupled to the first TSV, and depositing the third insulating layer over the second low temperature insulating layer and the conductive pad. In an example, the process includes depositing a barrier layer onto exposed surfaces of the opening prior to depositing the conductive material within the opening.


In another implementation, the process includes patterning the third insulating layer, etching a second opening over the conductive pad, the second opening extending through the third insulating layer and exposing the conductive pad, and depositing a conductive material within the second opening to form a second contact pad electrically coupled to the conductive pad.


In an implementation, the process includes direct bonding the first substrate to a second substrate using a direct dielectric-to-dielectric, non-adhesive bonding technique at the second bonding surface of the first substrate or at the first bonding surface of the first substrate.


In an alternate implementation, the process includes transferring heat from the first substrate to the second substrate via the first TSV and one or more conductive structures embedded within the second substrate and exposed at a bonding surface of the second substrate.


In various embodiments, some process steps may be modified or eliminated, in comparison to the process steps described herein.


The techniques, components, and devices described herein are not limited to the illustrations of FIGS. 1-21, and may be applied to other designs, types, arrangements, and constructions including with other electrical components without departing from the scope of the disclosure. In some cases, additional or alternative components, techniques, sequences, or processes may be used to implement the techniques described herein. Further, the components and/or techniques may be arranged and/or combined in various combinations, while resulting in similar or approximately identical results.


CONCLUSION

Although the implementations of the disclosure have been described in language specific to structural features and/or methodological acts, it is to be understood that the implementations are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are disclosed as representative forms of implementing example devices and techniques.

Claims
  • 1. A microelectronic assembly, comprising: a first substrate including a first bonding surface;a first through silicon via (TSV) embedded in the first substrate and extending at least partially through the first substrate, the first TSV extending normal to the first bonding surface without being exposed at the first bonding surface;a first metal contact pad disposed at the first bonding surface and electrically coupled to the first TSV, the first metal contact pad disposed offset relative to the first TSV and not overlapping the first TSV;one or more embedded conductive traces electrically coupling the first TSV to the first metal contact pad;a second substrate direct bonded to the first substrate using a direct dielectric-to-dielectric, non-adhesive bonding technique along a bonding interface; anda recess extending from the first bonding surface partially into the first substrate, the recess disposed at the bonding interface between the first and second substrates over the first TSV.
  • 2. The microelectronic assembly of claim 1, further comprising a dielectric layer, wherein at least a portion of the dielectric layer is disposed between the first TSV and the recess.
  • 3. The microelectronic assembly of claim 2, wherein the dielectric layer includes the one or more embedded conductive traces.
  • 4. The microelectronic assembly of claim 1, further comprising one or more dielectric stress-relief layers on a second surface of the first substrate, the one or more stress-relief layers planarized to form a second bonding surface having a second predetermined maximum surface variance.
  • 5. The microelectronic assembly of claim 4, the one or more stress-relief layers comprising a first low temperature insulating layer at the second surface of the first substrate, a second low temperature insulating layer over the first low temperature insulating layer, and a third insulating layer over the second low temperature insulating layer to form the second bonding surface.
  • 6. The microelectronic assembly of claim 1, wherein the first bonding surface has a planarized topography with a first predetermined maximum surface variance.
  • 7. The microelectronic assembly of claim 1, wherein the second substrate is direct bonded to the first substrate at the first bonding surface of the first substrate or at a second bonding surface of the first substrate.
  • 8. The microelectronic assembly of claim 1, wherein the recess is aligned with and overlaps the first TSV in a direction normal to the first bonding surface.
  • 9. The microelectronic assembly of claim 1, wherein the recess comprises an expansion region for expansion of the first TSV when heated.
  • 10. The microelectronic assembly of claim 1, wherein the recess has a diameter that is greater than a diameter of the first TSV.
  • 11. The microelectronic assembly of claim 1, wherein the first substrate includes a second surface opposite the first bonding surface, and wherein the TSV is exposed at the second surface.
  • 12. The microelectronic assembly of claim 1, further comprising one or more inorganic dielectric layers disposed on a second surface of the first substrate opposite the insulating layer, the one or more inorganic dielectric layers comprising a second bonding surface having a second predetermined maximum surface variance.
  • 13. The microelectronic assembly of claim 12, wherein the one or more inorganic dielectric layers comprises a first low temperature insulating layer at the second surface of the first substrate, a second low temperature insulating layer over the first low temperature insulating layer, and a third insulating layer over the second low temperature insulating layer to form the second bonding surface.
  • 14. The microelectronic assembly of claim 12, further comprising a conductive pad embedded in the second bonding surface and electrically coupled to the first TSV.
  • 15. The microelectronic assembly of claim 14, wherein the recess comprises an expansion region configured to compensate for thermal expansion of the first TSV.
  • 16. The microelectronic assembly of claim 14, wherein the conductive pad is disposed over and contacts the first TSV.
  • 17. The microelectronic assembly of claim 12, wherein the second substrate is direct bonded to the second bonding surface of the first substrate.
  • 18. A microelectronic assembly, comprising: a first substrate including a base layer and an insulating layer over the base layer, the insulating layer having a first bonding surface;a first through silicon via (TSV) embedded in the base layer and extending at least partially through the base layer, the first TSV extending normal to the first bonding surface without being exposed at the first bonding surface;a first metal contact pad disposed at the first bonding surface and electrically coupled to the first TSV, the first metal contact pad disposed offset relative to the first TSV and not overlapping the first TSV;one or more embedded conductive traces electrically coupling the first TSV to the first metal contact pad;a second substrate direct bonded to the first substrate using a direct dielectric-to-dielectric, non-adhesive bonding technique along a bonding interface; anda recess extending from the first bonding surface partially into the first substrate, the recess disposed at the bonding interface between the first and second substrates over the first TSV.
  • 19. The microelectronic assembly of claim 14, wherein the conductive pad is not exposed at the second bonding surface, and further comprising one or more conductive interconnects coupled to the conductive pad and exposed at the second bonding surface.
  • 20. The microelectronic assembly of claim 18, wherein the first bonding surface has a predetermined maximum surface variance and wherein the first metal contact pad has a preset recess relative to the first bonding surface.
  • 21. The microelectronic assembly of claim 18, wherein the second substrate is direct bonded to the first bonding surface of the first substrate.
  • 22. A microelectronic assembly, comprising: a first substrate including a first bonding surface;a first through silicon via (TSV) embedded in the first substrate and extending in a direction normal to the first bonding surface through at least a portion of the first substrate without being exposed at the first bonding surface;a first metal contact pad embedded into the first substrate and having an exposed surface that is recessed relative to the first bonding surface, the first metal contact pad disposed offset relative to the first TSV and not overlapping the first TSV in a direction normal to the first bonding surface, and electrically coupled to the first TSV with one or more embedded conductive structures; anda second substrate direct bonded to the first substrate using a direct dielectric-to-dielectric, non-adhesive bonding technique along a bonding interface; anda recess extending from the first bonding surface partially into the first substrate, the recess disposed at the bonding interface between the first and second substrates over the first TSV.
PRIORITY CLAIM AND CROSS-REFERENCE TO RELATED APPLICATION

This application is a divisional of U.S. patent application Ser. No. 16/440,633, filed Jun. 13, 2019, now U.S. Pat. No. 10,998,292, issued May 4, 2021, which claims the benefit under 35 U.S.C. § 119(e)(1) of U.S. Provisional Application No. 62/684,505, filed Jun. 13, 2018, both of which are hereby incorporated by reference in their entirety.

US Referenced Citations (382)
Number Name Date Kind
4612083 Yasumoto et al. Sep 1986 A
4818728 Rai et al. Apr 1989 A
4904328 Beecher et al. Feb 1990 A
4939568 Kato et al. Jul 1990 A
4998665 Hayashi Mar 1991 A
5087585 Hayashi Feb 1992 A
5236118 Bower et al. Aug 1993 A
5322593 Hasegawa et al. Jun 1994 A
5413952 Pages et al. May 1995 A
5419806 Huebner May 1995 A
5442235 Parrillo et al. Aug 1995 A
5489804 Pasch Feb 1996 A
5501003 Bernstein Mar 1996 A
5503704 Bower et al. Apr 1996 A
5504376 Sugahara et al. Apr 1996 A
5516727 Broom May 1996 A
5563084 Ramm et al. Oct 1996 A
5610431 Martin Mar 1997 A
5696406 Ueno Dec 1997 A
5734199 Kawakita et al. Mar 1998 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
5821692 Rogers et al. Oct 1998 A
5866942 Suzuki et al. Feb 1999 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6008126 Leedy Dec 1999 A
6054363 Sakaguchi et al. Apr 2000 A
6063968 Hubner et al. May 2000 A
6071761 Jacobs Jun 2000 A
6080640 Gardner et al. Jun 2000 A
6097096 Gardner et al. Aug 2000 A
6123825 Uzoh et al. Sep 2000 A
6147000 You et al. Nov 2000 A
6183592 Sylvester Feb 2001 B1
6218203 Khoury et al. Apr 2001 B1
6232150 Lin et al. May 2001 B1
6258625 Brofman et al. Jul 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6265775 Seyyedy Jul 2001 B1
6297072 Tilmans et al. Oct 2001 B1
6316786 Mueller et al. Nov 2001 B1
6322600 Brewer et al. Nov 2001 B1
6333120 DeHaven et al. Dec 2001 B1
6333206 Ito et al. Dec 2001 B1
6348709 Graettinger et al. Feb 2002 B1
6359235 Hayashi Mar 2002 B1
6374770 Lee Apr 2002 B1
6409904 Uzoh et al. Jun 2002 B1
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6515343 Shroff et al. Feb 2003 B1
6528894 Akram et al. Mar 2003 B1
6552436 Burnette et al. Apr 2003 B2
6555917 Heo Apr 2003 B1
6579744 Jiang Jun 2003 B1
6583515 James et al. Jun 2003 B1
6589813 Park Jul 2003 B1
6593645 Shih et al. Jul 2003 B2
6600224 Farquhar et al. Jul 2003 B1
6624003 Rice Sep 2003 B1
6627814 Stark Sep 2003 B1
6632377 Brusic et al. Oct 2003 B1
6642081 Patti Nov 2003 B1
6656826 Ishimaru Dec 2003 B2
6660564 Brady Dec 2003 B2
6667225 Hau-Riege et al. Dec 2003 B2
6720212 Robi et al. Apr 2004 B2
6759738 Fallon et al. Jul 2004 B1
6828686 Park Dec 2004 B2
6837979 Uzoh et al. Jan 2005 B2
6847527 Sylvester et al. Jan 2005 B2
6864585 Enquist Mar 2005 B2
6867073 Enquist Mar 2005 B1
6887769 Kellar et al. May 2005 B2
6902987 Tong et al. Jun 2005 B1
6908027 Tolchinsky et al. Jun 2005 B2
6909194 Farnworth et al. Jun 2005 B2
6960492 Miyamoto Nov 2005 B1
6962835 Tong et al. Nov 2005 B2
6974769 Basol et al. Dec 2005 B2
7037755 Enquist May 2006 B2
7045453 Canaperi et al. May 2006 B2
7078811 Suga Jul 2006 B2
7105980 Abbott et al. Sep 2006 B2
7109063 Jiang Sep 2006 B2
7109092 Tong Sep 2006 B2
7126212 Enquist et al. Oct 2006 B2
7193239 Leedy Mar 2007 B2
7193423 Dalton et al. Mar 2007 B1
7247948 Hedler et al. Jul 2007 B2
7335572 Tong et al. Feb 2008 B2
7354798 Pogge et al. Apr 2008 B2
7385283 Wu Jun 2008 B2
7387944 Tong et al. Jun 2008 B2
7485968 Enquist et al. Feb 2009 B2
7553744 Tong et al. Jun 2009 B2
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
7807549 Tong et al. Oct 2010 B2
7998335 Feeney et al. Aug 2011 B2
8183127 Patti et al. May 2012 B2
8241961 Kim et al. Aug 2012 B2
8314007 Vaufredaz Nov 2012 B2
8349635 Gan et al. Jan 2013 B1
8357931 Schieck et al. Jan 2013 B2
8377798 Peng et al. Feb 2013 B2
8435421 Keleher et al. May 2013 B2
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8916448 Cheng et al. Dec 2014 B2
8988299 Kam et al. Mar 2015 B2
9040385 Chen et al. May 2015 B2
9064937 Edelstein et al. Jun 2015 B2
9082627 Tong et al. Jul 2015 B2
9082644 Ossimitz et al. Jul 2015 B2
9093350 Endo et al. Jul 2015 B2
9142517 Liu Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331032 Liu et al. May 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9343330 Brusic et al. May 2016 B2
9343369 Du et al. May 2016 B2
9368866 Yu Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9425155 Liu et al. Aug 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9859254 Yu et al. Jan 2018 B1
9865581 Jang et al. Jan 2018 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960129 Liu et al. May 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10103122 Liu Oct 2018 B2
10147641 Enquist et al. Dec 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10211166 Matsuo Feb 2019 B2
10269708 Enquist et al. Apr 2019 B2
10269756 Uzoh Apr 2019 B2
10269778 Lin et al. Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10312275 Hynecek Jun 2019 B2
10418277 Cheng et al. Sep 2019 B2
10431614 Gambino et al. Oct 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10707087 Uzoh et al. Jul 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10796913 Lin Oct 2020 B2
10840135 Uzoh Nov 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10854578 Morein Dec 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10886177 DeLaCruz et al. Jan 2021 B2
10892246 Uzoh Jan 2021 B2
10923413 DeLaCruz Feb 2021 B2
10937755 Shah et al. Mar 2021 B2
10950547 Mohammed et al. Mar 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10985133 Uzoh Apr 2021 B2
10991804 DeLaCruz et al. Apr 2021 B2
10998292 Lee May 2021 B2
11011494 Gao et al. May 2021 B2
11011503 Wang et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11037919 Uzoh et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11069734 Katkar Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11127738 DeLaCruz et al. Sep 2021 B2
11158606 Gao et al. Oct 2021 B2
11171117 Gao et al. Nov 2021 B2
11176450 Teig et al. Nov 2021 B2
11256004 Haba et al. Feb 2022 B2
11264357 DeLaCruz et al. Mar 2022 B1
11276676 Enquist et al. Mar 2022 B2
11329034 Tao et al. May 2022 B2
11348898 DeLaCruz et al. May 2022 B2
11355443 Huang et al. Jun 2022 B2
11393779 Gao et al. Jul 2022 B2
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020025665 Juengling Feb 2002 A1
20020074670 Suga Jun 2002 A1
20020094661 Enquist et al. Jul 2002 A1
20020113241 Kubota et al. Aug 2002 A1
20030092220 Akram May 2003 A1
20030109083 Ahmad Jun 2003 A1
20030129796 Bruchhaus et al. Jul 2003 A1
20030157748 Kim et al. Aug 2003 A1
20040084414 Sakai et al. May 2004 A1
20040157407 Tong et al. Aug 2004 A1
20040217483 Hedler et al. Nov 2004 A1
20040262772 Ramanathan et al. Dec 2004 A1
20050104224 Huang et al. May 2005 A1
20050181542 Enquist Aug 2005 A1
20060024950 Choi et al. Feb 2006 A1
20060057945 Hsu et al. Mar 2006 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20070145367 Chen et al. Jun 2007 A1
20070212870 Yang et al. Sep 2007 A1
20070222048 Huang Sep 2007 A1
20070295456 Gudeman et al. Dec 2007 A1
20070296073 Wu et al. Dec 2007 A1
20080006938 Patti Jan 2008 A1
20080122092 Hong May 2008 A1
20080142990 Yu Jun 2008 A1
20090108469 Kang et al. Apr 2009 A1
20090197408 Lehr et al. Aug 2009 A1
20090200668 Yang et al. Aug 2009 A1
20100130003 Lin et al. May 2010 A1
20100164066 Di Franco Jul 2010 A1
20110074040 Frank et al. Mar 2011 A1
20110290552 Palmateer et al. Dec 2011 A1
20120211894 Aoyagi Aug 2012 A1
20120212384 Kam et al. Aug 2012 A1
20120241981 Hirano Sep 2012 A1
20120319280 Suganuma et al. Dec 2012 A1
20130020704 Sadaka Jan 2013 A1
20130075900 Shim et al. Mar 2013 A1
20130161824 Choi et al. Jun 2013 A1
20130187287 Park et al. Jul 2013 A1
20130221527 Yang et al. Aug 2013 A1
20130256913 Black et al. Oct 2013 A1
20130284885 Chen et al. Oct 2013 A1
20130320556 Liu et al. Dec 2013 A1
20130328186 Uzoh et al. Dec 2013 A1
20140065738 Bhoovaraghan et al. Mar 2014 A1
20140131869 Pendse May 2014 A1
20140145338 Fujii et al. May 2014 A1
20140175614 Wang et al. Jun 2014 A1
20140175655 Chen et al. Jun 2014 A1
20140225795 Yu Aug 2014 A1
20140252635 Tran et al. Sep 2014 A1
20140264948 Chou et al. Sep 2014 A1
20140332980 Sanders et al. Nov 2014 A1
20150064498 Tong Mar 2015 A1
20150097022 Di Cioccio et al. Apr 2015 A1
20150108644 Kuang et al. Apr 2015 A1
20150137325 Hong et al. May 2015 A1
20150155263 Farooq et al. Jun 2015 A1
20150206823 Lin et al. Jul 2015 A1
20150214191 Lee et al. Jul 2015 A1
20150228621 Kumar et al. Aug 2015 A1
20150364434 Chen et al. Dec 2015 A1
20150380341 Chiou et al. Dec 2015 A1
20160086923 Best Mar 2016 A1
20160181228 Higuchi et al. Jun 2016 A1
20160190103 Tatsuya et al. Jun 2016 A1
20160322414 Chen et al. Nov 2016 A1
20160343682 Kawasaki Nov 2016 A1
20170053897 Lai et al. Feb 2017 A1
20170062366 Enquist Mar 2017 A1
20170110388 Park et al. Apr 2017 A1
20170179029 Enquist et al. Jun 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170250160 Wu et al. Aug 2017 A1
20170330859 Soares et al. Nov 2017 A1
20170358551 Liu et al. Dec 2017 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182665 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180204798 Enquist et al. Jul 2018 A1
20180204868 Kao et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180226371 Enquist Aug 2018 A1
20180226375 Enquist et al. Aug 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180286805 Huang et al. Oct 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20190057756 Kim et al. Feb 2019 A1
20190088535 Yan et al. Mar 2019 A1
20190096741 Uzoh et al. Mar 2019 A1
20190096842 Fountain, Jr. et al. Mar 2019 A1
20190109042 Katkar et al. Apr 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190157334 Wei et al. May 2019 A1
20190189603 Wang et al. Jun 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190385935 Gao et al. Dec 2019 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035630 Kameshima Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200075520 Gao et al. Mar 2020 A1
20200075534 Gao et al. Mar 2020 A1
20200075553 DeLaCruz et al. Mar 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200365575 Uzoh et al. Nov 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210098412 Haba et al. Apr 2021 A1
20210118864 DeLaCruz et al. Apr 2021 A1
20210143125 DeLaCruz et al. May 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193603 Katkar et al. Jun 2021 A1
20210193624 DeLaCruz et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220005784 Gao et al. Jan 2022 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
20220285303 Mirkarimi et al. Sep 2022 A1
20220302058 Gao et al. Sep 2022 A1
20220319901 Suwito et al. Oct 2022 A1
20220320035 Uzoh et al. Oct 2022 A1
20220320036 Gao et al. Oct 2022 A1
20230005850 Fountain, Jr. Jan 2023 A1
20230019869 Mirkarimi et al. Jan 2023 A1
Foreign Referenced Citations (69)
Number Date Country
105140144 Dec 2015 CN
106653720 May 2017 CN
106920795 Jul 2017 CN
107039380 Aug 2017 CN
107731668 Feb 2018 CN
107993927 May 2018 CN
107993928 May 2018 CN
109155301 Jan 2019 CN
109417073 Mar 2019 CN
109417075 Mar 2019 CN
109417077 Mar 2019 CN
109643643 Apr 2019 CN
109844915 Jun 2019 CN
0 465 227 Jan 1992 EP
2 863 420 Apr 2015 EP
61-030059 Feb 1986 JP
01-168040 Jul 1989 JP
4-259249 Sep 1992 JP
05-029183 Feb 1993 JP
5-198739 Aug 1993 JP
6-13456 Jan 1994 JP
6-260594 Sep 1994 JP
H07-66093 Mar 1995 JP
H7-249749 Sep 1995 JP
7-283382 Oct 1995 JP
8-78645 Mar 1996 JP
8-125121 May 1996 JP
8-186235 Jul 1996 JP
9-120979 May 1997 JP
10-135404 May 1998 JP
10-223636 Aug 1998 JP
10-242383 Sep 1998 JP
11-186120 Jul 1999 JP
2000-100679 Apr 2000 JP
2000-260934 Sep 2000 JP
2000-299379 Oct 2000 JP
2000-311982 Nov 2000 JP
2001-102479 Apr 2001 JP
2001-326326 Nov 2001 JP
2002-026123 Jan 2002 JP
2002-516033 May 2002 JP
2002-353416 Dec 2002 JP
2002-368159 Dec 2002 JP
2003-023071 Jan 2003 JP
2004-200547 Jul 2004 JP
2005-086089 Mar 2005 JP
2005-093486 Apr 2005 JP
2005-135988 May 2005 JP
2013-33786 Feb 2013 JP
2013-033900 Feb 2013 JP
2013-243333 Dec 2013 JP
2018-160519 Oct 2018 JP
2019-129199 Aug 2019 JP
1020100112852 Oct 2010 KR
1020120106366 Sep 2012 KR
10-2015-0097798 Aug 2015 KR
476145 Feb 2002 TW
201528473 Jul 2015 TW
WO 0161743 Aug 2001 WO
WO 03054954 Jul 2003 WO
2005-043584 May 2005 WO
WO 2006100444 Sep 2006 WO
WO 2012013162 Feb 2012 WO
WO 2012133760 Oct 2012 WO
WO 2016185883 Nov 2016 WO
WO 2017151442 Sep 2017 WO
WO 2017155002 Sep 2017 WO
WO 2018076700 May 2018 WO
WO 2019146427 Aug 2019 WO
Non-Patent Literature Citations (121)
Entry
Ker, Ming-Dou et al., “Fully Process-Compatible Layout Design on Bond Pad to Improve Wire Bond Reliability in CMOS ICs,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Moriceau, H. et al., “Overview of Recent Direct Wafer Bonding Advances and Applications”, Advances in Natural Sciences—Nanoscience and Nanotechnology, 2010, 12 pages.
Nakanishi, H. et al., “Studies on SiO2—SiO2 Bonding with Hydrofluoric Acid. Room Temperature and Low Stress Bonding Technique for MEMS,” Tech. Research Lab., 200, Elsevier Science S.A., 8 pages.
Oberhammer et al., “Sealing of Adhesive Bonded Devices on Wafer Level,” in Sensors and Actuators A, vol. 110, No. 1-3, pp. 407-412, Feb. 29, 2004, see pp. 407-412; and figures 1(a)-1(I), 6 pages.
Plobi et al., “Wafer Direct Bonding: Tailoring Adhesion Between Brittle Materials,” Materials Science and Engineering Review Journal, 1999, 88 pages.
International Search Report and Written Opinion for PCT/US2019/037055, dated Oct. 11, 2019, 14 pages.
Amirfeiz et al., “Formation of silicon structures by plasma-activated wafer bonding,” Journal of the Electrochemical Society, 2000, vol. 147, No. 7, pp. 2693-2698.
Appeal Decision of Rejection dated Apr. 12, 2016 in Japanese Patent Application No. 2008-526104, in 14 pages.
Aspar, B. et al., “The smart-cut process: Status and developments,” Proc. Electrochem Soc., 1999, vol. 99-53, pp. 48-59.
Bower, R. et al., “Low temperature Si3N4 direct bonding,” Appl. Phys. Lett., Jun. 28, 1993, vol. 62, No. 26, pp. 3485-3487.
Canadian Office Action dated Aug. 1, 2013 in Canadian Patent Application No. 2,618,191, 4 pages.
Canadian Office Action, dated May 16, 2012 for Canadian Patent Application No. 2,515,375, with international preliminary report, 2 pages.
Ceramic Microstructures: Control at the Atomic Level, Recent Progress in Surface Activated Bonding, 1998, pp. 385-389.
“Chemical Mechanical Polishing (CMP) Metrology with Advanced Surface Polisher,” Park Systems, 4 pages.
Chung et al., “Room temperature GaAseu + Si and InPeu + Si wafer direct bonding by the surface activate bonding method,” Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, Jan. 2, 1997, vol. 121, Issues 1-4, pp. 203-206.
Chung et al., “Wafer direct bonding of compound semiconductors and silicon at room temperature by the surface activated bonding method,” Applied Surface Science, Jun. 2, 1997, vols. 117-118, pp. 808-812.
D'Agostino, R., “Plasma etching of Si and SiO2 in SF6—O2 mixtures,” J. Appl. Phys., Jan. 1981, vol. 52, No. 1, pp. 162-167.
Decision—Request for Trail Granted, Inter Partes Review, U.S. Pat. No. 7,485,968, Case IPR2013-00381, dated Dec. 18, 2013, in 27 pages.
Declaration of Richard A. Blanchard in Support of Petition for inter partes review of U.S. Pat. No. 7,485,968, dated Jun. 13, 2013, pp. 1-18.
Derbyshire, Katherine, “The darker side of hybrid bonding,” Semiconductor Engineering, Dec. 17, 2020, https://semiengineering.com/author/katherine/, 6 pages.
Dysard, Jeffrey M. et al., “CMP solutions for the integration of high-k metal gate technologies,” ECS Transactions, 2010, vol. 33, Issue 10, pp. 77-89.
Extended European Search Report dated Mar. 30, 2022, European Application No. 19820162.6, 14 pages.
Fan et al., “Copper water bonding,” Electrochem. Solid-State Lett., U.S.A., The Electrochemical Society, Aug. 6, 1999, vol. 2, No. 10, pp. 534-536.
Fang, S.J. et al., “Advanced process control in dielectric chemical mechanical polishing (CMP),” Texas Instruments, Silicon Technology Development, 8 pages.
Farrens et al., “Chemical free room temperature wafer to wafer direct bonding,” J. Electrochem. Soc., The Electrochemical Society, Inc., Nov. 1995, vol. 142, No. 11. pp. 3949-3955.
Farrens et al., “Chemical free wafer bonding of silicon to glass and sapphire,” Electrochemical Society Proceedings vol. 95-7, 1995, pp. 72-77.
Final Written Decision, Inter PartesReview, U.S. Pat. No. 7,485,968, Case IPR2013-00381, dated Feb. 27, 2014, in 3 pages.
Gösele et al., “Semiconductor Wafer Bonding: A flexible approach to materials combinations in microelectronics; micromechanics and optoelectronics,” IEEE, 1997, pp. 23-32.
Gösele et al., “Silicon layer transfer by wafer bonding,” Proceedings of the Second International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, The Electrochemical Society Proceedings, vol. 93-29 (1993), pp. 395-409.
Handbook of Thin Film Technology, Maissel and Glang, 1983 Reissue, pp. 12-24.
Harendt, C. et al., “Vertical polysilicon interconnects by aligned wafer bonding,” Electrochemical Society Proceedings, 1998, vol. 97-36, pp. 501-508.
Hayashi, Y. et al., “Fabrication of three-dimensional IC using cumulatively bonded IC (CUBIC) technology,” VSLI Tech. Dog., 1990, pp. 95-96.
Hizukuri, M. et al., “Dynamic strain and chip damage during ultrasonic flip chip bonding,” Jpn. J. Appl. Phys. 40, 2001, pp. 3044-3048.
Hosoda et al., “Effect of the surface treatment on the room-temperature bonding of Al to Si and SiO2,” Journal of Materials Science, Jan. 1, 1998, vol. 33, Issue 1, pp. 253-258.
Hosoda et al., “Room temperature GaAs—Si and InP—Si wafer direct bonding by the surface activated bonding method,” Nuclear Inst. and Methods in Physics Research B, 1997, vol. 121, Nos. 1-4, pp. 203-206.
Howlader et al., “A novel method for bonding of ionic wafers,” Electronics Components and Technology Conference, 2006, IEEE, pp. 7.
Howlader et al., “Bonding of p-Si/n-InP wafers through surface activated bonding method at room temperature,” Indium Phosphide and Related Materials, 2001, IEEE International Conference on, pp. 272-275.
Howlader et al., “Characterization of the bonding strength and interface current of p-Si/ n—InP wafers bonded by surface activated bonding method at room temperature,” Journal of Applied Physics, Mar. 1, 2002, vol. 91, No. 5, pp. 3062-3066.
Howlader et al., “Investigation of the bonding strength and interface current of p-SionGaAs wafers bonded by surface activated bonding at room temperature,” J. Vac. Sci. Technol. B 19, Nov./Dec. 2001, pp. 2114-2118.
“Hybrid Bonding—Patent Landscape Analysis,” from Technologies to IP Business Intelligence, KnowMade Patent & Technology Intelligence, www.kmowmade.com, Nov. 2019, 81 pages.
Hymes, S. et al., “Determination of the planarization distance for copper CMP process,” 6 pages.
Iida, A. et al., “The study of initial mechanism for Al—Au solid phase diffusion flip-chip bonding,” Jpn. J. Appl. Phys. 40, 1997, pp. 3044-3661.
International Search Report and Written Opinion dated Apr. 22, 2019 in International Application No. PCT/US2018/064982, 13 pages.
International Search Report and Written Opinion dated Mar. 7, 2019, in International Application No. PCT/US2018/060044, 14 pages.
Itoh et al., “Characteristics of fritting contacts utilized for micromachined wafer probe cards,” 2000 American Institute of Physics, AIP Review of Scientific Instruments, vol. 71, 2000, pp. 2224.
Itoh et al., “Characteristics of low force contact process for MEMS probe cards,” Sensors and Actuators A: Physical, Apr. 1, 2002, vols. 97-98, pp. 462-467.
Itoh et al., “Development of MEMS IC probe card utilizing fritting contact,” Initiatives of Precision Engineering at the Beginning of a Millennium: 10th International Conference on Precision Engineering (ICPE) Jul. 18-20, 2001, Yokohama, Japan, 2002, Book Part 1, pp. 314-318.
Itoh et al., “Room temperature vacuum sealing using surface activated bonding method,” The 12th International Conference on Solid State Sensors, Actuators and Microsystems, Boston, Jun. 8-12, 2003, 2003 IEEE, pp. 1828-1831.
Juang, Jing-Ye et al., “Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient,” Scientific Reports, Sep. 17, 2018, vol. 8, 11 pages.
Kim et al., “Low temperature direct Cu—Cu bonding with low energy ion activation method,” Electronic Materials and Packaging, 2001, IEEE, pp. 193-195.
Kim et al., “Room temperature Cu—Cu direct bonding using surface activated bonding method,” J. Vac. Sci. Technol., 2003 American Vacuum Society, Mar./Apr. 2003, vol. 21, No. 2, pp. 449-453.
Kim et al., “Wafer-scale activated bonding of Cu—CU, Cu—Si, and Cu—SiO2 at low temperature,” Proceedings—Electrochemical Society, 2003, vol. 19, pp. 239-247.
Kissinger, G. et al., “Void-free silicon-wafer-bond stregthening in the 200-400 C range,” Sensors and Actuators A, 1993, vol. 36, pp. 149-156.
Krauter, G. et al., “Low temperature silicon direct bonding for application in micromechanics: bonding energies for different combinations of oxides,” Sensors and Actuators A, 1998, vol. 70, pp. 271-275.
Kunio, Takemitsu, “Three dimensional IC technology, using cubic method,” Journal of the JWS, Japan Welding Society, Apr. 5, 1994, vol. 63, No. 3, pp. 185-189.
Lee, D. et al., “Slurry components in metal chemical mechanical planarization (CMP) process: A review,” International Journal of Precision Engineering and Manufacturing, Dec. 2016, vol. 17, No. 12, pp. 1751-1762.
Li, Yuzhuo, “Key factors that influence step height reduction efficiency and detectivity during metal CMP,” Clarkson University, Levitronix CMP Users' Conference 2006, 2006, 32 pages.
Li, Y.A. et al., “Low temperature copper to copper direct bonding,” Jpn. Appl. Phys. 37, 1998, pp. L1068-L1069.
Li, Y.A. et al., “Systematic low temperature silicon bonding using pressure and temperature,” Jpn. J. Appl. Phys., vol. 37, 1998, pp. 737-741.
Liu, Zi-Yu et al. “Detection and formation mechanism of micro-defects in ultrafine pitch Cu—Cu direct bonding,” Chin. Phys. B, 2016, vol. 25, No. 1, pp. 018103-1-018103-7.
Liu, C. et al., “Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu,” Scientific Reports, May 12, 2015, 5:09734, pp. 1-11.
Lu, L. et al., “Grain growth and strain release in nanocrystalline copper,” Journal of Applied Physics, vol. 89, Issue 11, pp. 6408.
Luo, Ying, “Slurry Chemistry Effects on Copper Chemical Mechanical Planarization,” University of Central Florida STARS, Electronic Theses and Disserations, 2004, Paper 36, 111 pages.
Matsuzawa et al., “Room-temperature interconnection of electroplated Au microbump by means of surface activated bonding method,” Electornic Components and Technology Confererence, 2001, 51st Proceedings, IEEE, pp. 384-387.
Monsma et al., “Development of the spin-valve transistor,” IEEE Tran. Magnet., vol. 33, No. 5, Sep. 1997, pp. 3495-3499.
Mott, D. et al., “Synthesis of size-controlled and shaped copper nanoparticles,” Langmuir, 2007, vol. 23, No. 10, pp. 5740-5745.
Onodera et al., “The effect of prebonding heat treatment on the separability of Au wire from Ag-plated Cu alloy substrate,” Electronics Packaging Manufacturing, IEEE Transactions, Jan. 2002, vol. 25, Issue 1, pp. 5-12.
Ortleb, Thomas et al., “Controlling macro and micro surface topography for a 45nm copper CMP process using a high resolution profiler,” Proc. of SPIE, 2008, vol. 6922, 11 pages.
Paul, E. et al., “A model of copper CMP,” J. Electrochem. Soc., 2005, vol. 152, Issue 4, pp. G322-G328.
Petition for Inter Partes Review of U.S. Pat. No. 7,485,968, IPR 2013-00381, filed Jun. 21, 2013, pp. 1-49.
Reiche et al., “The effect of a plasma pretreatment on the Si/Si bonding behaviouir,” Electrochemical Society Proceedings, 1998, vol. 97-36, pp. 437-444.
Rhoades, Robert L., “The Dark Art of CMP,” Future Fab International, Issue 24, 10 pages.
Roberds et al., “Low temperature , in situ, plasma activated wafer bonding,” Electrochecmical Society Proceedings, 1997, vol. 97-36, pp. 598-606.
Rosales-Yeomans, D. et al., “Evaluation of pad groove designs under reduced slurry flow rate conditions during copper CMP,” Journal of the Electrochemical Society, 2008, vol. 155, No. 10, pp. H812-H818.
Schmidt, Martin A., Wafer-to-Wafer Bonding for Microstructure Formation, Proceedings of the IEEE, vol. 86, No. 8, 1998, pp. 1575-1586.
Shigetou et al., “Cu—Cu direct bonding for bump-less interconnect,” Research Center for Advanced Science and Technolog., University of Tokyo, Optoelectronic Packaging and Solder Bumps, (2002), pp. 628-639.
Shigetou et al., “Room temperature bonding of ultra-fine pitch and low-profiled Cu electrodes for bump-less interconnect,” 2003 Electronic Components and Technology Conference, pp. 848-852.
Shigetou et al., “Room-temperature direct bonding of CMP-Cu film for bumpless interconnection,” Electronic Components and Technology Confererence, 51st Proceedings, 2001, IEEE, pp. 755-760.
Shimatsu, T. et al., “Metal bonding during sputter film deposition,” J. Vac. Sci. Technol. A 16(4), 1998, pp. 2125-2131.
Shingo et al., “Design and fabrication of an electrostatically actuated MEMS probe card,” Tranducers, Solid-State Sensors, Actuators and Microsystems, 12th International Conference, Jun. 8-12, 2003, vol. 2, pp. 1522-1525.
Steinkirchner, J. et al., “Silicon wafer bonding via designed monolayers,” Advanced Materials, 1995, vol. 7, No. 7, 7 pages.
Suga et al., “A new approach to Cu—Cu direct bump bonding,” IEMT/IMC Symposium, 1997, Joint International Electronic Manufacturing Symposium and the International Microelectronics Conference, Apr. 16-18, 1997, IEEE, pp. 146-151.
Suga et al., “A new bumping process using lead-free solder paste,” Electronics Packaging Manufacturing, IEEE Transactions on (vol. 25, Issue 4), IEEE, Oct. 2002, pp. 253-256.
Suga et al., “A new wafer-bonder of ultra-high precision using surface activated bonding (SAB) concept,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1013-1018.
Suga et al., “Bump-less interconnect for next generation system packaging,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1003-1008.
Suga et al., “Surface activated bonding—an approach to joining at room temperature,” Ceramic Transactions: Structural Ceramics Joining II, The American Ceramic Society, 1993, pp. 323-331.
Suga et al., “Surface activated bonding for new flip chip and bumpless interconnect systems,” Electronic Components and Technology Conference, 2002, IEEE, pp. 105-111.
Suga, “UHV room temperature joining by the surface activated bonding method,” Advances in science and technology, Techna, Faenza, Italie, 1999, pp. C1079-C1089.
Suga, T., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—A new concept of bump-less direct bonding for system level packaging,” The University of Tokyo, Research Center for Science and Technology, 2000 Electronic Components and Technology Conference, 2000 IEEE, pp. 702-705.
Suga, T., “Room-temperature bonding on metals and ceramics,” Proceedings of the Second International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, The Electrochemical Society Proceedings, vol. 93-29 (1993), pp. 71-80.
Takagi et al, “Wafer-scale room-temperature bonding between silicon and ceramic wafers by means of argon-beam surface activation,” Micro Electro Mechanical Systems, 2001, MEMS 2001, The 14th IEEE International Conference, Jan. 25, 2001, IEEE, pp. 60-63.
Takagi et al., “Effect of surface roughness on room-temperature wafer bonding by Ar beam surface activation,” Japanese Journal of Applied Physics, 1998, vol. 37, Part 1, No. 1, pp. 4197.
Takagi et al., “Low temperature direct bonding of silicon and silicon dioxide by the surface activation method,” Solid State Sensors and Actuators, 1997, Transducers '97 Chicago, 1997 International Conference, vol. 1, pp. 657-660.
Takagi et al., “Room temperature silicon wafer direct bonding in vacuum by Ar beam irradiation,” Micro Electro Mehcanical Systems, MEMS '97 Proceedings, 1997, IEEE, pp. 191-196.
Takagi et al., “Room-temperature bonding of lithium niobate and silicon wafers by argon-beam surface activation,” Appl. Phys. Lett., 1999. vol. 74, pp. 2387.
Takagi et al., “Room-temperature wafer bonding of Si to LiNbO3, LiTaO3 and Gd3Ga5O12 by Ar-beam surface activation,” Journal of Micromechanics and Microengineering, 2001, vol. 11, No. 4, pp. 348.
Takagi et al., “Room-temperature wafer bonding of silicon and lithium niobate by means of arbon-beam surface activation,” Integrated Ferroelectrics: An International Journal, 2002, vol. 50, Issue 1, pp. 53-59.
Takagi et al., “Surface activated bonding silicon wafers at room temperature,” Appl. Phys. Lett. 68, 2222 (1996).
Takagi et al., “Wafer-scale spontaneous bonding of silicon wafers by argon-beam surface activation at room temperature,” Sensors and Actuators A: Physical, Jun. 15, 2003, vol. 105, Issue 1, pp. 98-102.
Tong et al., “Low temperature wafer direct bonding,” Journal of Microelectomechanical systems, Mar. 1994, vol. 3, No. 1, pp. 29-35.
Tong et al., “Low temperature wafer direct bonding,” Journal of Microelectromechanical Systems, IEEE Service Center, Piscataway, NJ, vol. 3, No. 1, Mar. 1, 1994, pp. 29-35, XP-000885425, ISSN 1057-7157.
Tong, Q.Y. et al., “Semiconductor wafer bonding,” Materials Chemistry and Physics, R25, 1999, 239 pages (exerpts).
Tong, Q.Y. et al., “Semiconductor wafer bonding: recent developments,” Materials Chemistry and Physics, vol. 37, 1994, pp. 101-127.
Tong, Q.Y. et al., “Semiconductor wafer bonding: science and technology,” 1999, 120 pages.
Tong, Q.Y. et al., “Semiconductor wafer bonding: science and technology,” 1999, 159 pages.
Tong, Q.Y. et al., “Wafer bonding and layer splitting for microsystems,” Advanced Materials, 1999, vol. 11, No. 17, pp. 1409-1425.
Topol et al., “Enabling technologies for wafer-level bonding of 3D MEMS and integrated circuit structures,” 2004 Electronics Components and Technology Conference, 2004 IEEE, pp. 931-938.
Tsau et al., “Fabrication process and plasticity of gold-gold thermocompression bonds,” Mater. Soc. Symp. Proc. 605, 171 (1999).
Tsau, C.H. et al., “Characterization of low temperature wafer-level gold—gold thermocompression bonds,” Mater. Soc. Symp. Proc. 605, 1999, pp. 171-176.
Vossen, J. et al., “Thin Film Processes II,” Academic Press, 1991, 62 pages.
Vossen, J. et al., “Thin Film Processes,” Academic Press, 1978, 62 pages.
Wang et al., “Reliability and microstructure of Au—Al and Au—Cu direct bonding fabricated by the Surface Activated Bonding,” Electronic Components and Technology Conference, 2002, IEEE, pp. 915-919.
Wang et al., “Reliability of Au bump—Cu direct interconnections fabricated by means of surface activated bonding method,” Microelectronics Reliability, May 2003, vol. 43, Issue 5, pp. 751-756.
Warner, K. et al., “Low-temperature oxide-bonded three-dimensional integrated circuits,” IEEE International SOI Conference, Oct. 2012, pp. 123-125.
Weldon et al., “Physics and chemistry of silicon wafer bonding investigated by infrared absorption spectroscopy,” Journal of Vacuum Science & Technology B, Jul./Aug. 1996, vol. 14, No. 4, pp. 3095-3106.
Wikipedia, “Chemical bond,” URL http://en.wikipedia.org/wiki/chemical_bond, accessed on Mar. 31, 2010, 10 pages.
Wikipedia, “Van der Waals force,” URL https://en.wikipedia.org/wiki/Van_der_Waals_force, originally accessed on Mar. 31, 2010, 7 pages.
Xu et al., “New Au—Al interconnect technology and its reliability by surface activated bonding,” Electronic Packaging Technology Proceedings, Oct. 28-30, 2003, Shanghai, China, pp. 479-483.
Yablonovitch, E. et al., “Van der Waals bonding of GaAs on Pd leads to a permanent, solid-phase-topotaxial metallurgical bond,” Appl. Phys. Lett. 59, 1991, pp. 3159-3161.
Image showing a partial cross-section of Sony IMX260 BSI image sensor from Samsung Galaxy S7; product believed to be released Mar. 2016.
Image showing a partial cross-section of Omnivision OV16B10 16MP BSI image sensor from Huawei P20 Lite Phone; product believed to be released May 2018.
Related Publications (1)
Number Date Country
20210257341 A1 Aug 2021 US
Provisional Applications (1)
Number Date Country
62684505 Jun 2018 US
Divisions (1)
Number Date Country
Parent 16440633 Jun 2019 US
Child 17246845 US