Tungsten for wordline applications

Information

  • Patent Grant
  • 9953984
  • Patent Number
    9,953,984
  • Date Filed
    Wednesday, February 10, 2016
    8 years ago
  • Date Issued
    Tuesday, April 24, 2018
    6 years ago
Abstract
Disclosed herein are methods and related apparatus for formation of tungsten wordlines in memory devices. Also disclosed herein are methods and related apparatus for deposition of fluorine-free tungsten (FFW). According to various embodiments, the methods involve deposition of multi-component tungsten films using tungsten chloride (WClx) precursors and boron (B)-containing, silicon (Si)-containing or germanium (Ge)-containing reducing agents.
Description
BACKGROUND

Tungsten (W) film deposition using chemical vapor deposition (CVD) techniques is an integral part of semiconductor fabrication processes. For example, tungsten films may be used as low resistivity electrical connections in the form of horizontal interconnects, vias between adjacent metal layers, and contacts between a first metal layer and the devices on a silicon substrate. In an example tungsten deposition process, a barrier layer is deposited on a dielectric substrate, followed by deposition of a thin nucleation layer of tungsten film. Thereafter, the remainder of the tungsten film is deposited on the nucleation layer as a bulk layer. Conventionally, the tungsten bulk layer is formed by the reduction of tungsten hexafluoride (WF6) with hydrogen (H2) in a chemical vapor deposition process.


Tungsten films may also be used in various memory applications, including in formation of buried wordline (bWL) architectures for dynamic random access memory (DRAM). In an example of bWL deposition, a tungsten layer may be deposited on a titanium nitride (TiN) layer to form a TiN/W bilayer by a CVD process using WF6.


SUMMARY

Disclosed herein are methods of depositing tungsten on a substrate. In some embodiments, the methods include pulsing a reducing agent, wherein the reducing agent is boron (B)-containing, silicon (Si)-containing or germanium (Ge)-containing and pulsing a tungsten chloride precursor, wherein the tungsten chloride precursor is reduced by the reducing agent or a product thereof to form a multi-component tungsten-containing film containing one or more of B, Si, and Ge on the substrate.


In some embodiments the methods involve pulsing a third reactant to form a ternary tungsten-containing film. The third reactant may be a nitrogen-containing or carbon-containing reactant such that the ternary tungsten-containing film includes tungsten, one of silicon, germanium, and boron, and one or carbon and nitrogen. Quaternary and higher order films containing two or more of silicon, germanium, boron, carbon, and nitrogen may be formed. In some embodiments, the multi-component film is a binary film.


In some embodiments, the multi-component tungsten-containing film is a diffusion barrier for a wordline. In some embodiments, the multi-component tungsten-containing film is a work function layer for a metal gate.


In some embodiments, the substrate temperature during the tungsten chloride pulse is at least 400° C. In some embodiments, the substrate temperature during the tungsten chloride pulse is at least 450° C. In some embodiments, the substrate temperature during the tungsten chloride pulse is at least 500° C. In some embodiments, the substrate temperature during the tungsten chloride pulse is at least 550° C.


In some embodiments, the substrate temperature during the tungsten chloride and reducing agent pulses is at least 400° C. In some embodiments, the substrate temperature during the tungsten chloride and reducing agent pulses is at least 450° C. In some embodiments, the substrate temperature during the tungsten chloride and reducing agent pulses is at least 500° C. In some embodiments, the substrate temperature during the tungsten chloride and reducing agent pulses is at least 550° C.


The method may further include depositing a bulk tungsten (W) layer on the multi-component tungsten-containing film. In some embodiments, the bulk W layer is deposited by a chemical vapor deposition (CVD) reaction between a tungsten chloride precursor and a reducing agent.


The bulk layer may be deposited directly on the multi-component tungsten-containing film without an intervening layer in some embodiments.


According to various embodiments, the multi-component tungsten-containing film is deposited directly on an insulating film, such as an oxide or nitride film. In some embodiments, the method involves decomposition of the reducing agent to form a layer of B, Si, or Ge on the substrate.


Also provided are memory structures. In some embodiments, a memory structure may include a liner layer of a multi-component tungsten-containing film containing one or more of B, Si, and Ge; and a tungsten wordline. In some embodiments, a memory structure may include a work function layer of a multi-component tungsten-containing film containing one or more of B, Si, and Ge; and a metal gate.


These and other aspects are described further below with reference to the figures.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 depicts a schematic example of a dynamic random access memory (DRAM) architecture including a buried wordline (bWL) in a silicon substrate.



FIG. 2A depicts a schematic example of a three-dimensional NAND structure including tungsten wordlines.



FIG. 2B is a two-dimensional rendering of three-dimensional features of a partially-fabricated 3D NAND structure including a tungsten wordline.



FIG. 2C shows a schematic example of a vertical NAND structure including a tungsten-containing work function layer.



FIG. 3A shows an example of a method that may be used to form a tungsten-containing binary or ternary film.



FIG. 3B shows an example of a method that may be used to fill a feature.



FIG. 4 is a block diagram of a processing system suitable for conducting tungsten deposition processes in accordance with embodiments of the invention.





DETAILED DESCRIPTION

In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.


Semiconductor device fabrication often involves the deposition of tungsten films, for example in trenches or vias to form interconnects. In a conventional methods of depositing tungsten films, a nucleation tungsten layer is first deposited into a via or contact. In general, a nucleation layer is a thin conformal layer that serves to facilitate the subsequent formation of a bulk material thereon. The tungsten nucleation layer may be deposited to conformally coat the sidewalls and bottom of the feature. Conforming to the underlying feature bottom and sidewalls can be critical to support high quality deposition. Nucleation layers are often deposited using atomic layer deposition (ALD) or pulsed nucleation layer (PNL) methods.


In a PNL technique, pulses of reactant are sequentially injected and purged from the reaction chamber, typically by a pulse of a purge gas between reactants. A first reactant can be adsorbed onto the substrate, available to react with the next reactant. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL is similar to ALD techniques. PNL is generally distinguished from ALD by its higher operating pressure range (greater than 1 Torr) and its higher growth rate per cycle (greater than 1 monolayer film growth per cycle). Chamber pressure during PNL deposition may range from about 1 Torr to about 400 Torr. In the context of the description provided herein, PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate. Thus, the concept embodies techniques conventionally referred to as ALD. In the context of the disclosed embodiments, CVD embodies processes in which reactants are together introduced to a reactor for a vapor-phase reaction. PNL and ALD processes are distinct from CVD processes and vice versa.


After the tungsten nucleation layer is deposited, bulk tungsten is typically deposited by a chemical vapor deposition (CVD) process by reducing tungsten hexafluoride (WF6) using a reducing agent such as hydrogen (H2).


Conventional deposition of tungsten has involved the use of the fluorine-containing precursor WF6. However, the use of WF6 results in some incorporation of fluorine into the deposited tungsten film. As devices shrink, features become smaller and the detrimental effects electromigration as well as ion diffusion become more prominent, thereby causing device failure. The presence of fluorine can cause electromigration and/or fluorine diffusion into adjacent components, thereby reducing the performance of the device. Tungsten films containing traces of fluorine can thereby pose integration and reliability issues, as well as device performance issues related to the underlying films.


Disclosed herein are methods and related apparatus for formation of tungsten wordlines in memory devices. Also disclosed herein are methods and related apparatus for deposition of fluorine-free tungsten (FFW). FIG. 1 depicts a schematic example of a DRAM architecture including a buried wordline (bWL) 11 in a silicon substrate 9. The bWL is formed in a trench etched in the silicon substrate 9. Lining the trench is a conformal barrier layer 12 and an insulating layer 13 that is disposed between the conformal barrier layer 12 and the silicon substrate 9. In the example of FIG. 1, the insulating layer 13 may be a gate oxide layer, formed from a high-k dielectric material such as a silicon oxide or silicon nitride material.


In some embodiments disclosed herein the conformal barrier layer 12 is a tungsten-containing layer. In conventional tungsten (W) wordline architectures, titanium nitride (TiN) is used as a barrier. However, TiN/W wordline fill is limited by the resistivity scaling; because TiN has relatively high resistivity, as dimensions decrease and TiN conformal layers occupy a greater volume fraction of the trench, the resistance increases. According to various embodiments, the tungsten bWLs disclosed herein are free of TiN and other non-W barrier layers.


The conformal barrier layer 12 may include one or more of boron, silicon, and germanium. In some embodiments, the conformal barrier layer 12 is a binary compound such as WBx, WSix, and WGex, where x is a number greater than zero. In some embodiments, the conformal barrier layer 12 may include carbon or nitrogen. In some embodiments, the conformal barrier layer 12 may include one or more of boron, silicon, and germanium, and one or both of carbon and nitride. In some embodiments, the conformal barrier layer 12 is a ternary compound such as WBxNy, WSixNy, WGexNy, WSixCy, WBxCy, WGexCy, etc., wherein x and y are numbers greater than zero. Quaternary and higher order compounds containing Si, B, Ge, N and C may also be used, with examples including WBxGeyNz, WGexCyNz, etc. wherein x, y and z are numbers greater than zero.



FIG. 2A depicts a schematic example of a wordline 21 in a 3D NAND structure 23. In FIG. 2B, a 2-D rendering of 3-D features of a partially-fabricated 3D NAND structure after tungsten fill, is shown including the wordline 21 and a conformal barrier layer 22. FIG. 2B is a cross-sectional depiction of a filled area with the pillar constrictions 24 shown in the figure representing constrictions that would be seen in a plan rather than cross-sectional view. The conformal barrier layer 22 may be a tungsten-containing layer as described above with respect to the conformal barrier layer 12 in FIG. 1. The tungsten-containing film may serve as a barrier layer and a nucleation layer for subsequent CVD W deposition.


In some embodiments, a tungsten-containing work function layer for a metal gate is provided, including a work function layer for a metal gate in a 3-D memory structure such as the 3-D NAND structure above.



FIG. 2C shows a schematic example of a VNAND structure 32 including metal gates 31 formed on a substrate 30, with an enlarged view of an example of a metal gate 31 and associated film stack also shown. The VNAND structure 32 includes a semiconducting channel 33, a tunnel dielectric layer 35, a charge storage layer 37, a work function layer 39, a blocking dielectric 41, and a metal gate 31.


Examples of work function layers in memory structures including those shown in the examples of FIGS. 2A-2C include films of a binary tungsten-containing compound such as WBx, WSix, and WGex, where x is a number greater than zero. In some embodiments, the work function layer may include carbon or nitrogen. In some embodiments, the work function layer may include one or more of boron, silicon, and germanium, and one or both of carbon and nitride. In some embodiments, the work function layer is a ternary compound such as WBxNy, WSixNy, WGexNy, WSixCy, WBxCy, WGexCy, etc. Quaternary and higher order compounds containing Si, B, Ge, N and C may also be used. The tungsten-containing film may serve as a work function layer and a nucleation layer for subsequent CVD W deposition. The work function layer may be deposited on a dielectric material such as a gate oxide.


In some embodiments, the memory structures described with reference to FIGS. 2A-2C do not include TiN diffusion barriers or TiN work function layers.



FIG. 3A shows an example of a method that may be used to form a tungsten-containing binary or ternary film. First, a substrate is exposed to a reducing agent pulse (302). The substrate may be a partially-fabricated memory device in some embodiments. In some embodiments, a surface that is exposed to the reducing agent pulse on which the film is formed is a dielectric. According to various embodiments, the film may be formed on other types of surfaces including conducting and semiconducting surfaces.


The reducing agent employed in block 302 will reduce a tungsten-containing precursor employed in a subsequent operation as well as provide a compound to be incorporated into the resulting film. Examples of such reducing agents include boron-containing, silicon-containing, and germanium-containing reducing agents. Examples of boron-containing reducing agents include boranes such BnHn+4, BnHn+6, BnHn+8, BnHm, where n is an integer from 1 to 10, and m is a different integer than m. In particular examples, diborane may be employed. Other boron-containing compounds may also be used, e.g., alkyl boranes, alkyl boron, aminoboranes (CH3)2NB(CH2)2, and carboranes such as C2BnHn+2. Examples of silicon-containing compounds include silanes such as SiH4 and Si2H6. Examples of germanium-containing compounds include germanes, such as GenHn+4, GenHn+6, GenHn+8, and GenHm, where n is an integer from 1 to 10, and n is a different integer than m. Other germanium-containing compounds may also be used, e.g., alkyl germanes, alkyl germanium, aminogermanes and carbogermanes.


According to various embodiments, block 302 may involve adsorption of a thin layer of thermally decomposed elemental boron, silicon or germanium onto the surface of the substrate. In some embodiments, block 302 may involve adsorption of a precursor molecule onto substrate surface.


Next, the chamber in which the substrate sits may be optionally purged (304). A purge pulse or an evacuation can be employed to remove any byproduct, if present, and unadsorbed precursor. This is followed by a pulse of a tungsten chloride precursor (306). Tungsten chloride precursors include WCl2, WCl4, WCl5 and WCl6, as well as mixtures of these. In some embodiments, the tungsten chloride precursor is tungsten hexachloride (WCl6), tungsten pentachloride (WCl5) or a mixture thereof. An optional purge (307) may be performed after block 306 as well. The tungsten precursor is reduced by the reducing agent (or a decomposition or reaction product thereof) to form the multi-component film.


A deposition cycle will typically deposit a portion of the tungsten-containing layer. After block 307, a deposition cycle may be complete in some implementations with the deposited film being a tungsten-containing binary film such as WBx, WSix, and WGex, where x is greater than zero. In such embodiments, the process may proceed to block 312 with repeating the cycle of blocks 302-307 until the desired thickness is deposited. Example growth rates may be about 100 Å per cycle.


In some embodiments, the process will proceed with optionally introducing a third reactant (308). The third reactant will generally contain an element to be introduced into the film, such as carbon or nitrogen. Examples of nitrogen-containing reactants include N2, NH3, and N2H4. Examples of carbon-containing reactants include CH4 and C2H2. An optional purge (309) may follow. The process may then proceed to block 312 with repeating the deposition cycle.


Examples of ternary films including nitrogen or carbon are given above. In some embodiments, a film may include both nitrogen and carbon (e.g., WSiCN).


According to various embodiments, the multi-component tungsten film may have the following atomic percentages: W about 5% to 90%, B/Ge/Si about 5% to 60%, C/N about 5% to 80%. In some embodiments, the multi-component films have the following atomic percentages: W about 15% to about 80%; B/Ge/Si: about 15% to about 50%; and C/N about 20% to about 50%. According to various embodiments, the multi-component tungsten film is at least 50% tungsten.


According to various embodiments, the deposition is relatively high, e.g., between 400° C. and 650° C., including between 450° C. and 600° C., and in some embodiments greater than about 500° C. This facilitates tungsten chloride reduction and also permits incorporation of B, Si, or Ge into the binary film. The low end of the range is generally limited by the temperature at which the tungsten chloride compound can be reduced at reasonable reaction rates, with the temperature generally being higher than tungsten fluoride reduction. The high end of the range may be limited by thermal budget considerations. In some embodiments, any one or more of blocks 302, 306, and 308 may be performed at a different temperature than any of the other blocks. Examples of a process in which a reducing agent pulse is performed at a lower temperature than a subsequent tungsten chloride pulse are described in U.S. patent application Ser. No. 14/703,732, incorporated by reference herein. Similar temperature control may be employed in embodiments of FIG. 3A. In certain embodiments, transitioning from block 302 to block 306 and from block 306 to block 308 involves moving the substrate from one deposition station to another in a multi-station chamber. Still further, each of block 302, block 306, and block 308 may be performed in a different station of the same multi-station chamber.


In some embodiments, electrical properties such as work function of the binary or ternary film may be tuned by introducing nitrogen or carbon. Similarly, the amount of reducing agent may be modulated (by modulating dosage amount and/or pulse time) to tune the amount of B, Si, or Ge that is incorporated into the film. Still further, any one or two of blocks 302, 306 and 308 may be performed more than once per cycle to tune the relative amounts of the tungsten and the other components of the binary or ternary films and thus their physical, electrical, and chemical characteristics. Examples of different cycles for forming a ternary WBN film are described in U.S. Publication No. 20140027664, which is incorporated by reference herein. In some embodiments, the deposited tungsten-containing film has an effective work function of about 4.5-4.8 eV.



FIG. 3B shows an example of a method that may be used to fill a feature. First, a tungsten-containing multi-component layer is deposited (352). The multi-component layer may include W, one or more of B, Si, and Ge, and, optionally, one or more of C and N. Examples include WBx, WSix, and WGex, WBxNy, WSixNy, WGexNy, WSixCy, WBxCy, WGexCy, where x and y are greater than zero. The film may be deposited as described above with respect to FIG. 3A.


Next, the tungsten-containing multi-component layer may be exposed to a tungsten chloride precursor to deposit W metal by CVD. In contrast to block 352, the CVD-deposited W is generally pure tungsten, i.e., with no more than trace amounts of impurities. In some embodiments, the film is at least 95% W. The layer deposited in block 352 can function as a nucleation layer for the CVD W deposition in block 354. Block 354 may fill a feature on a substrate, including vertical features, such as in tungsten vias and bWLs, and horizontal features, such as VNAND wordlines. As indicated above, the methods performed with respect to FIGS. 3A and 3B generally do not include fluorine-containing precursors.


Example substrate temperatures are as low as 450° C. and may be as high as 650° C. during the CVD reaction. In certain embodiments, the tungsten chloride precursor is WCl5 or WCl6. In certain embodiments, the reducing agent is hydrogen gas, though other reducing agents may be used, including silanes, boranes, and germanes. In some embodiments, CVD may be implemented in various stages, such as a low temperature stage and a high temperature stage. In certain embodiments, the CVD operation may take place in multiple stages, with multiple periods of continuous and simultaneous flow of reactants separated by periods of one or more reactant flows diverted.


An inert carrier gas may be used to deliver one or more of the reactant streams in blocks 352 and 354, which may or may not be pre-mixed. In various embodiments, the precursors are introduced using argon as a carrier gas. Other carrier gases may be used as appropriate. An inert gas such as argon or another gas such as nitrogen, or a combination thereof may be provided as the background gas simultaneously with the reducing gases or the WCl5 or WCl6 gases. In some embodiments, the background gas flow is continuous, i.e., it is not switched on and off throughout blocks 352 and 354.


Unlike PNL or ALD processes, block 354 may generally involve introducing the reactants continuously until the desired amount is deposited. In certain embodiments, the CVD operation may take place in multiple stages, with multiple periods of continuous and simultaneous flow of reactants separated by periods of one or more diverted reactant flows. Flows may also be pulsed for a pulse time between about 1 second and about 2 seconds. In some embodiments, the reactants are continuously flowed for a time between about 400 seconds and about 600 seconds. Example ranges of chamber pressure during CVD deposition may range from about 10 Torr to about 500 Torr, or about 40 Torr.


In certain embodiments, transitioning from block 352 to block 354 involves moving the substrate from one deposition station to another in a multi-station chamber.


Apparatus


Any suitable chamber may be used to implement the disclosed embodiments. Example deposition apparatuses include various systems, e.g., ALTUS® and ALTUS® Max, available from Lam Research Corp., of Fremont, Calif., or any of a variety of other commercially available processing systems. The process can be performed on multiple deposition stations in parallel.


In some embodiments, the tungsten nucleation process is performed at a first station that is one of two, five, or even more deposition stations positioned within a single deposition chamber. In some embodiments, various steps for the nucleation process are performed at two different stations of a deposition chamber. For example, the substrate may be exposed to diborane (B2H6) in a first station using an individual gas supply system that creates a localized atmosphere at the substrate surface, and then the substrate may be transferred to a second station to be exposed to a precursor such as tungsten hexachloride (WCl6) to deposit the nucleation layer. In some embodiments, the substrate may then be transferred back to the first station for a second exposure of diborane or to a third station for a third reactant exposure. Then the substrate may be transferred to the second station for exposure to WCl6 (or other tungsten chloride) to complete tungsten nucleation and proceed with bulk tungsten deposition in the same or different station. One or more stations can then be used to perform chemical vapor deposition (CVD) as described above.



FIG. 4 is a block diagram of a processing system suitable for conducting tungsten deposition processes in accordance with embodiments of the invention. The system 400 includes a transfer module 403. The transfer module 403 provides a clean, pressurized environment to minimize the risk of contamination of substrates being processed as they are moved between the various reactor modules. Mounted on the transfer module 403 is a multi-station reactor 409 capable of performing nucleation layer deposition, which may be referred to as pulsed nucleation layer (PNL) deposition, as well as CVD deposition according to embodiments of the invention. Chamber 409 may include multiple stations 411, 413, 415, and 417 that may sequentially perform these operations. For example, chamber 409 could be configured such that stations 411 and 413 perform PNL deposition, and stations 413 and 415 perform CVD. Each deposition station may include a heated wafer pedestal and a showerhead, dispersion plate or other gas inlet.


Also mounted on the transfer module 403 may be one or more single or multi-station modules 407 capable of performing plasma or chemical (non-plasma) pre-cleans. The module may also be used for various other treatments, e.g., reducing agent soaking. The system 400 also includes one or more (in this case two) wafer source modules 401 where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 419 first removes wafers from the source modules 401 to loadlocks 421. A wafer transfer device (generally a robot arm unit) in the transfer module 403 moves the wafers from loadlocks 421 to and among the modules mounted on the transfer module 403.


In certain embodiments, a system controller 429 is employed to control process conditions during deposition. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


The controller may control all of the activities of the deposition apparatus. The system controller executes system control software including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels if used, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.


Typically there will be a user interface associated with the controller. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by “programming.” Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language. Alternatively, the control logic may be hard coded in the controller. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place.


The computer program code for controlling the deposition and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.


The controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.


The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.


A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.


Examples of chamber sensors that may be monitored during deposition include mass flow controllers, pressure sensors such as manometers, and thermocouples located in pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions. The foregoing describes implementation of embodiments of the invention in a single or multi-chamber semiconductor processing tool.


The foregoing describes implementation of disclosed embodiments in a single or multi-chamber semiconductor processing tool. The apparatus and process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.


CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A method of depositing tungsten on a substrate, the method comprising: pulsing a reducing agent, wherein the reducing agent is boron (B)-containing, silicon (Si)-containing or germanium (Ge)-containing; andpulsing a tungsten chloride precursor,wherein the tungsten chloride precursor is reduced by the reducing agent or a product thereof to form a multi-component tungsten-containing film containing one or more of B, Si, and Ge on the substrate, wherein the multi-component tungsten-containing film contains between 5% and 60% (atomic) B, Si, or Ge, and wherein the between 5% and 60% (atomic) B, Si, or Ge is provided by the reducing agent.
  • 2. The method of claim 1, further comprising pulsing a third reactant to form a ternary tungsten-containing film.
  • 3. The method of claim 1, wherein the multi-component film is a binary film.
  • 4. The method of claim 1, wherein the multi-component tungsten-containing film is a diffusion barrier for a wordline.
  • 5. The method of claim 1, wherein the multi-component tungsten-containing film is a work function layer for a metal gate.
  • 6. The method of claim 1, wherein the substrate temperature during the tungsten chloride pulse is at least 400° C.
  • 7. The method of claim 1, wherein the temperature during the tungsten chloride pulse is at least 450° C.
  • 8. The method of claim 1, wherein the temperature during the tungsten chloride pulse is at least 500° C.
  • 9. The method of claim 1, wherein the substrate temperature during the reducing agent and tungsten chloride pulses is at least 400° C.
  • 10. The method of claim 1, wherein the substrate temperature during the reducing agent and tungsten chloride pulses is at least 450° C.
  • 11. The method of claim 1, wherein the substrate temperature during the reducing agent and tungsten chloride pulses is at least 500° C.
  • 12. The method of claim 1, further comprising depositing a bulk tungsten (W) layer on the multi-component tungsten-containing film.
  • 13. The method of claim 12, wherein the bulk W layer is deposited by a chemical vapor deposition (CVD) reaction between a tungsten chloride precursor and a reducing agent.
  • 14. The method of claim 12, wherein the bulk W layer is deposited directly on the multi-component tungsten-containing film without an intervening layer.
  • 15. The method of claim 1, wherein the multi-component tungsten-containing film is deposited directly on an insulating film.
  • 16. The method of claim 15, wherein the insulating film is an oxide or nitride film.
  • 17. The method of claim 1, wherein the method further comprises decomposition of the reducing agent to form a layer of B, Si, or Ge on the substrate.
  • 18. The method of claim 1, wherein the multi-component tungsten-containing film contains between 15% and 50% (atomic) B, Si, or Ge.
  • 19. The method of claim 1, wherein the multi-component tungsten-containing film is selected from the group consisting of WGex, WGexNy, WGexCy, WBxGeyNz, and WGexCyNz wherein x, y, and z are numbers greater than zero.
  • 20. A method of depositing tungsten on a substrate, the method comprising: pulsing a (Ge)-containing reducing agent; andpulsing a tungsten chloride precursor, wherein the tungsten chloride precursor is reduced by the Ge-containing reducing agent or a product thereof to form a multi-component tungsten-containing film containing between 5% and 60% (atomic) Ge, wherein the between 5% and 60% (atomic) Ge is provided by the Ge-containing reducing agent.
CROSS-REFERENCE TO RELATED APPLICATION

This application claims the benefit of priority under 35 U.S.C. § 119(e) to U.S. Provisional Application No. 62/115,012, titled “TUNGSTEN FOR WORDLINE APPLICATIONS,” filed Feb. 11, 2015, all of which is incorporated herein by reference in its entirety and for all purposes.

US Referenced Citations (278)
Number Name Date Kind
4746375 Iacovangelo May 1988 A
4804560 Shioya et al. Feb 1989 A
4874719 Kurosawa Oct 1989 A
4962063 Maydan et al. Oct 1990 A
5028565 Chang et al. Jul 1991 A
5227329 Kobayashi et al. Jul 1993 A
5250329 Miracky et al. Oct 1993 A
5250467 Somekh et al. Oct 1993 A
5308655 Eichman et al. May 1994 A
5326723 Petro et al. Jul 1994 A
5370739 Foster et al. Dec 1994 A
5391394 Hansen Feb 1995 A
5567583 Wang et al. Oct 1996 A
5633200 Hu May 1997 A
5661080 Hwang et al. Aug 1997 A
5726096 Jung Mar 1998 A
5795824 Hancock Aug 1998 A
5804249 Sukharev et al. Sep 1998 A
5817576 Tseng et al. Oct 1998 A
5833817 Tsai et al. Nov 1998 A
5913145 Lu et al. Jun 1999 A
5916634 Fleming et al. Jun 1999 A
5926720 Zhao et al. Jul 1999 A
5956609 Lee et al. Sep 1999 A
5963833 Thakur Oct 1999 A
5994749 Oda Nov 1999 A
6001729 Shinriki et al. Dec 1999 A
6017818 Lu Jan 2000 A
6034419 Nicholls et al. Mar 2000 A
6037263 Chang Mar 2000 A
6066366 Berenbaum et al. May 2000 A
6099904 Mak et al. Aug 2000 A
6107200 Takagi et al. Aug 2000 A
6143082 McInerney et al. Nov 2000 A
6174812 Hsiung et al. Jan 2001 B1
6206967 Mak et al. Mar 2001 B1
6245654 Shih et al. Jun 2001 B1
6260266 Tamaki Jul 2001 B1
6265312 Sidhwa et al. Jul 2001 B1
6277744 Yuan et al. Aug 2001 B1
6284316 Sandhu et al. Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6294468 Gould-Choquette et al. Sep 2001 B1
6297152 Itoh et al. Oct 2001 B1
6306211 Takahashi et al. Oct 2001 B1
6309964 Tsai et al. Oct 2001 B1
6309966 Govindarajan et al. Oct 2001 B1
6310300 Cooney et al. Oct 2001 B1
6340629 Yeo et al. Jan 2002 B1
6355558 Dixit et al. Mar 2002 B1
6404054 Oh et al. Jun 2002 B1
6429126 Herner et al. Aug 2002 B1
6465347 Ishizuka et al. Oct 2002 B2
6491978 Kalyanam Dec 2002 B1
6551929 Kori et al. Apr 2003 B1
6566250 Tu et al. May 2003 B1
6566262 Rissman et al. May 2003 B1
6581258 Yoneda et al. Jun 2003 B2
6593233 Miyazaki et al. Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6635965 Lee et al. Oct 2003 B1
6706625 Sudijono et al. Mar 2004 B1
6720261 Anderson et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6777331 Nguyen Aug 2004 B2
6797340 Fang et al. Sep 2004 B2
6844258 Fair et al. Jan 2005 B1
6861356 Matsuse et al. Mar 2005 B2
6902763 Elers et al. Jun 2005 B1
6903016 Cohen Jun 2005 B2
6905543 Fair et al. Jun 2005 B1
6908848 Koo Jun 2005 B2
6936538 Byun Aug 2005 B2
6939804 Lai et al. Sep 2005 B2
6962873 Park Nov 2005 B1
7005372 Levy et al. Feb 2006 B2
7141494 Lee et al. Nov 2006 B2
7157798 Fair et al. Jan 2007 B1
7211144 Lu et al. May 2007 B2
7220671 Simka et al. May 2007 B2
7235486 Kori et al. Jun 2007 B2
7262125 Wongsenakhum et al. Aug 2007 B2
7338900 Mizuno et al. Mar 2008 B2
7355254 Dana et al. Apr 2008 B2
7416979 Yoon et al. Aug 2008 B2
7419904 Kato Sep 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7465665 Xi et al. Dec 2008 B2
7465666 Kori et al. Dec 2008 B2
7501343 Byun et al. Mar 2009 B2
7501344 Byun et al. Mar 2009 B2
7563718 Kim Jul 2009 B2
7589017 Chan et al. Sep 2009 B2
7595263 Chung et al. Sep 2009 B2
7605083 Lai et al. Oct 2009 B2
7611990 Yoon et al. Nov 2009 B2
7655567 Gao et al. Feb 2010 B1
7674715 Kori et al. Mar 2010 B2
7675119 Taguwa Mar 2010 B2
7691749 Levy et al. Apr 2010 B2
7695563 Lu et al. Apr 2010 B2
7709385 Xi et al. May 2010 B2
7732327 Lee et al. Jun 2010 B2
7745329 Wang et al. Jun 2010 B2
7745333 Lai et al. Jun 2010 B2
7749815 Byun Jul 2010 B2
7754604 Wongsenakhum et al. Jul 2010 B2
7772114 Chan et al. Aug 2010 B2
7955972 Chan et al. Jun 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7977243 Sakamoto et al. Jul 2011 B2
8048805 Chan et al. Nov 2011 B2
8053365 Humayun et al. Nov 2011 B2
8058170 Chandrashekar et al. Nov 2011 B2
8062977 Ashtiani et al. Nov 2011 B1
8071478 Wu et al. Dec 2011 B2
8087966 Hebbinghaus et al. Jan 2012 B2
8101521 Gao et al. Jan 2012 B1
8110877 Mukherjee et al. Feb 2012 B2
8119527 Chandrashekar et al. Feb 2012 B1
8207062 Gao et al. Jun 2012 B2
8258057 Kuhn et al. Sep 2012 B2
8329576 Chan et al. Dec 2012 B2
8367546 Humayun et al. Feb 2013 B2
8409985 Chan et al. Apr 2013 B2
8409987 Chandrashekar et al. Apr 2013 B2
8551885 Chen et al. Oct 2013 B2
8623733 Chen et al. Jan 2014 B2
8709948 Danek et al. Apr 2014 B2
8853080 Guan et al. Oct 2014 B2
8975184 Chen et al. Mar 2015 B2
8993055 Rahtu et al. Mar 2015 B2
9034760 Chen et al. May 2015 B2
9076843 Lee et al. Jul 2015 B2
9153486 Arghavani et al. Oct 2015 B2
9159571 Humayun et al. Oct 2015 B2
9236297 Chen et al. Jan 2016 B2
9240347 Chandrashekar et al. Jan 2016 B2
9583385 Lee et al. Feb 2017 B2
9589808 Bamnolker et al. Mar 2017 B2
9613818 Ba et al. Apr 2017 B2
9653353 Chandrashekar et al. May 2017 B2
9673146 Chen et al. Jun 2017 B2
9754824 Schloss et al. Sep 2017 B2
20010007797 Jang et al. Jul 2001 A1
20010008808 Gonzalez Jul 2001 A1
20010014533 Sun Aug 2001 A1
20010015494 Ahn Aug 2001 A1
20010044041 Badding et al. Nov 2001 A1
20020037630 Agarwal et al. Mar 2002 A1
20020090796 Desai et al. Jul 2002 A1
20020090811 Kim et al. Jul 2002 A1
20020117399 Chen et al. Aug 2002 A1
20020132472 Park Sep 2002 A1
20020155722 Satta et al. Oct 2002 A1
20020168840 Hong et al. Nov 2002 A1
20020177316 Miller et al. Nov 2002 A1
20020190379 Jian et al. Dec 2002 A1
20030013300 Byun Jan 2003 A1
20030059980 Chen et al. Mar 2003 A1
20030082902 Fukui et al. May 2003 A1
20030091870 Bhowmik et al. May 2003 A1
20030104126 Fang et al. Jun 2003 A1
20030123216 Yoon et al. Jul 2003 A1
20030127043 Lu et al. Jul 2003 A1
20030129828 Cohen et al. Jul 2003 A1
20030190802 Wang et al. Oct 2003 A1
20030194850 Lewis et al. Oct 2003 A1
20030224217 Byun et al. Dec 2003 A1
20040014315 Lai et al. Jan 2004 A1
20040044127 Okubo et al. Mar 2004 A1
20040142557 Levy et al. Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040202786 Wongsenakhum Oct 2004 A1
20040206267 Sambasivan et al. Oct 2004 A1
20040247788 Fang et al. Dec 2004 A1
20050009325 Chung et al. Jan 2005 A1
20050031786 Lee et al. Feb 2005 A1
20050059236 Nishida et al. Mar 2005 A1
20050136594 Kim Jun 2005 A1
20050179141 Yun et al. Aug 2005 A1
20050191803 Matsuse et al. Sep 2005 A1
20060003581 Johnston et al. Jan 2006 A1
20060040052 Fang et al. Feb 2006 A1
20060075966 Chen et al. Apr 2006 A1
20060094238 Levy et al. May 2006 A1
20060145190 Salzman et al. Jul 2006 A1
20060211244 Deshpande et al. Sep 2006 A1
20060284317 Ito et al. Dec 2006 A1
20070087560 Kwak et al. Apr 2007 A1
20070099420 Dominquez et al. May 2007 A1
20070190780 Chung et al. Aug 2007 A1
20070264105 Pharand et al. Nov 2007 A1
20080017891 Datta et al. Jan 2008 A1
20080045010 Wongsenakhum et al. Feb 2008 A1
20080081127 Thompson et al. Apr 2008 A1
20080081452 Kim et al. Apr 2008 A1
20080081453 Kim et al. Apr 2008 A1
20080124926 Chan et al. May 2008 A1
20080254619 Lin et al. Oct 2008 A1
20080254623 Chan et al. Oct 2008 A1
20080280438 Lai et al. Nov 2008 A1
20080283844 Hoshi et al. Nov 2008 A1
20090045517 Sugiura et al. Feb 2009 A1
20090050937 Murata et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090137117 Park et al. May 2009 A1
20090142509 Yamamoto Jun 2009 A1
20090149022 Chan et al. Jun 2009 A1
20090160030 Tuttle Jun 2009 A1
20090163025 Humayun et al. Jun 2009 A1
20090315154 Kirby et al. Dec 2009 A1
20100007797 Stojancic Jan 2010 A1
20100035427 Chan et al. Feb 2010 A1
20100055904 Chen et al. Mar 2010 A1
20100062149 Ma et al. Mar 2010 A1
20100072623 Prindle et al. Mar 2010 A1
20100120245 Tjandra May 2010 A1
20100130002 Dao et al. May 2010 A1
20100130003 Lin et al. May 2010 A1
20100144140 Chandrashekar et al. Jun 2010 A1
20100155846 Mukherjee et al. Jun 2010 A1
20100159694 Chandrashekar et al. Jun 2010 A1
20100244141 Beyer et al. Sep 2010 A1
20100244260 Hinomura Sep 2010 A1
20100267230 Chandrashekar et al. Oct 2010 A1
20100267235 Chen et al. Oct 2010 A1
20100273327 Chan et al. Oct 2010 A1
20100330800 Ivanov et al. Dec 2010 A1
20110059608 Gao et al. Mar 2011 A1
20110151670 Lee et al. Jun 2011 A1
20110156154 Hoentschel et al. Jun 2011 A1
20110221044 Danek et al. Sep 2011 A1
20110223763 Chan et al. Sep 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110236594 Haverkamp et al. Sep 2011 A1
20110281438 Lee et al. Nov 2011 A1
20120009785 Chandrashekar et al. Jan 2012 A1
20120015518 Chandrashekar et al. Jan 2012 A1
20120040530 Humayun et al. Feb 2012 A1
20120077342 Gao et al. Mar 2012 A1
20120164832 Chandrashekar et al. Jun 2012 A1
20120199887 Chan et al. Aug 2012 A1
20120225192 Yudovsky et al. Sep 2012 A1
20120231626 Lee et al. Sep 2012 A1
20120244699 Khandelwal et al. Sep 2012 A1
20120294874 Macary et al. Nov 2012 A1
20130043554 Piper Feb 2013 A1
20130062677 Li et al. Mar 2013 A1
20130109172 Collins et al. May 2013 A1
20130161203 Mayer Jun 2013 A1
20130168864 Lee et al. Jul 2013 A1
20130171822 Chandrashekar et al. Jul 2013 A1
20130285195 Piper Oct 2013 A1
20130302980 Chandrashekar et al. Nov 2013 A1
20140011358 Chen et al. Jan 2014 A1
20140027664 Lei et al. Jan 2014 A1
20140030889 Chen et al. Jan 2014 A1
20140061784 Kang Mar 2014 A1
20140061931 Kang Mar 2014 A1
20140073135 Guan et al. Mar 2014 A1
20140154883 Humayun et al. Jun 2014 A1
20140162451 Chen et al. Jun 2014 A1
20140308812 Arghavani et al. Oct 2014 A1
20140319614 Paul et al. Oct 2014 A1
20150037972 Danek et al. Feb 2015 A1
20150056803 Chandrashekar et al. Feb 2015 A1
20150179461 Bamnolker et al. Jun 2015 A1
20150279732 Lee et al. Oct 2015 A1
20160118345 Chen et al. Apr 2016 A1
20160190008 Chandrashekar et al. Jun 2016 A1
20160233220 Danek et al. Aug 2016 A1
20160293467 Caveney et al. Oct 2016 A1
20160336222 Knapp et al. Nov 2016 A1
20160351401 Ba et al. Dec 2016 A1
20160351444 Schloss et al. Dec 2016 A1
20170117155 Bamnolker et al. Apr 2017 A1
20170133231 Bamnolker et al. May 2017 A1
Foreign Referenced Citations (69)
Number Date Country
101899649 Dec 2010 CN
101952945 Jan 2011 CN
103125013 May 2013 CN
0 437 110 Jul 1991 EP
1 156 132 Nov 2001 EP
1 179 838 Feb 2002 EP
S5629648 Mar 1981 JP
H2-187031 Jul 1990 JP
H4-142061 May 1992 JP
H5-226280 Sep 1993 JP
H7-147321 Jun 1995 JP
H07-226393 Aug 1995 JP
08-115984 May 1996 JP
09-022896 Jan 1997 JP
09-027596 Jan 1997 JP
H10-144688 May 1998 JP
H10-163132 Jun 1998 JP
11-330006 Nov 1999 JP
2000-208516 Jul 2000 JP
2000-235962 Aug 2000 JP
2001-525889 Dec 2001 JP
2002-016066 Jan 2002 JP
2002-124488 Apr 2002 JP
2003-193233 Jul 2003 JP
2004-235456 Aug 2004 JP
2004-273764 Sep 2004 JP
2005-029821 Feb 2005 JP
2005-518088 Jun 2005 JP
2007-009298 Jan 2007 JP
2007-027627 Feb 2007 JP
2007-027680 Feb 2007 JP
2007-507892 Mar 2007 JP
2007-520052 Jul 2007 JP
2007-250907 Sep 2007 JP
2007-251164 Sep 2007 JP
2008-016803 Jan 2008 JP
2008-060603 Mar 2008 JP
2008-091844 Apr 2008 JP
2008-283220 Nov 2008 JP
2009-024252 Feb 2009 JP
2009-144242 Jul 2009 JP
2009-533877 Sep 2009 JP
2009-540123 Nov 2009 JP
2010-251760 Nov 2010 JP
2011-035366 Feb 2011 JP
10-2002-0049730 Jun 2002 KR
10-2005-0022261 Mar 2005 KR
10-2005-0087428 Aug 2005 KR
10-2006-0087844 Aug 2006 KR
10-2007-705936 Apr 2007 KR
10-2008-0036679 Apr 2008 KR
10-2008-0110897 Dec 2008 KR
10-2009-0103815 Oct 2009 KR
310461 Jul 1997 TW
452607 Sep 2001 TW
WO 9851838 Nov 1998 WO
WO 0127347 Apr 2001 WO
WO 0129893 Apr 2001 WO
WO 0241379 May 2002 WO
WO 03029515 Apr 2003 WO
WO 2005027211 Mar 2005 WO
WO 2005034223 Apr 2005 WO
WO 2007121249 Oct 2007 WO
WO 2007146537 Dec 2007 WO
WO 2010025357 Mar 2010 WO
WO 2011119293 Sep 2011 WO
WO 2013148444 Oct 2013 WO
WO 2013148880 Oct 2013 WO
WO 2014058536 Apr 2014 WO
Non-Patent Literature Citations (220)
Entry
U.S. Appl. No. 14/723,270, filed May 27, 2015, entitled “Deposition of Low Fluorine Tungsten by Sequential CVD Process,”.
U.S. Appl. No. 13/758,928, filed Feb. 4, 2013, entitled “Methods for Forming All Tungsten Contacts and Lines.”.
U.S. Appl. No. 14/989,444, filed Jan. 6, 2016, entitled “Low Tempature Tungsten Film Deposition for Small Critical Dimension Contacts and Interconnects.”.
U.S. Appl. No. 14/965,806, filed Dec. 10, 2015, entitled “Tungsten Feature Fill.”.
U.S. Office Action, dated Apr. 7, 2014, issued in U.S. Appl. No. 13/633,502.
U.S. Final Office Action, dated Nov. 5, 2014, issued in U.S. Appl. No. 13/633,502.
U.S. Notice of Allowance, dated Mar. 2, 2015, issued in U.S. Appl. No. 13/633,502.
U.S. Notice of Allowance (Supplemental Notice of Allowability), dated Apr. 16, 2015, issued in U.S. Appl. No. 13/633,502.
U.S. Office Action, dated Jan. 12, 2016, issued in U.S. Appl. No. 14/738,685.
U.S. Office Action, dated May 30, 2014, issued in U.S. Appl. No. 13/862,048.
U.S. Final Office Action, dated Oct. 16, 2014, issued in U.S. Appl. No. 13/862,048.
U.S. Notice of Allowance, dated Apr. 28, 2015, issued in U.S. Appl. No. 13/862,048.
U.S. Notice of Allowance, dated Jun. 17, 2015, issued in U.S. Appl. No. 13/862,048.
U.S. Office Action, dated May 6, 2015, issued in U.S. Appl. No. 14/135,375.
U.S. Final Office Action, dated Sep. 29, 2015, issued in U.S. Appl. No. 14/135,375.
U.S. Office Action, dated Dec. 11, 2014, issued in U.S. Appl. No. 14/173,733.
U.S. Notice of Allowance, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/173,733.
U.S. Office Action, dated Jul. 17, 2002, issued in U.S. Appl. No. 09/975,074.
U.S. Notice of Allowance, dated Mar. 12, 2003, issued in U.S. Appl. No. 09/975,074.
U.S. Office Action, dated Feb. 8, 2005, issued in U.S. Appl. No. 10/649,351.
U.S. Final Office Action, dated Jul. 14, 2005, issued in U.S. Appl. No. 10/649,351.
U.S. Office Action, dated Dec. 30, 2005, issued in U.S. Appl. No. 10/649,351.
U.S. Notice of Allowance, dated Jul. 21, 2006, issued in U.S. Appl. No. 10/649,351.
U.S. Office Action, dated Jun. 22, 2004, issued in U.S. Appl. No. 10/435,010.
U.S. Notice of Allowance, dated Oct. 7, 2004, issued in U.S. Appl. No. 10/435,010.
U.S. Notice of Allowance, dated Jan. 19, 2005, issued in U.S. Appl. No. 10/435,010.
U.S. Office Action, dated Nov. 23, 2005, issued in U.S. Appl. No. 10/984,126.
U.S. Final Office Action, dated May 17, 2006, issued in U.S. Appl. No. 10/984,126.
U.S. Notice of Allowance, dated Aug. 25, 2006, issued in U.S. Appl. No. 10/984,126.
U.S. Office Action, dated Mar. 23, 2005, issued in U.S. Appl. No. 10/690,492.
U.S. Notice of Allowance, dated Sep. 14, 2005, issued in U.S. Appl. No. 10/690,492.
U.S. Office Action, dated Jun. 27, 2008, issued in U.S. Appl. No. 11/305,368.
U.S. Office Action, dated Apr. 3, 2009, issued in U.S. Appl. No. 11/305,368.
U.S. Notice of Allowance, dated Nov. 17, 2009, issued in U.S. Appl. No. 11/305,368.
U.S. Office Action, dated Jul. 12, 2005, issued in U.S. Appl. No. 10/815,560.
U.S. Final Office Action, dated Dec. 28, 2005, issued in U.S. Appl. No. 10/815,560.
U.S. Office Action, dated Apr. 17, 2006, issued in U.S. Appl. No. 10/815,560.
U.S. Office Action, dated Sep. 28, 2006, issued in U.S. Appl. No. 10/815,560.
U.S. Notice of Allowance, dated Apr. 24, 2007, issued in U.S. Appl. No. 10/815,560.
U.S. Office Action, dated Aug. 21, 2008, issued in U.S. Appl. No. 11/265,531.
U.S. Final Office Action, dated Feb. 26, 2009, issued in U.S. Appl. No. 11/265,531.
U.S. Notice of Allowance, dated May 4, 2009, issued in U.S. Appl. No. 11/265,531.
U.S. Office Action, dated Nov. 23, 2010, issued in U.S. Appl. No. 12/538,770.
U.S. Notice of Allowance, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/538,770.
U.S. Office Action, dated Oct. 16, 2008, issued in U.S. Appl. No. 11/349,035.
U.S. Final Office Action, dated Feb. 25, 2009, issued in U.S. Appl. No. 11/349,035.
U.S. Office Action, dated Jun. 4, 2009, issued in U.S. Appl. No. 11/349,035.
U.S. Final Office Action, dated Nov. 20, 2009, issued in U.S. Appl. No. 11/349,035.
U.S. Notice of Allowance, dated Mar. 2, 2010, issued in U.S. Appl. No. 11/349,035.
U.S. Office Action, dated Sep. 29, 2008, issued in U.S. Appl. No. 11/782,570.
U.S. Final Office Action, dated Apr. 28, 2009, issued in U.S. Appl. No. 11/782,570.
U.S. Notice of Allowance, dated Sep. 17, 2009, issued in U.S. Appl. No. 11/782,570.
U.S. Office Action, dated Jan. 25, 2011, issued in U.S. Appl. No. 12/636,616.
U.S. Final Office Action, dated Jun. 15, 2011, issued in U.S. Appl. No. 12/636,616.
U.S. Notice of Allowance, dated Sep. 30, 2011, issued in U.S. Appl. No. 12/636,616.
U.S. Office Action, dated Jun. 24, 2009, issued in U.S. Appl. No. 12/030,645.
U.S. Final Office Action, dated Jan. 13, 2010, issued in U.S. Appl. No. 12/030,645.
U.S. Final Office Action, dated Jul. 23, 2010, issued in U.S. Appl. No. 12/030,645.
U.S. Notice of Allowance and Fee Due, dated Jan. 24, 2011, issued in U.S. Appl. No. 12/030,645.
U.S. Office Action, dated Aug. 6, 2012, issued in U.S. Appl. No. 13/095,734.
Notice of Allowance dated Dec. 3, 2012, issued in U.S. Appl. No. 13/095,734.
U.S. Office Action, dated Aug. 5, 2009, issued in U.S. Appl. No. 11/951,236.
U.S. Final Office Action, dated Jan. 26, 2010 from U.S. Appl. No. 11/951,236.
U.S. Notice of Allowance, dated Apr. 6, 2010, issued in U.S. Appl. No. 11/951,236.
U.S. Office Action, dated Jun. 30, 2011, issued in U.S. Appl. No. 12/829,119.
U.S. Final Office Action, dated Nov. 17, 2011, issued in U.S. Appl. No. 12/829,119.
U.S. Office Action, dated Apr. 19, 2012, issued in U.S. Appl. No. 12/829,119.
U.S. Notice of Allowance, dated Aug. 7, 2012, issued in U.S. Appl. No. 12/829,119.
U.S. Office Action, dated Jun. 11, 2009, issued in U.S. Appl. No. 11/963,698.
U.S. Final Office Action, dated Dec. 9, 2009, issued in U.S. Appl. No. 11/963,698.
U.S. Office Action, dated Jun. 11, 2010, issued in U.S. Appl. No. 11/963,698.
U.S. Final Office Action, dated Dec. 30, 2010, issued in U.S. Appl. No. 11/963,698.
U.S. Notice of Allowance, dated Sep. 2, 2011, issued in U.S. Appl. No. 11/963,698.
U.S. Office Action, dated Apr. 16, 2012, issued in U.S. Appl. No. 13/276,170.
U.S. Notice of Allowance, dated Oct. 4, 2012, issued in U.S. Appl. No. 13/276,170.
U.S. Notice of Allowance, dated Jul. 25, 2011, issued in U.S. Appl. No. 12/363,330.
U.S. Office Action dated Oct. 21, 2009, issued in U.S. Appl. No. 12/202,126.
U.S. Final Office Action, dated May 7, 2010, issued in U.S. Appl. No. 12/202,126.
U.S. Office Action, dated Jul. 26, 2010 issued in U.S. Appl. No. 12/202,126.
U.S. Final Office Action, dated Feb. 7, 2011, issued in U.S. Appl. No. 12/202,126.
U.S. Office Action, dated Jan. 7, 2013, issued in U.S. Appl. No. 12/202,126.
U.S. Notice of Allowance, dated Jun. 7, 2013, issued in U.S. Appl. No. 12/202,126.
U.S. Office Action, dated May 3, 2010, issued in U.S. Appl. No. 12/407,541.
U.S. Final Office Action, dated Oct. 19, 2010, issued in U.S. Appl. No. 12/407,541.
U.S. Office Action, dated May 2, 2011, issued in U.S. Appl. No. 12/407,541.
U.S. Notice of Allowance, dated Sep. 19, 2011, issued in U.S. Appl. No. 12/407,541.
U.S. Office Action, dated Mar. 6, 2012, issued in U.S. Appl. No. 13/244,016
U.S. Notice of Allowance dated Nov. 29, 2012, issued in U.S. Appl. No. 13/244,016.
U.S. Office Action, dated Jun. 14, 2011, issued in U.S. Appl. No. 12/556,490.
U.S. Notice of Allowance, dated Mar. 2, 2012, issued in U.S. Appl. No. 12/556,490.
U.S. Office Action, dated May 13, 2011, issued in U.S. Appl. No. 12/755,248.
U.S. Office Action, dated Oct. 28, 2011, issued in U.S. Appl. No. 12/755,248.
U.S. Final Office Action, dated Apr. 30, 2012, issued in U.S. Appl. No. 12/755,248.
U.S. Office Action, dated Feb. 15, 2013, issued in U.S. Appl. No. 12/755,248.
U.S. Office Action dated Dec. 18, 2012, issued in U.S. Appl. No. 12/723,532.
U.S. Office Action dated Jul. 18, 2013, issued in U.S. Appl. No. 12/723,532.
U.S. Notice of Allowance dated Dec. 24, 2013, issued in U.S. Appl. No. 12/723,532.
U.S. Office Action, dated Feb. 16, 2012, issued in U.S. Appl. No. 12/755,259.
U.S. Final Office Action, dated Sep. 12, 2012, issued in U.S. Appl. No. 12/755,259.
U.S. Notice of Allowance, dated Jul. 10, 2013, issued in U.S. Appl. No. 12/755,259.
U.S. Notice of Allowance dated Sep. 4, 2013 issued in U.S. Appl. No. 12/755,259.
U.S. Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/097,160.
U.S. Final Office Action, dated Jun. 2, 2015, issued in U.S. Appl. No. 14/097,160.
U.S. Notice of Allowance, dated Sep. 9, 2015, issued in U.S. Appl. No. 14/097,160.
U.S. Office Action, dated May 10, 2012, issued in U.S. Appl. No. 13/020,748.
U.S. Final Office Action, dated Nov. 16, 2012, issued in U.S. Appl. No. 13/020,748.
U.S. Office Action, dated Feb. 24, 2014, issued in U.S. Appl. No. 13/020,748.
U.S. Final Office Action, dated Jul. 2, 2014, issued in U.S. Appl. No. 13/020,748.
U.S. Office Action, dated Jan. 15, 2015, issued in U.S. Appl. No. 13/774,350.
U.S. Office Action, dated Oct. 8, 2015, issued in U.S. Appl. No. 13/774,350.
U.S. Office Action, dated Dec. 23, 2014, issued in U.S. Appl. No. 13/851,885.
U.S. Office Action, dated Dec. 18, 2014, issued in U.S. Appl. No. 14/502,817.
U.S. Final Office Action, dated Jul. 17, 2015, issued in U.S. Appl. No. 14/502,817.
U.S. Notice of Allowance, dated Sep. 25, 2015, issued in U.S. Appl. No. 14/502,817.
U.S. Office Action, dated Sep. 18, 2014, issued in U.S. Appl. No. 13/928,216.
U.S. Notice of Allowance, dated Jan. 22, 2015, issued in U.S. Appl. No. 13/928,216.
U.S. Office Action, dated Jun. 20, 2013, issued in U.S. Appl. No. 13/560,688.
U.S. Final Office Action, dated Feb. 14, 2014, issued in U.S. Appl. No. 13/560,688.
U.S. Notice of Allowance, dated Nov. 4, 2014, issued in U.S. Appl. No. 13/560,688.
U.S. Office Action, dated May 29, 2015, issued in U.S. Appl. No. 13/949,092.
U.S. Final Office Action, dated Jan. 14, 2016, issued in U.S. Appl. No. 13/949,092.
U.S. Office Action, dated Jun. 14, 2013, issued in U.S. Appl. No. 13/633,798.
U.S. Final Office Action, dated Nov. 26, 2013, issued in U.S. Appl. No. 13/633,798.
U.S. Notice of Allowance, dated May 23, 2014, issued in U.S. Appl. No. 13/633,798.
PCT Search Report and Written Opinion, dated Jan. 19, 2005, issued in PCT/US2004/006940.
Korean First Notification of Provisional Rejection, dated Dec. 8, 2010, issued in Application No. 2004-0036346.
Korean Office Action, dated Jun. 13, 2011, issued in Application No. 2011-0032098.
Korean Office Action, dated Nov. 24, 2010, issued in Application No. KR 10-2004-0013210.
Korean Office Action, dated Mar. 28, 2013, issued in Application No. KR 10-2007-0012027.
Japanese Office Action dated May 7, 2013, issued in Application No. JP 2008-310322.
Japanese Office Action dated Sep. 3, 2013, issued in Application No. JP 2008-325333.
PCT International Search Report and Written Opinion, dated Apr. 12, 2010, issued in PCT/US2009/055349.
PCT International Preliminary Report on Patentability and Written Opinion, dated Mar. 10, 2011, issued in PCT/US2009/055349.
Chinese First Office Action dated Sep. 18, 2012 issued in Application No. 200980133560.1.
Chinese Second Office Action dated Aug. 7, 2013 issued in Application No. 200980133560.1.
Chinese Third Office Action dated Apr. 22, 2014 issued in Application No. 200980133560.1.
Chinese Fourth Office Action dated Jan. 5, 2015 issued in Application No. 200980133560.1.
Chinese Fifth Office Action dated May 5, 2015 issued in Application No. 200980133560.1.
Japanese Office Action dated Dec. 3, 2013 issued in Application No. 2011-525228.
Korean Office Action dated Sep. 6, 2012 issued in Application No. 2011-7004322.
Korean Office Action dated Jul. 19, 2013 issued in Application No. 2011-7004322.
Korean Office Action dated Nov. 4, 2013 issued in Application No. 10-2013-7027117.
Korean Office Action dated Jun. 17, 2014 issued in Application No. 10-2013-7027117.
Japanese Office Action dated Jun. 17, 2014 issued in Application No. JP 2010-055163.
Korean Office Action dated Mar. 21, 2013 issued in KR Application No. 10-2010-0024905.
Taiwan Office Action dated Jun. 8, 2015 issued in TW 099107504.
Korean Notification of Provisional Rejection dated Jul. 17, 2012, issued in Application No. 2010-0087997.
Taiwan Office Action and Search Report dated Feb. 12, 2015 issued in TW 099130354.
Taiwan Office Action (Rejection Decision) dated Oct. 28, 2015 issued in TW 099130354.
Japanese Office Action dated Mar. 4, 2014 issued in JP 2010-093522.
Korean Office Action dated Mar. 4, 2013 in KR Application No. 2010-0035449.
Taiwan Office Action dated Dec. 27, 2014 issued in TW 099111860.
Japanese Office Action dated Jul. 29, 2014 issued in JP 2010-093544.
Korean Second Office Action dated Jan. 25, 2014 in KR Application No. 10-2010-0035453.
Korean First Office Action dated Jul. 10, 2015 issued in KR Application No. 10-2014-0090283.
Taiwan Office Action dated Aug. 4, 2015 issued in TW Application No. 099111859.
PCT International Search Report and Written Opinion, dated Jun. 28, 2013, issued in PCT/US2013/033174.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/033174.
PCT International Search Report and Written Opinion, dated Jul. 26, 2013, issued in PCT/US2013/034167.
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 9, 2014, issued in PCT/US2013/034167.
Chinese Office Action [no translation] dated Sep. 6, 2015 issued in CN 201310320848.8.
Becker, Jill (Apr. 7, 2003) “Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia,” Applied Physics Letters, 82(14):2239-2241, [Retrieved online Dec. 13, 2013 at http://dx.doi.org/10.1063/1.1565699].
Bell et al. (Jan. 1996) “Batch Reactor Kinetic Studies of Tungsten LPCVD from Silane and Tungsten Hexafluoride”, J. Electrochem. Soc., 143(1):296-302.
Collins et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten for Plugfill of High Aspect Ratio Contacts,” Presentation made at Semicon Korea, 9 pages.
Diawara, Y. et al. (1993) “Rapid thermal annealing for reducing stress in tungsten x-ray mask absorber,” http://dx.doi.org/10.1116/1.586673, Journal of Vacuum Science & Technology B 11:296-300 (per table of contents of journal).
Elam et al. (2001) “Nucleation and Growth During Tungsten Atomic Layer Deposition on SiO2 Surfaces,” Thin Solid Films, 13pp.
Fair, James A. (1983) Presentation by Inventor “Chemical Vapor Deposition of Refractory Metal Silicides,” Genus Incorporated, 27 pp.
George et al. (1996) “Surface Chemistry for atomic Layer Growth”,J. Phys. Chem, 100(31):13121-13131.
Gonohe, Narishi (2002) “Tungsten Nitride Deposition by Thermal Chemical Vapor Deposition as Barrier Metal for Cu Interconnection,” [http://www.jim.co.jp/journal/e/pdf3/43/07/1585.pdf.], Materials Transactions, 43(7):1585-1592.
Hoover, Cynthia (Jul. 2007) “Enabling Materials for Contact Metallization,” Praxair Electronic Materials R&D, pp. 1-16.
Klaus et al. (2000) “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction,” Thin Solid Films 360:145-153.
Klaus et al. (2000) “Atomically Controlled Growth of Tungsten and Tungsten Nitride Using Sequential Surface Reactions,” Applied Surface Science, pp. 162-163, 479-491.
Lai, Ken et al. (Jul. 17, 2000) “Tungsten chemical vapor deposition using tungsten hexacarbonyl: microstructure of as-deposited and annealed films,” [http://dx.doi.org/10.1016/S0040-6090(00)00943-3], Thin Solid Films, 370:114-121.
Lai, Ken K. and Lamb, H. Henry (1995) “Precursors for Organometallic Chemical Vapor Deposition of Tungsten Carbide Films,” Chemistry Material, 7(12):2284-2292.
Lee et al. (Jan. 21, 2003) “Pulsed Deposition of Ultra Thin Tungsten and its Application for Plugfill of High Aspect Ratio Contacts,” Abstract, 1 page.
Li et al. (2002) “Deposition of WNxCy Thin Films by ALCVD™ Method for Diffusion Barriers in Metallization,” IITC Conference Report, 3 pp.
Manik. P, et al. (2012) “Fermi-level unpinning and low resistivity in contacts to n-type Ge with a thin ZnO interfacial layer,” App. Phys. Lett. 101:182105-5.
Saito et al. (2001) “A Novel Copper Interconnection Technology Using Self Aligned Metal Capping Method,” IEEE, 3pp.
Shioya, Yoshimi et al. (Dec. 1, 1985) “Analysis of stress in chemical vapor deposition tungsten silicide film,” [Retrieved online Dec. 18, 2013 at http://dx.doi.org/10.1063/1.335552], Journal of Applied Physics, 58(11):4194-4199.
U.S. Appl. No. 14/723,275, filed May 27, 2015, entitled “Tungsten Films Having Low Fluorine Content.”.
U.S. Final Office Action, dated Jul. 25, 2016, issued in U.S. Appl. No. 14/738,685.
U.S. Notice of Allowance, dated Oct. 13, 2016, issued in U.S. Appl. No. 14/738,685.
U.S. Office Action, dated Jan. 21, 2016, issued in U.S. Appl. No. 14/135,375.
U.S. Final Office Action, dated May 31, 2016, issued in U.S. Appl. No. 14/135,375.
U.S. Notice of Allowance, dated Oct. 25, 2016, issued in U.S. Appl. No. 14/135,375.
U.S. Office Action, dated Feb. 1, 2016, issued in U.S. Appl. No. 14/723,275.
U.S. Office Action, dated Jul. 28, 2016, issued in U.S. Appl. No. 14/723,275.
U.S. Office Action, dated Jul. 12, 2016, issued in U.S. Appl. No. 14/723,270.
U.S. Notice of Allowance, dated Nov. 18, 2016, issued in U.S. Appl. No. 14/723,270.
U.S. Office Action, dated Jul. 7, 2016, issued in U.S. Appl. No. 14/989,444.
U.S. Office Action, dated Jun. 2, 2016, issued in U.S. Appl. No. 13/774,350.
U.S. Notice of Allowance, dated Aug. 3, 2016, issued in U.S. Appl. No. 13/851,885.
U.S. Office Action, dated Sep. 2, 2016, issued in U.S. Appl. No. 14/965,806.
U.S. Office Action, dated Sep. 19, 2016, issued in U.S. Appl. No. 13/949,092.
Chinese First Office Action dated Feb. 26, 2016, issued in CN 201380022648.2.
Chinese First Office Action dated Mar. 18, 2016 issued in Application No. CN 201380022693.8.
Taiwan Office Action dated Oct. 25, 2016 issued in Application No. TW 102110947.
Taiwan Examination Report dated Oct. 26, 2016 issued in Application No. TW 102126976.
Chinese First Office Action dated Sep. 6, 2015 issued in Application No. CN 201310320848.8.
Chinese Second Office Action dated May 16, 2016 issued in Application No. CN 201310320848.8.
Taiwan Examination Report dated Oct. 26, 2016 issued in Application No. TW 102126696.
U.S. Final Office Action, dated Jan. 20, 2017, issued in U.S. Appl. No. 14/723,275.
U.S. Notice of Allowance, dated May 4, 2017, issued in U.S. Appl. No. 14/723,275.
U.S. Office Action, dated Jul. 20, 2017, issued in U.S. Appl. No. 15/398,462.
U.S. Notice of Allowance, dated Jan. 20, 2017, issued in U.S. Appl. No. 14/989,444.
U.S. Notice of Allowance, dated Dec. 14, 2016, issued in U.S. Appl. No. 13/851,885.
U.S. Notice of Allowance, dated Mar. 24, 2017, issued in U.S. Appl. No. 13/851,885.
U.S. Final Office Action, dated Apr. 14, 2017, issued in U.S. Appl. No. 14/965,806.
U.S. Office Action, dated Sep. 11, 2017, issued in U.S. Appl. No. 14/965,806.
U.S. Final Office Action, dated May 18, 2017, issued in U.S. Appl. No. 13/949,092.
Taiwan Examination Report, dated Jun. 22, 2017, issued in Application No. TW 103113287.
Chinese First Office Action dated Jun. 2, 2017 issued in Application No. CN 201410856793.7.
Taiwan Search Report dated Nov. 30, 2016 issued in Application No. TW 099130354.
Taiwan Office Action dated Jan. 10, 2017 issued in Application No. TW 105105984.
Chinese Second Office Action dated Jan. 23, 2017 issued in Application No. CN 201380022693.8.
Chinese Third Office Action dated Sep. 25, 2017 issued in Application No. CN 201380022693.8.
Japanese Notification of Reasons for Rejection dated Dec. 20, 2016 issued in Application No. JP 2015-503547.
Taiwan Examination Report dated Dec. 26, 2016 issued in Application No. TW 102123248.
Taiwan Examination Report dated Mar. 16, 2017 issued in Application No. TW 102132433.
U.S. Notice of Allowance, dated Jan. 12, 2018, issued in U.S. Appl. No. 13/949,092.
Related Publications (1)
Number Date Country
20160233220 A1 Aug 2016 US
Provisional Applications (1)
Number Date Country
62115012 Feb 2015 US