Hermetic low dielectric constant layer for barrier applications

Information

  • Patent Grant
  • 7288205
  • Patent Number
    7,288,205
  • Date Filed
    Friday, July 9, 2004
    19 years ago
  • Date Issued
    Tuesday, October 30, 2007
    16 years ago
Abstract
Methods and apparatus are provided for processing a substrate with a hermetic dielectric layer. In one aspect, the invention provides a method for processing a substrate including providing the substrate to a processing chamber, introducing a processing gas comprising a reducing agent, an oxygen containing compound, and an organosilicon compound, into the processing chamber, generating a plasma from a dual frequency RF power source, and depositing a dielectric material comprising silicon, carbon, and oxygen. The dielectric material may be used as an etch stop, an anti-reflective coating, or a passivation layer.
Description
BACKGROUND OF THE DISCLOSURE

1. Field of the Invention


The invention relates to the fabrication of integrated circuits, more specifically to a process for depositing dielectric layers on a substrate, and to the structures formed by the dielectric layer.


2. Description of the Related Art


Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices that will fit on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.35 μm and even 0.18 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.


To further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and to use insulators having low dielectric constants (dielectric constants of less than 4.0) to also reduce the capacitive coupling between adjacent metal lines. One such low k material is silicon oxycarbide deposited by a chemical vapor deposition process and silicon carbide, both of which may be used as dielectric materials in fabricating damascene features.


One conductive material having a low resistivity is copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm for copper compared to 3.1 μΩ-cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.


One difficulty in using copper in semiconductor devices is that copper is difficult to etch and achieve a precise pattern. Etching with copper using traditional deposition/etch processes for forming interconnects has been less than satisfactory. Therefore, new methods of manufacturing interconnects having copper containing materials and low k dielectric materials are being developed.


One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method. In the damascene method, one or more dielectric materials, such as the low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, e.g., vias, and horizontal interconnects, e.g., lines. Conductive materials, such as copper containing materials, and other materials, such as barrier layer materials used to prevent diffusion of copper containing materials into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess copper containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.


However, low k dielectric materials are often porous and susceptible to interlayer diffusion of conductive materials, such as copper, which can result in the formation of short-circuits and device failure. A dielectric barrier layer material is often disposed between the copper material and surrounding the low k material to prevent interlayer diffusion. However, traditional dielectric barrier layer materials, such as silicon nitride, often have high dielectric constants of 7 or greater. The combination of such a high k dielectric material with surrounding low k dielectric materials results in dielectric stacks having a higher than desired dielectric constant.


Further when silicon oxycarbide layers or silicon carbide layers are used as the low k material in damascene formation, it has been difficult to produced aligned features with little or no defects. It as been observed that resist materials deposited on the silicon oxycarbide layers or the silicon carbide layers may be contaminated with nitrogen deposited with those layers or nitrogen that diffuses through those layers. For example, reaction of organosilicon compounds with nitrous oxide can contaminate the silicon oxycarbide layer with nitrogen or the nitrogen in nitrogen-doped silicon carbide layers may diffuse through adjacent layers as amine radicals (—NH2) to react with the resist materials.


Resist materials contaminated with nitrogen becomes less sensitive to radiation. The decrease in the sensitivity to radiation is referred to as “resist poisoning”. Any resist material that is not sensitive to radiation is not removed by subsequent resist stripping processes and remains as residue. The remaining residue of resist material is referred to as “footing”. This residue can result in detrimentally affecting subsequent etching processes and result in misaligned and malformed features.


One potential solution, the use of nitrogen free barrier layers have not performed as well as expected to provide suitable replacement for nitrogen containing barrier layers. For example, nitrogen free barrier layers have exhibited a loss of hermeticity, or atmosphere or moisture resistance, in the deposited layers, and allow moisture diffusion through layers during processing at high temperature when moisture may evolve from the deposited materials. Moisture in the deposited layer may detrimentally affect layer deposition and be a source of oxidation of deposited metals, and can even result in device failure.


Therefore, there remains a need for an improved process for depositing dielectric material and resist materials for layering techniques, such as damascene applications, with improved hermeticity.


SUMMARY OF THE INVENTION

Aspects of the invention generally provide a method for depositing and treating a dielectric material having a low dielectric constant as a barrier layer, a n etch stop layer, or an anti-reflective coating. In one aspect, the invention provides a method for processing a substrate having a dielectric layer and conductive features formed in the dielectric layer, the method including providing the substrate to a processing chamber, introducing a processing gas comprising a reducing agent, an oxygen containing compound, and an organosilicon compound, into the processing chamber, generating a plasma from a dual frequency RF power source, and depositing a first dielectric material comprising silicon, carbon, and oxygen, wherein the dielectric material has an oxygen content of less than about 20 atomic percent, wherein the first dielectric material has a ratio of Si—CH3 bonds to bonds of Si—C and SiO (Si—CH3/SiC+SiO) of between about 0.002 and about 0.0045.


In another aspect, a method is provided for processing a substrate including positioning the substrate in a processing chamber, depositing a dielectric barrier layer on a surface of the substrate by a method including introducing a processing gas comprising a reducing agent, an oxygen containing compound, and an organosilicon compound, into the processing chamber, generating a plasma from a dual frequency RF power source, and depositing a silicon carbide based material comprising silicon, carbon, and oxygen, wherein the dielectric material has an oxygen content of less than about 20 atomic percent, wherein the first dielectric material has a ratio of Si—CH3 bonds to bonds of Si—C and SiO (Si—CH3/SiC+SiO) of between about 0.002 and about 0.0045, depositing a first dielectric material on the dielectric barrier material, wherein the second dielectric material comprising silicon, carbon, and oxygen and has an oxygen content of about 20 atomic percent or greater, depositing and patterning an etch stop layer on the first dielectric layer, depositing a second dielectric material on the etch stop, depositing and patterning a photoresist material on the second dielectric material, etching the first dielectric material and the second dielectric material to form a feature definition, removing the photoresist material, and depositing one or more conductive material in the feature definition.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.


It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 is a cross sectional view showing a dual damascene structure comprising a low k barrier layer and a low k dielectric layer described herein;



FIGS. 2A-2H are cross sectional views showing one embodiment of a dual damascene deposition sequence of the invention;



FIG. 3 is a chart of one embodiment of stress difference versus bond ration for an oxygen doped silicon carbide film; and



FIG. 4 is a FT-IR graph of a series of embodiments of deposited oxygen doped silicon carbide based materials.





For a further understanding of aspect of the invention, reference should be made to the ensuing detailed description.


DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined. In situ should be broadly construed and includes, but is not limited to, in a given chamber, such as in a plasma chamber, or in a system, such as an integrated cluster tool arrangement, without exposing the material to intervening contamination environments, such as breaking vacuum between process steps or chamber within a tool. An in situ process typically minimizes process time and possible contaminants compared to relocating the substrate to other processing chambers or areas.


Aspects of the invention described herein refer to a method and apparatus for depositing an oxygen doped silicon carbide material having a low dielectric constant and improved hermeticity. It was surprisingly and unexpectedly discovered that deposition of oxygen doped silicon carbide material by dual frequency RF power plasma in the presence of a reducing agent would improve the deposited material's hermeticity. The deposited oxygen doped silicon carbide material may be treated with an anneal process, a plasma treatment process, an e-beam treatment, or an ultraviolet treatment. The oxygen-doped silicon carbide material as described herein may be used as a barrier layer, an etch stop, an anti-reflective coating, (ARC), and/or a passivation layer following planarization.


The following deposition processes are described with use of the 300 mm Producer™ dual deposition station processing chamber, and should be interpreted accordingly, for example, flow rates are total flow rates and should be divided by two to describe the process flow rates at each deposition station in the chamber. Additionally, it should be noted that the respective parameters may be modified to perform the plasma processes in various chambers and for different substrate sizes, such as for 200 mm substrates.


Deposition of Dual Layers for a Dual Damascene Structure


The oxygen-doped silicon carbide layer described herein may be used as a barrier layer, an etch stop, an anti-reflective coating, and/or a passivation layer in damascene formation, of which use as a barrier layer is preferred. Interlayer dielectric layers for use in low k damascene formations may have a silicon carbide layer formed as described herein include dielectric layers having silicon, oxygen, and carbon, and a dielectric constant of less than about 3. The adjacent dielectric layers for use with the barrier layer material described herein have a carbon content of about 1 atomic percent or greater excluding hydrogen atoms, preferably between about 5 and about 30 atomic percent excluding hydrogen atoms, and have oxygen concentrations of about 15 atomic % or greater. Oxygen-doped silicon carbide layers have oxygen concentrations of less than about 20 atomic % oxygen. Barrier layer materials may have dielectric constants of up to about 9, and preferably between about 2.5 and less than about 4. Oxygen doped silicon carbide may have dielectric constants of about 5 or less, preferably less than about 4.


The embodiments described herein for depositing silicon carbide layers adjacent low k dielectric layers are provided to illustrate the invention and the particular embodiment shown should not be used to limit the scope of the invention.


An example of a damascene structure that is formed using the bilayer described herein as a barrier layer is shown in FIG. 1. A damascene structure is formed using a substrate 100 having conductive material features 107, such as copper features, formed in a substrate material 105 is provided to a processing chamber. A barrier layer 110 is deposited on the substrate 100. The barrier layer 110 may comprise oxygen doped silicon carbide as described herein is generally deposited on the substrate surface to eliminate inter-level diffusion of materials include moisture and gases, such as oxygen. The barrier layer 110 of oxygen doped silicon carbide as described herein provides an improved hermetic barrier to moisture and oxygen than previously develop silicon carbide materials.


A first dielectric layer 112 is deposited on the barrier layer 110. An etch stop (or second barrier layer) 114 is then deposited on the first dielectric layer 112. The etch stop 114 may comprise a silicon carbide based material, such as the oxygen doped silicon carbide material described herein. The etch stop is then pattern etched using conventional techniques to define the openings of the interconnects or contacts/vias.


A second dielectric layer 118, which may be same material as the first dielectric layer, is then deposited over the patterned etch stop 114. A resist is then deposited and patterned by conventional means known in the art to define the feature (contacts/via) definitions 116. A resist material may include an energy based resist material including deep ultraviolet (DUV) resist materials as well as e-beam resist materials. While not shown, an ARC layer and/or a cap layer, for example, of silicon oxide, silicon carbide, or oxygen doped silicon carbide as described herein, may be deposited prior to depositing the resist layer.


A single etch process is then performed to define the contact/interconnect feature definition 116 down to the etch stop 114 and to etch the unprotected dielectric layer 112 and barrier layer 110 exposed by the patterned etch stop 114 to define the feature definitions (contacts/vias) 116. One or more conductive materials 20 such as copper are then deposited to fill the contacts/interconnect feature definitions 116. A passivation layer (not shown) of silicon carbide materials, such as the oxygen doped silicon carbide material described herein, may be deposited on the second dielectric layer 18 and conductive materials 20. The passivation layer may perform as a barrier layer for another level of damascene structures formed as described herein.


A preferred dual damascene structure fabricated in accordance with the invention including bilayers deposited by the processes described herein is sequentially depicted schematically in FIG. 2A-2H, which are cross sectional views of a substrate having the steps of the invention formed thereon.


An example of a damascene structure that is formed using the bilayer described herein as a barrier layer is shown in FIG. 1. A damascene structure is formed using a substrate 100 having conductive material features 107 formed in a substrate material 105, such as a dielectric material, silicon, or non-metal conductive material, such as polysilicon or doped silicon, is provided to a processing chamber. The conductive materials are typically metal, including metal barrier materials, such as titanium, titanium nitride, tantalum, tantalum nitride, or combinations thereof, and fill materials, such as copper aluminum, or tungsten for example.


As shown in FIG. 2A, a barrier layer 110 is deposited on the substrate 100. The barrier layer 110 may be deposited to a thickness between about 50 Å and about 500 Å. The barrier layer 110 may comprise an oxygen doped silicon carbide material and is deposited on the substrate surface from the processes described herein. The oxygen doped silicon carbide material may be deposited by introducing carbon dioxide, at a flow rate of 300 sccm into the processing chamber, introducing trimethylsilane (TMS) at a flow rate of about 60 sccm, introducing hydrogen at a flow rate of 240 sccm into the processing chamber, maintaining a heater temperature of about 350° C., maintaining a chamber pressure of about 3 Torr, positioning a gas distributor at about 350 mils from the substrate surface, and applying a RF power of about 125 watts at 13.56 MHz and 65 watts at 356 KHz, to deposit an oxygen doped silicon carbide layer. The silicon carbide material is deposited at about 900 Å/min by this process to a thickness of between about 500 Å and about 1000 Å. The deposited oxygen doped silicon carbide layer has a dielectric constant between about 3.5 and about 5.5.


The oxygen doped silicon carbide barrier layer 110 may then be treated to one or more of the post-treatment processes described herein including anneal, plasma treatment, e-beam treatment, or an ultraviolet curing treatment as described herein. The pre-treatment, the nitrogen doped silicon carbide material, and any post-treatment process may be formed in the same processing chamber or same processing system without breaking vacuum. While not shown, a plasma pretreatment process of the substrate 100 may be performed prior to deposition of the oxygen doped silicon carbide. Additionally, a capping layer (not shown), for example, of silicon oxide, may be deposited on the barrier layer 110.


The first dielectric layer 112 of interlayer dielectric material is deposited on the barrier layer 110. The first dielectric layer 112 may comprise silicon, oxygen, and carbon, and be deposited by oxidizing an organosilane or organosiloxane, such as trimethylsilane. Examples of methods and uses for the adjacent dielectric layers comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 are more further described in U.S. Pat. No. 6,054,379, issued May 25, 2000, U.S. Pat. No. 6,287,990, issued Sep. 11, 2001, and U.S. Pat. No. 6,303,523, issued on Oct. 16, 2001, and in U.S. patent application Ser. No. 10/121,284, filed on Apr. 11, 2002, and U.S. patent application Ser. No. 10/302,393, filed on Nov. 22, 2002, all of which are incorporated by reference herein to the extent not inconsistent with the disclosure and claimed aspects described herein.


An example of a dielectric layer comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 is Black Diamond™ dielectric materials commercially available from Applied Materials, Inc., of Santa Clara, Calif. Alternatively, the first dielectric layer may also comprise other low k dielectric material such as a low k polymer material including paralyne or a low k spin-on glass such as un-doped silicon glass (USG) or fluorine-doped silicon glass (FSG). The first dielectric layer 112 may be deposited to a thickness of about 5,000 Å to about 15,000 Å, depending on the size of the structure to be fabricated.


As shown in FIG. 2B, a low k etch stop 114 is then deposited on the first dielectric layer 112. The etch stop may be deposited to a thickness between about 200 Å and about 1000 Å. The etch stop 114 may be deposited from the same precursors and by the same process as the barrier layer 110. The low k etch stop 114 may be treated as described herein for the barrier layer 110.


The low k etch stop may then pattern etched to define feature definitions (contact/via openings) 116 and to expose first dielectric layer 112 in the areas where the contacts/vias are to be formed as shown in FIG. 2C. Preferably, the low k etch stop 114 is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions. While not shown, a nitrogen-free silicon carbide or silicon oxide cap layer between about 100 Å and about 500 Å thick may be deposited on the etch stop 114 prior to depositing further materials.


After the low k etch stop 114 has been etched to pattern the contacts/vias and the resist has been removed, a second dielectric layer 118 of silicon oxycarbide is deposited. The second dielectric layer may be deposited to a thickness between about 5,000 and about 15,000 Å as shown in FIG. 2D. The second dielectric layer 118 may be deposited as described for the first dielectric layer 112 as well as comprise the same materials used for the first dielectric layer 112. The first and second dielectric layer 118 may also be treated as described herein for barrier layer 110. All of the described layers 110, 112, 114, and 118 may be deposited in the same processing chamber or same processing system without breaking vacuum.


In an alternative embodiment, an anti-reflective coating layer, a cap layer, or a hardmask layer, may be deposited on the second dielectric layer 118 prior to depositing additional materials, such as resist materials for photolithographic process. Such a layer may be deposited between about 100 Å and about 500 Å thick. In one example, an ARC layer or hardmask of the oxygen doped silicon carbide described herein may be disposed on the second dielectric layer 118, and then a photoresist may be deposited thereon. In a further embodiment, a nitrogen-free silicon carbide layer as described herein or a silicon oxide cap layer may be deposited on second dielectric layer 118.


A resist material 122 is then deposited on the second dielectric layer 118 (or optional ARC layer or passivation layer as described with regard to FIG. 1) and patterned preferably using conventional photolithography processes to define the interconnect lines 120 as shown in FIG. 2E. The resist material 122 comprises a material conventionally known in the art, preferably a high activation energy resist, such as UV-5, commercially available from Shipley Company Inc., of Marlborough, Mass. The feature definitions (interconnects and contacts/vias) are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in FIG. 2F. Any resist or other material used to pattern the etch stop 114 or the second dielectric layer 118 is removed using an oxygen strip or other suitable process.


The metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof. Presently, the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 mΩ-cm compared to 3.1 mΩ-cm for aluminum). Preferably, as shown in FIG. 2G, a suitable barrier layer 124 for copper, such as tantalum or tantalum nitride, is first deposited conformally in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material. Thereafter, copper 126 is deposited using chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. A seed layer of a conductive material, such as copper, may be deposited for bulk fill of the feature definition by the copper 126. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing, as shown in FIG. 2H.


Following planarization of the conductive materials 124, 126, an optional passivation layer 130 may be deposited on the substrate. The passivation layer 130 may also perform as a barrier layer for another level of damascene structures that may be formed thereon. The passivation layer 130 may be deposited to a thickness between about 250 Å and about 1000 Å. The passivation layer 130 may comprise an oxygen doped silicon carbide layer as deposited and treated herein.


Pre-Deposition Treatments:


Interlayer adhesion between conductive features and deposited dielectric materials, such as copper 126 and the passivation layer 130, may be improved by exposing conductive features to a reducing compound of a hydrogen and nitrogen containing precursor to remove any oxides formed on the conductive materials. Oxides to be removed may also be formed on barrier materials, such as exposed portions of barrier layer 124. The reducing compound may react with the exposed conductive material by thermally and/or plasma enhanced process. Preferred reducing compounds include hydrogen and nitrogen containing gases, for example, ammonia, a gas mixture of hydrogen (H2) and nitrogen (N2), hydrazine (N2H2), amines, amine derivatives, or combinations thereof.


One example of a plasma enhanced process with the reducing compound includes providing reducing compounds to a processing chamber at a flow rate between about 50 sccm and about 2000 sccm, for example, between about 100 sccm and about 1600 sccm, optionally providing a nitrogen-containing carrier gas, such as nitrogen, to a processing chamber at a flow rate between about 100 sccm and about 25000 sccm, for example, between about 1000 sccm and about 20000 sccm, maintaining a chamber pressure between about 1 Torr and about 12 Torr, for example, between about 2.5 Torr and about 9 Torr, maintaining a heater temperature between about 100° C. and about 500° C., for example, between about 250° C. and about 450° C., positioning a gas distributor, or “showerhead”, between about 200 mils and about 1000 mils, for example between 300 mils and 500 mils, from the substrate surface, and generating a plasma. The plasma treatment may be performed between about 3 seconds and about 120 seconds, for example, between about 5 seconds and about 40 seconds preferably used.


The plasma may be generated by applying a power density ranging between about 0.03 W/cm and about 3.2 W/cm2, which is a RF power level of between about 10 W and about 1000 W for a 200 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. The plasma may be generated by applying a power density ranging between about 0.01 W/cm2 and about 1.4 W/cm2, which is a RF power level of between about 10 W and about 1000 W for a 300 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. Alternatively, the plasma may be generated by a dual-frequency RF power source as described herein. Alternatively, all plasma generation may be performed remotely, with the generated radicals introduced into the processing chamber for plasma treatment of a deposited material or deposition of a material layer.


The reducing compound may comprise providing a single component, such as ammonia or hydrazine, to a processing chamber at a flow rate between about 50 sccm and about 3000 sccm, for example, between about 100 sccm and about 2000 sccm. The single component delivery may further include a carrier or inert gas, for example nitrogen, helium, or argon, at a flow rate of between about 100 sccm and about 10000 sccm, for example, between about 1000 sccm and about 5000 sccm. In a multi-component system, such as a mixture of nitrogen gas and hydrogen gas, may be provided to the processing chamber by a process including providing nitrogen to a processing chamber at a flow rate between about 50 sccm and about 5000 sccm, for example, between about 100 sccm and about 1000 sccm, and providing hydrogen to a processing chamber at a flow rate between about 50 sccm and about 5000 sccm, for example, between about 100 sccm and about 1500 sccm.


An example of the plasma treatment process includes providing ammonia to a processing chamber at a flow rate of about 700 sccm, providing helium to a processing chamber at a flow rate of about 1200 sccm, maintaining a chamber pressure at about 4.2 Torr, maintaining a heater temperature of about 350° C., positioning a gas distributor, or “showerhead”, at about 350 mils, and generating a plasma by applying a RF power level of about 300 W at a high frequency of 13.56 MHz, for about 20 seconds.


Another example of the plasma treatment process includes providing ammonia to a processing chamber at a flow rate of about 160 sccm, providing nitrogen to a processing chamber at aflow rate of about 18000 sccm, maintaining a chamber pressure at about 4.2 Torr, maintaining a heater temperature of about 350° C., positioning a gas distributor, or “showerhead”, at about 350 mils, and generating a plasma by applying a RF power level of about 300 W at a high frequency of 13.56 MHz, for about 20 seconds.


Another example of the plasma treatment process includes providing ammonia to a processing chamber at a flow rate of about 75 sccm, providing nitrogen to a processing chamber at a flow rate of about 5000 sccm, maintaining a chamber pressure at about 4.2 Torr, maintaining a heater temperature of about 350° C., positioning a gas distributor, or “showerhead”, at about 350 mils, and generating a plasma by applying a RF power level of about 150 W at a high frequency of 13.56 MHz, for about 15 seconds.


The reducing compound may be continuously provided from the pre-treatment process to the deposition processes. For example, the nitrogen doped silicon carbide layer, such as barrier layer 110, may be deposited by introducing an organosilicon compound with the reducing agent provided to the chamber. This allows for in situ pre-treatment and layer deposition in the processing chamber. The organosilicon compound may be additionally and/or continuously introduced into the processing chamber with an ending of the flow of the of the reducing agent to deposit a silicon carbide layer as described for second barrier layer 111. Such an in situ pre-treatment and deposition process is more fully described in U.S. patent application Ser. No. 10/828,023 entitled “Adhesion Improvement For Low K Dielectrics To Conductive Materials,” filed on Apr. 19, 2004, which is incorporated by reference to the extent not inconsistent with the claimed aspects and disclosure herein.


Silicon Carbide Deposition


An oxygen doped silicon carbide layer may be deposited by reacting a processing gas of the organosilicon compound, a reducing agent, and an oxygen containing compound in a plasma generated by a dual frequency RF power source. The processing gas may include additional compounds, such as an inert gas, including helium, argon, nitrogen, or combinations thereof, or a dopant, such as nitrogen containing compound, a boron containing compound, and/or a phosphorus containing compound.


Suitable organosilicon compounds for depositing silicon carbide materials include oxygen-free organosilicon compounds. Examples of oxygen free organosilicon compounds include phenylsilanes and aliphatic organosilicon compounds. Examples of suitable organosilicon compounds used herein for silicon carbide deposition preferably include the structure:




embedded image



wherein R is an organic functional group, such as alkyl, alkenyl, cyclical, for example, cyclohexyl, and aryl groups, in addition to functional derivatives thereof. Hydrogen may be further bonded to the silicon compound. The organic compounds may have more than one R group attached to the silicon atom, and the invention contemplates the use of organosilicbn compounds with or without Si—H bonds.


Suitable oxygen-free organosilicon compounds include oxygen-free aliphatic organosilicon compounds, oxygen-free cyclic organosilicon compounds, or combinations thereof, having at least one silicon-carbon bond. Cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms. Aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms. Commercially available aliphatic organosilicon compounds include alkylsilanes. Fluorinated derivatives of the organosilicon compounds described herein may also be used to deposit the silicon carbide and silicon oxycarbide layers described herein. Methylsilanes are preferred organosilicon compounds for silicon carbide deposition.


Examples of suitable organosilicon compounds include, for example, one or more of the following compounds:















Methylsilane,
CH3—SiH3,


Dimethylsilane,
(CH3)2—SiH2,


Trimethylsilane (TMS),
(CH3)3—SiH,


Tetramethylsilane,
(CH3)4—Si,


Ethylsilane,
CH3—CH2—SiH3,


Disilanomethane,
SiH3—CH2—SiH3,


Bis(methylsilano)methane,
CH3—SiH2—CH2—SiH2—CH3,


1,2-disilanoethane,
SiH3—CH2—CH2—SiH3,


1,2-bis(methylsilano)ethane,
CH3—SiH2—CH2—CH2—SiH2—CH3,


2,2-disilanopropane,
SiH3—C(CH3)2—SiH3,


1,3,5-trisilano-2,4,6-

private use character Parenopenst SiH2—CH2private use character Parenclosest3  (cyclic),



trimethylene,


Diethylsilane,
(C2H5)2SiH2,


Diethylmethylsilane,
(C2H5)2SiH(CH3),


Propylsilane,
C3H7SiH3,


Vinylmethylsilane,
(CH2═CH)(CH3)SiH2,


Divinyldimethylsilane
(CH2═CH)2(CH3)2Si,


(DVDMS),


1,1,2,2-tetramethyldisilane,
HSi(CH3)2—Si(CH3)2H,


Hexamethyldisilane,
(CH3)3Si—Si(CH3)3,


1,1,2,2,3,3-hexamethyltri-
H(CH3)2Si—Si(CH3)2—SiH(CH3)2,


silane,


1,1,2,3,3-pentamethyltri-
H(CH3)2Si—SiH(CH3)—SiH(CH3)2,


silane,


Dimethyldisilanoethane,
CH3—SiH2—(CH2)2—SiH2—CH3,


Dimethyldisilanopropane,
CH3—SiH2—(CH2)3—SiH2—CH3,


Tetramethyldisilanoethane,
(CH)2—SiH—(CH2)2—SiH—(CH)2,


Tetramethyldisilanopropane,
(CH3)2—SiH—(CH2)3—SiH—(CH3)2,









Suitable organosilicon compounds further include alkyl and/or cyclical organosilicon compounds having carbon to silicon atom ratios (C:Si) of 5:1 or greater, such as 8:1 or 9:1. Alkyl functional groups having higher carbon alkyl groups, such as ethyl and iso-propyl functional groups, for example, dimethylisopropylsilane (5:1), diethylmethylsilane (5:1), tetraethylsilane (8:1), dibutylsilanes (8:1), tripropylsilanes (9:1), may be used. Cyclical organosilicons, such as cyclopentylsilane (5:1) and cyclohexylsilane (6:1), including cyclical compounds having alkyl groups, such as ethylcyclohexylsilane (8:1) and propylcyclohexylsilanes (9:1) may also be used for the deposition of silicon carbon layers.


Phenyl containing organosilicon compounds, such as phenylsilanes may also be used for depositing the silicon carbide materials and generally include the structure:




embedded image



wherein R is a phenyl group. The compound may further have at least one silicon-hydrogen bond and may further have one or more organic functional groups, such as alkyl groups, cyclical groups, vinyl groups, or combinations thereof. For example, suitable phenyl containing organosilicon compounds generally includes the formula SiHa(CH3)b(C6H5)c, wherein a is 0 to 3, b is 0 to 3, and c is 1 to 4, and a+b+c+ is equal to 4. Examples of suitable compounds derived from this formula include diphenylsilane (DPS), dimethylphenylsilane (DMPS), diphenylmethylsilane, phenylmethylsilane, and combinations thereof. Preferably used are phenyl containing organosilicon compounds with b is 1 to 3 and c is 1 to 3. The most preferred phenyl organosilicon compounds for deposition as barrier layer materials include organosilicon compounds having the formula SiHa(CH3)b(C6H5)c, wherein a is 1 or 2, b is 1 or 2, and c is 1 or 2. Examples of preferred phenyl compounds include dimethylphenylsilane and diphenylmethylsilane.


The processing gas may further include a reducing agent. The reducing gas may be selected from the group consisting of hydrogen, ammonia, phosphine (PH3), and combinations thereof. Additionally, the reducing gas may comprise a reducing compound as described for the pre-treatment process described herein. The presence of constituents of nitrogen in ammonia and phosphorus in phosphine may nitrogen and/or phosphorus doped the deposited material, respectively.


The hydrogen gas is generally added at a molar ratio of organosilicon compound to hydrogen gas of between about 1:1 and about 10:1, such as between about 1:1 and about 6:1. Preferred deposition processes for oxygen-free organosilicon compounds and hydrogen gas has a molar ratio of oxygen-free organosilicon compound to hydrogen gas of between about 1:1 and about 1.5:1. Generally, the flow rate of the inert gas, hydrogen gas, or combinations thereof, are introduced into the processing chamber at a flow rate between about 50 sccm and about 20,000 sccm.


The processing gas may further include hydrogen gas, an inert gas, or a combination thereof. Suitable inert gases include a noble gas selected from the group of argon, helium, neon, xenon, or krypton, and combinations thereof, and nitrogen gas (N2).


The processing gas further comprising an oxygen containing compound. Oxygen-doped silicon carbide layers typically include less than about 20 atomic percent (atomic %) of oxygen, preferably having between about 10 atomic % and about 18 atomic % of oxygen. Oxygen doped silicon carbide layers may be deposited with oxygen containing compounds including oxygen and carbon containing compounds, such as oxygen containing gases and oxygen containing organosilicon compounds. The oxygen-containing gas and the oxygen-containing organosilicon compound described herein are considered non-oxidizing gases as compared to oxygen or ozone. Materials that are described as silicon oxycarbide or carbon-doped silicon oxide generally comprises about 20 atomic % or greater of oxygen in the layer and are deposited from oxidizing gases.


Preferred oxygen-containing gases generally have the formula CXHYOZ, with x being between 0 and 2, Y being between 0 and 2, where X+Y is at least 1, and Z being between 1 and 3, wherein X+Y+Z is 3 or less. The oxygen-containing gas may include carbon dioxide, carbon monoxide, or combinations thereof; and may additionally include water. Other oxygen containing gases may include nitrous oxide (N2O), phosphorus oxide, (diphosphorus pentoxide-P2O5), and combinations thereof, which may be used in combination with oxygen-containing gases having the formula CXHYOZ. The oxygen-containing gas is typically an inorganic material.


Alternatively, oxygen-doped silicon carbide layers may be deposited with oxygen-containing organosilicon compounds to modify or change desired layer properties by controlling the oxygen content of the deposited oxygen doped silicon carbide layer. Suitable oxygen-containing organosilicon compounds include oxygen-containing aliphatic organosilicon compounds, oxygen-containing cyclic organosilicon compounds, or combinations thereof. Oxygen-containing aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms, and the structure includes silicon-oxygen bonds.


Oxygen-containing cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms and the ring may further comprise one or more oxygen atoms. Commercially available oxygen-containing cyclic organosilicon compounds include rings having alternating silicon and oxygen atoms with one or two alkyl groups bonded to each silicon atom. Preferred oxygen-containing organosilicon compounds are cyclic compounds.


One class of oxygen-containing organosilicon compounds include compounds having Si—O—Si bonding groups, such as organosiloxane compounds. Compounds with siloxane bonds provide silicon carbide layers with bonded oxygen that can reduce the dielectric constant of the layer as well as reduce the current leakage of the layer.


Suitable oxygen-containing organosilicon compounds include, for example, one or more of the following compounds:















Dimethyldimethoxysilane
(CH3)2—Si—(OCH3)2,


(DMDMOS),


Diethoxymethylsilane (DEMS),
(CH3)—SiH—(OCH3)2,


1,3-dimethyldisiloxane,
CH3—SiH2—O—SiH2—CH3,


1,1,3,3-tetramethyldisiloxane
(CH3)2—SiH—O—SiH—(CH3)2,


(TMDSO),


Hexamethyldisiloxane (HMDS),
(CH3)3—Si—O—Si—(CH3)3,


Hexamethoxydisiloxane
(CH3O)3—Si—O—Si—(OCH3)3,


(HMDSO),


1,3-bis(silanomethylene)di-
(SiH3—CH2—SiH2private use character Parenclosest2 O,


siloxane,


Bis(1-methyldisiloxanyl)-
(CH3—SiH2—O—SiH2private use character Parenclosest2 CH2,


methane,


2,2-bis(1-methyldisiloxanyl)-
(CH3—SiH2—O—SiH2private use character Parenclosest2 C(CH3)2,


propane,


1,3,5,7-tetramethylcyclo-

private use character Parenopenst SiHCH3—Oprivate use character Parenclosest4  (cyclic),



tetrasiloxane (TMCTS),


Octamethylcyclotetrasiloxane

private use character Parenopenst Si(CH3)2—Oprivate use character Parenclosest4  (cyclic),



(OMCTS),


1,3,5,7,9-pentamethylcyclo-

private use character Parenopenst SiHCH3—Oprivate use character Parenclosest5  (cyclic),



pentasiloxane,


1,3,5,7-tetrasilano-2,6-dioxy-

private use character Parenopenst SiH2—CH2—SiH2—Oprivate use character Parenclosest2 ,



4,8-dimethylene,


Hexamethylcyclotrisiloxane,

private use character Parenopenst Si(CH3)2—Oprivate use character Parenclosest3  (cyclic),



1,3-dimethyldisiloxane,
CH3—SiH2—O—SiH2—CH3,


Hexamethylcyclotrisiloxane

private use character Parenopenst Si(CH3)2—Oprivate use character Parenclosest3  (cyclic),



(HMDOS),










and fluorinated hydrocarbon derivatives thereof. The above lists are illustrative and should not be construed or interpreted as limiting the scope of the invention.


When oxygen-containing organosilicon compounds and oxygen-free organosilicon compounds are used in the same processing gas, a molar ratio of oxygen-free organosilicon compounds to oxygen-containing organosilicon compounds between about 4:1 and about 1:1 is generally used.


One embodiment of a deposition of an oxygen doped silicon carbide layer comprises supplying an organosilicon precursor, for example trimethylsilane, at a flow rate between about 10 sccm and about 1000 sccm, for example, between about 50 sccm and about 500 sccm, supplying an oxygen-containing compound to a processing chamber at a flow rate between about 100 sccm and about 3000 sccm, for example, between about 100 sccm and about 1000 sccm, supplying hydrogen gas to a processing chamber at a flow rate between about 100 sccm and about 2000 sccm, for example, between about 100 sccm and about 500 sccm, optionally, supplying an inert (noble) gas to a processing chamber at a flow rate between about 1 sccm and about 10,000 sccm, for example, between about 1 sccm and about 500 sccm, maintaining a chamber pressure between about 100 milliTorr and about 100 Torr, for example, between about 2 Torr and about 9 Torr, maintaining a heater temperature between about 100° C. and about 500° C., for example, between about 250° C. and about 450° C., positioning a gas distributor, or “showerhead”, between about 200 mils and about 1000 mils, for example between 200 mils and 500 mils from the substrate surface, and optionally, generating a plasma.


The plasma may be generated by applying a dual frequency power density ranging between about 0.003 W/cm2 and about 6.4 W/cm2, which is a dual frequency RF power level of between about 1 W and about 2000 W for each respective frequency for a 200 mm substrate, for example, between about 100 W and about 1100 W at a high-frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz, and in a range of about 1 watt to about 200 watts at a low frequency in a range of between about 100 KHz and about 500 KHz, for example 356 KHz. The dual frequency generally has a high frequency power greater than the lower frequency power. The plasma may be generated by applying a power density ranging between about 0.001 W/cm2 and about 2.8 W/cm2, which is a RF power level of between about 1 W and about 2000 W for a 300 mm substrate, for example, between about 100 W and about 1100 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz, and in a range of about 1 watt to about 200 watts at a low frequency in a range of between about 100 KHz and about 500 KHz, for example 356 KHz.


The oxygen-doped silicon carbide layer may be further doped with a nitrogen containing compound, a boron containing compound, and a phosphorus containing compound.


Nitrogen doped silicon carbide may be deposited by the reaction of the organosilicon compounds described herein with a nitrogen source, a nitrogen-containing compound. The nitrogen source may be a nitrogen-containing gas, for example, ammonia (NH3), nitrogen gas (N2), a mixture of nitrogen gas and hydrogen gas, or combinations thereof, in the processing gas. The nitrogen doped silicon carbide layer generally includes less than about 20 atomic percent (atomic %) of nitrogen. The nitrogen source may be introduced into the processing chamber at a flow rate between about 50 sccm and about 10,000 sccm. The nitrogen doped silicon carbide layer may further be oxygen doped by the processes described herein.


Alternatively, the nitrogen source may comprise silicon and nitrogen containing compounds. Suitable silicon and nitrogen containing compounds include compounds having Si—N—Si bonding groups, such as silazane compounds, may be used in the processing gas for doping the deposited oxygen doped silicon carbide material with nitrogen. Compounds having bonded nitrogen, such as in the silazane compounds, can improve the hardness of layers as well as reduced the current leakage of the layers. Examples of suitable silizane compounds includes aliphatic compounds, such as hexamethyldisilazane and divinyltetramethyldisilizane, as well as cyclic compounds, such as hexamethylcyclotrisilazane.


The silicon carbide layer may also be doped with boron and/or phosphorus to improve layer properties, and generally includes less than about 15 atomic percent (atomic %) or less of dopants. Boron doping of the low k silicon carbide layer may be performed by introducing borane (BH3), or borane derivatives thereof, such as diborane (B2H6), into the chamber during the deposition process. Boron doping of the silicon carbide layer preferably comprises between about 0.1 wt. % and about 4 wt. % of boron.


Phosphorus containing dopants may be used in the processing gases at a ratio of dopant to organosilicon compound between about 1:5 or greater, such as between about 1:5 and about 1:100. Phosphorus doping of the low k silicon carbide layer may be performed by introducing phosphine (PH3), triethylphosphate (TEPO), triethoxyphosphate (TEOP), trimethyl phosphine (TMP), triethyl phosphine (TEP), and combinations thereof, into the chamber during the deposition process. It is believed that dopants may reduce the dielectric constant of the deposited oxygen doped silicon carbide material. The doped silicon carbide layer may comprise between about 0.1 wt. % and about 15 wt. % of phosphorus, for example, between about 1 wt. % and about 4 wt. % of phosphorus.


An example process for depositing a boron and/or phosphorus silicon carbide layer is disclosed in U.S. patent application Ser. No. 10/342,079, filed on Jan. 13, 2003, which is incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.


Additional materials, such as an organic compounds, may also be present during the deposition process to modify or change desired layer properties. For example, organic compounds, such as aliphatic hydrocarbon compounds may also be used in the processing gas to increase the carbon content of the deposited oxygen doped silicon carbide materials. Suitable aliphatic hydrocarbon compounds include compounds having between one and about 20 adjacent carbon atoms. The hydrocarbon compounds can include adjacent carbon atoms that are bonded by any combination of single, double, and triple bonds.


Suitable organic compounds may include alkenes and alkynes having two to about 20 carbon atoms, such as ethylene, propylene, acetylene, and butadiene. Further examples of suitable hydrocarbons include t-butylethylene, 1,1,3,3-tetramethylbutylbenzene, t-butylether, metyl-methacrylate (MMA), t-butylfurfurylether, and combinations thereof. Organic compounds containing functional groups including oxygen and/or nitrogen containing functional groups may also be used. For example, alcohols, including ethanol, methanol, propanol, and iso-propanol, may be used for depositing the silicon carbide material.


Suitable processing systems for performing the processes described herein are a DxZ™ chemical vapor deposition chamber or Producer™ processing system, both of which are commercially available from Applied Materials, Inc. of Santa Clara, Calif.


The above process parameters provide a deposition rate for the oxygen doped silicon carbide layer in the range of about 500 Å/min to about 20,000 Å/min, such as a range between about 100 Å/min and about 3000 Å/min, when implemented on a 200 mm (millimeter) substrate in a deposition chamber available from Applied Materials, Inc., Santa Clara, Calif.


Post-Deposition Treatments:


The deposited oxygen doped silicon carbide material may also be exposed to an anneal, a plasma treatment, an e-beam process, an ultraviolet treatment process, or a combination of treatments. The post-deposition treatments may be performed in situ with the deposition of the silicon carbide material without breaking vacuum in a processing chamber or processing system.


Annealing the deposited material may comprise exposing the substrate at a temperature between about 100° C. and about 400° C. for between about 1 minute and about 60 minutes, preferably at about 30 minutes, to reduce the moisture content and increase the solidity and hardness of the dielectric material. Annealing is preferably performed after the deposition of a subsequent material or layer that prevents shrinkage or deformation of the dielectric layer. The annealing process is typically formed using inert gases, such as argon and helium, but may also include hydrogen or other non-oxidizing gases. The above described annealing process is preferably used for low dielectric constant materials deposited from processing gases without meta-stable compounds. The anneal process is preferably performed prior to the subsequent deposition of additional materials. Preferably, an in-situ (i.e., inside the same chamber or same processing system without breaking vacuum) post treatment is performed.


The annealing process is preferably performed in one or more cycles using helium. The annealing process may be performed more than once, and variable amounts of helium and hydrogen may be used in multiple processing steps or annealing steps. The anneal energy may be provided by the use of heat lamps, infer-red radiation, such as IR heating lamps, or as part of a plasma anneal process. Alternatively, a RF power may be applied to the annealing gas between about 200 W and about 1,000 W, such as between about 200 W and about 800 W, at a frequency of about 13.56 MHz for a 200 mm substrate.


Alternatively, or additionally, the deposited oxygen doped silicon carbide layer may be plasma treated to remove contaminants or other wise clean the exposed surface of the silicon carbide layer prior to subsequent deposition of materials thereon. The plasma treatment may be performed in the same chamber used to deposit the silicon and carbon containing material. The plasma treatment is also believed to improve layer stability by forming a protective layer of a higher density material than the untreated silicon carbide material. The higher density silicon carbide material is believed to be more resistive to chemical reactions, such as forming oxides when exposed to oxygen, than the untreated silicon carbide material.


The plasma treatment generally includes providing an inert gas including helium, argon, neon, xenon, krypton, or combinations thereof, of which helium is preferred, and/or a reducing agent including hydrogen, ammonia, and combinations thereof, to a processing chamber. The inert gas and/or reducing gas is introduced into the processing chamber at a flow rate between about 500 sccm and about 3000 sccm, preferably between about 1000 sccm and about 2500 sccm of hydrogen, and generating a plasma in the processing chamber.


The plasma may be generated using a power density ranging between about 0.03 W/cm2 and about 3.2 W/cm2, which is a RF power level of between about 10 W and about 1000 W for a 200 mm substrate. Preferably, at a power level of about 100 watts for a silicon carbide material on a 200 mm substrate. The RF power can be provided at a high frequency such as between 13 MHz and 14 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Alternatively, the RF power may also be provided at low frequencies, such as 356 kHz, for plasma treating the depositing silicon carbide layer.


The processing chamber is preferably maintained at a chamber pressure of between about 1 Torr and about 12 Torr, for example about 3 Torr. The substrate is preferably maintained at a temperature between about 200° C. and about 450° C., preferably between about 290° C. and about 400° C., during the plasma treatment. A heater temperature of about the same temperature of the silicon carbide deposition process, for example about 290° C., may be used during the plasma treatment. The plasma treatment may be performed between about 10 seconds and about 100 seconds, with a plasma treatment between about 40 seconds and about 60 seconds preferably used. The processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 500 mils from the substrate surface. The gas distributor may be positioned between about 300 mils and about 600 mils during the plasma treatment.


The hydrogen containing plasma treatment is believed to further reduce the dielectric constant of the low k dielectric layer by about 0.1 or less. The plasma treatment is believed to clean contaminants from the exposed surface of the silicon carbide material and may be used to stabilize the layer, such that it becomes less reactive with moisture and/or oxygen under atmospheric condition as well as the adhesion of layers formed thereover.


One example of a post deposition plasma treatment for a silicon carbide layer includes introducing ammonia at a flow rate of 950 sccm into the processing chamber, maintaining the chamber at a heater temperature of about 350° C., maintaining a chamber pressure of about 3.7 Torr, positioning a gas distributor at about 280 mils from the substrate surface, and applying a RF power of about 300 watts at 13.56 MHz for about two seconds.


However, it should be noted that the respective parameters may be modified to perform the plasma processes in various chambers and for different substrate sizes, such as 300 mm substrates. An example of a plasma treatment for a silicon and carbon containing layer is further disclosed in U.S. patent application Ser. No. 09/336,525, entitled, “Plasma Treatment to Enhance Adhesion and to Minimize Oxidation of Carbon-Containing Layers,” filed on Jun. 18, 1999, which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects of the invention described herein.


Alternatively, the oxygen doped silicon carbide layer may also be treated by depositing a silicon carbide cap layer or silicon oxide cap layer prior to depositing a resist material. The cap layer may be deposited at a thickness between about 100 Å and about 500 Å. The use of a cap layer is more fully described in co-pending U.S. patent application Ser. No. 09/977,008, entitled “Method Of Eliminating Resist Poisoning In Damascene Applications”, filed on Oct. 11, 2001, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure described herein.


In another aspect of the invention, the deposited oxygen doped silicon carbide material may be cured by an electronic beam (e-beam) technique. Silicon carbide material cured using an e-beam technique has shown an unexpected reduction in k value and an unexpected increase in hardness, not capable with conventional curing techniques. The e-beam treatment may be performed in situ within the same processing system, for example, transferred from one chamber to another without break in a vacuum. The following e-beam apparatus and process are illustrative, and should not be construed or interpreted as limiting the scope of the invention.


The temperature at which the electron beam apparatus 200 operates ranges from about −200 degrees Celsius to about 600 degrees Celsius, e.g., about 400 degrees Celsius. An e-beam treatment of a silicon carbide layer may comprise the application or exposure to between about 1 micro coulombs per square centimeter (μC/cm2) and about 6,000 μC/cm2, for example, between about 1 μC/cm2 and about 400 μC/cm2, and more preferably less than about 200 μC/cm2, such as about 70 μC/cm2, at energy ranges between about 0.5 kiloelectron volts (KeV) and about 30 KeV, for example between about 1 KeV and about 3 kiloelectron volts (KeV). The electron beams are generally generated at a pressure of about 1 mTorr to about 200 mTorr.


The gas ambient in the electron beam chamber 220 may be an inert gas, including nitrogen, helium, argon, xenon, an oxidizing gas including oxygen, a reducing agent including hydrogen, a blend of hydrogen and nitrogen, ammonia, or any combination of these gases. The electron beam current ranges from about 1 mA to about 40 mA, and more preferably from about 5 mA to about 20 mA. The electron beam may cover an area from about 4 square inches to about 700 square inches. Although any e-beam device may be used, one exemplary device is the EBK chamber, available from Applied Materials, Inc., of Santa Clara Calif.


An example of an e-beam process is as follows. A substrate having a 3000 Å thick layer is exposed to an e-beam at a chamber temperature about 400 degrees Celsius, an applied electron beam energy of about 3.5 KeV, and at an electron beam current of about 5 mA, with an exposure dose of the electron beam of about 500 mC/cm2.


The deposited oxygen doped silicon carbide material may then be cured by an ultraviolet curing technique. Silicon carbide material cured using the ultraviolet curing technique has shown an improved barrier layer properties and reduced and minimal resist poisoning. The ultraviolet curing technique may be performed in situ within the same processing chamber or system, for example, transferred from one chamber to another without break in a vacuum. The following ultraviolet curing technique is illustrative, and should not be construed or interpreted as limiting the scope of the invention.


Exposure to an ultraviolet radiation source may be performed as follows. The substrate is introduced into a chamber, which may include the deposition chamber, and a deposited oxygen doped silicon carbide layer, including nitrogen-doped silicon carbide materials, is exposed to between about 0.01 milliWatts/cm2 and about 1 watts/cm2 of ultraviolet radiation, for example, between about 0.1 milliWatts/cm2 and about 10 milliwatts/cm2. The ultraviolet radiation may comprise a range of ultraviolet wavelengths, and include one or more simultaneous wavelength. Suitable ultraviolet wavelengths include between about 1 nm and about 400 nm, and may further include optical wavelengths up to about 600 or 780 nm. The ultraviolet wavelengths between about 1 nm and about 400 nm, may provide a photon energy (electrovolts) between about 11.48 eV and about 3.5(eV). Preferred ultraviolet wavelengths include between about 100 nm and about 350 nm.


Further, the ultraviolet radiation application may occur at multiple wavelengths, a tunable wavelength emission and tunable power emission, or a modulation between a plurality of wavelengths as desired, and may be emitted from a single UV lamp or applied from an array of ultraviolet lamps. Examples of suitable UV lamps include a Xe filled Zeridex™ UV lamp, which emits ultraviolet radiation at a wavelength of about 172 nm or the Ushio Excimer UV lamp, or a Hg Arc Lamp, which emits ultraviolet radiation at wave. The deposited oxygen doped silicon carbide layer is exposed to the ultraviolet radiation for between about 10 seconds and about 600 seconds.


During processing, the temperature of the processing chamber may be maintained at between about 0° C. and about 450° C., e.g., between about 20° C. and about 400° C. degrees Celsius, for example about 25° C., and at a chamber pressure between vacuum, for example, less than about 1 mTorr up to about atmospheric pressure, i.e., 760 Torr, for example at about 100 Torr. The source of ultraviolet radiation may be between about 100 mils and about 600 mils from the substrate surface. Optionally, an ultraviolet curing processing gas may be introduced during the ultraviolet technique. Suitable curing gases include oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, hydrocarbon gases, fluorocarbon gases, and fluorinated hydrocarbon gases, or combinations thereof. The hydrocarbon compounds may have the formula CXHY, CXFY, CXFYHZ, or combinations thereof, with x an integer between 1 and 6, y is an integer between 4 and 14, and z is an integer between 1 and 3.


An example of an ultraviolet process is as follows. A substrate having a nitrogen doped silicon carbide layer is exposed to ultraviolet radiation at a chamber temperature about 25° C., an applied power of about 10 mW/cm2 at a wavelength of about 172 nm for about 120 seconds.


Hermeticity


The oxygen doped silicon carbide (SiCO) layer as deposited by the processes described herein was tested for hermeticity. Hermeticity is quantified by a stress test. The stress test includes depositing a layer of material to be examined on top of a layer of TEOS oxide which has a high tensile stress and then subjecting the stack to 85° C. and 85% humidity for 17 hours. As the tensile TEOS oxide layers absorbs moisture, the TEOS oxide layer stress changes to become more compressive, so a small stress change correlates to low moisture absorption and a large stress correlates in increasing non-hermetic layers.


Based on experimental data for oxygen doped silicon carbide layers deposited under varying processing conditions, hermetic oxygen doped silicon carbide have a change in stress (delta Δ stress) of about 50 MPa or less. The change in stresses were correlated with a FT-IR graph of an SiCO layer deposited under varying processing conditions and examined to determine composition and bonding in the deposited layers.


The FT-IR spectra of a deposited layer is analyzed to check for multiple bonding peaks including S—CH3, Si—O, and Si—C bonds. A ratio of the area under the Si—CH3, Si—O, and Si—C bonds Si—CH3(SiC+Si—O) of the FT-IR graphs was then plotted versus a change in stress from the experimental data. Hermetic layers were determined as having a Si—CH3(Si—C+Si—O) area ratios of about 0.0045 or less for oxygen doped silicon carbide layers (SiCO), such as between about 0.002 and about 0.0045, as shown in FIG. 3. The direct correlation between layer hermeticity and FT-IR spectra allows for the identification between hermeticity and Si—CH3 bonds that indicate a higher concentration of Si—CH3 results in a less hermetic film. As such, deposition process conditions for silicon carbide material may be optimized to deposit films which are hermetic. This further allows for determination of the respective layer thicknesses for layers of the barrier layers described herein as well as deposition conditions.


Referring to FIG. 4, silicon carbide layers of oxygen doped silicon carbide (SiCO) were deposited by the processes described herein as well as a process without hydrogen or dual frequency RF power application, and FT-IR spectrums were plotted on the same chart.


It was surprisingly and unexpectedly discovered that deposition of oxygen doped silicon carbide material by dual frequency RF power plasma in the presence of a reducing agent would improve the deposited material's hermeticity. The use of a reducing agent, such as hydrogen gas, and an oxygen containing compound such as carbon dioxide, to produce improved hermeticity, was unexpected since such compounds comprise competing chemical reactions with organosilicon compounds and may react with each other during a plasma enhanced deposition process. The improved hermeticity of deposition with the reducing agent is illustrated as follows.


The oxygen doped silicon carbide material deposited with a reducing agent may be deposited by introducing carbon dioxide, at a flow rate of 300 sccm into the processing chamber, introducing trimethylsilane (TMS) at a flow rate of about 60 sccm, introducing hydrogen at a flow rate of 240 sccm into the processing chamber, maintaining a heater temperature of about 350° C., maintaining a chamber pressure of about 3 Torr, positioning a gas distributor at about 350 mils from the substrate surface, and applying a RF power of about 125 watts at 13.56 MHz and 65 watts at 356 KHz, to deposit an oxygen doped silicon carbide layer.


The oxygen doped silicon carbide material deposited without a reducing agent may be deposited by introducing carbon dioxide, at a flow rate of 300 sccm into the processing chamber, introducing trimethylsilane (TMS) at a flow rate of about 60 sccm, maintaining a heater temperature of about 350° C., maintaining a chamber pressure of about 3 Torr, positioning a gas distributor at about 350 mils from the substrate surface, and applying a RF power of about 125 watts at 13.56 MHz and 65 watts at 356 KHz, to deposit an oxygen doped silicon carbide layer.


As can be seen from the FT-IR chart, the oxygen doped silicon carbide layer deposited by the processes described herein has the lowest Si—CH3(SiC+Si—O) area ratio and lesser amount of Si—CH3 bonds, and is the more hermetic layer. Various layers of silicon carbide based material may be deposited and compared to the chart to compare hermeticity and suitability in inclusion with the hermetic barrier layer approach.


While the foregoing is directed to preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method for processing a substrate having a dielectric layer and conductive features formed in the dielectric layer, the method comprising: positioning the substrate in a processing chamber;introducing a processing gas comprising a reducing agent, an oxygen containing compound, and an organosilicon compound into the processing chamber;generating a plasma from a dual frequency RF power source; anddepositing a first dielectric material comprising silicon, carbon, and oxygen on the substrate from the processing gas, wherein the first dielectric material has an oxygen content of less than about 20 atomic percent, and wherein the first dielectric material has a ratio of Si—CH3 bonds to bonds of Si—C and SiO (Si—CH3/(SiC+SiO)) of between about 0.002 and about 0.0045.
  • 2. The method of claim 1, further comprising depositing a second dielectric material on the first dielectric material, wherein the second dielectric material comprises silicon, carbon, and oxygen and has an oxygen content of about 20 atomic percent or greater.
  • 3. The method of claim 2, further comprising depositing a resist material on the second dielectric material.
  • 4. The method of claim 1, further comprising exposing the first dielectric material to a curing process comprising a thermal anneal, a plasma treatment, an e-beam treatment, an ultraviolet curing technique, or combinations thereof.
  • 5. The method of claim 1, wherein the oxygen containing compound is selected from the group consisting of carbon dioxide, carbon monoxide, nitrous oxide, phosphoric anhydride, and combinations thereof.
  • 6. The method of claim 1, wherein the reducing agent is selected from the group consisting of hydrogen, ammonia, phosphine, and combinations thereof.
  • 7. The method of claim 1, wherein the organosilicon compound is selected from the group consisting of trimethylsilane, tetramethylsilane, 1,3 ,5,7-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and combinations thereof.
  • 8. The method of claim 1, wherein the processing gas further comprises an inert gas selected from the group consisting of helium, argon, and combinations thereof.
  • 9. The method of claim 1, wherein the processing gas further comprises a dopant component selected from the group consisting of a boron-containing compound, a phosphorus-containing compound, and combinations thereof.
  • 10. A method for processing a substrate, comprising: positioning the substrate in a processing chamber;depositing a dielectric barrier layer on a surface of the substrate by a method comprising: introducing a processing gas comprising a reducing agent, an oxygen containing compound, and an organosilicon compound, into the processing chamber;generating a plasma from a dual frequency RF power source; anddepositing a silicon carbide based dielectric barrier layer comprising silicon, carbon, and oxygen from the processing gas, wherein the silicon carbide based dielectric barrier layer has an oxygen content of less than about 20 atomic percent, and the silicon carbide based dielectric barrier layer has a ratio of Si—CH3 bonds to bonds of Si—C and SiO (Si—CH3/(SiC+SiO)) of between about 0.002 and about 0.0045;depositing a first dielectric material on the silicon carbide based dielectric barrier layer, wherein the first dielectric material comprises silicon, carbon, and oxygen and has an oxygen content of about 20 atomic percent or greater;depositing and patterning an etch stop layer on the first dielectric material;depositing a second dielectric material on the etch stop layer;depositing and patterning a photoresist material on the second dielectric material;etching the first dielectric material and the second dielectric material to form a feature definition;removing the photoresist material; anddepositing one or more conductive materials in the feature definition.
  • 11. The method of claim 10, further comprising polishing the one or more conductive materials to the second dielectric material.
  • 12. The method of claim 10, further comprising depositing an anti-reflective coating prior to the depositing and patterning the photoresist material.
  • 13. The method of claim 12, wherein the anti-reflective coating comprises the same material as the silicon carbide based dielectric barrier layer.
  • 14. The method of claim 10, wherein the etch stop comprises the same material as the silicon carbide based dielectric barrier layer.
  • 15. The method of claim 10, wherein the second dielectric material comprises silicon, carbon, and oxygen and has an oxygen content of about 20 atomic percent or greater.
  • 16. The method of claim 15, further comprising depositing a resist material on the second dielectric material.
  • 17. The method of claim 10, further comprising exposing the first dielectric material to a curing process comprising a thermal anneal, a plasma treatment, an e-beam treatment, an ultraviolet curing technique, or combinations thereof.
  • 18. The method of claim 10, wherein the oxygen containing compound is selected from the group consisting of carbon dioxide, carbon monoxide, nitrous oxide, phosphoric anhydride, and combinations thereof.
  • 19. The method of claim 10, wherein the reducing agent is selected from the group consisting of hydrogen, ammonia, phosphine, and combinations thereof.
  • 20. The method of claim 10, wherein the organosilicon compound is selected from the group consisting of trimethylsilane, tetramethylsilane, 1,3,5,7-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and combinations thereof.
  • 21. The method of claim 10, wherein the processing gas further comprises an inert gas selected from the group consisting of helium, argon, and combinations thereof.
  • 22. The method of claim 10, wherein the processing gas further comprises a dopant component selected from the group consisting of a boron-containing compound, a phosphorus-containing compound, and combinations thereof.
  • 23. The method of claim 10, wherein the one or more conductive materials comprises a conductive barrier material disposed in the feature definition and a conductive material fill layer disposed thereon.
  • 24. The method of claim 23, wherein the conductive barrier material is selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, and combinations thereof, and the conductive material fill layer comprises copper, tungsten, and combinations thereof.
  • 25. The method of claim 1, wherein the first dielectric material is a barrier layer.
US Referenced Citations (203)
Number Name Date Kind
4262631 Kubacki Apr 1981 A
4532150 Endo et al. Jul 1985 A
4634601 Hamakawa et al. Jan 1987 A
4795947 Gleim et al. Jan 1989 A
4822697 Haluska Apr 1989 A
4872947 Wang et al. Oct 1989 A
4894352 Lane et al. Jan 1990 A
4895734 Yoshida et al. Jan 1990 A
4951601 Maydan et al. Aug 1990 A
5003178 Livesay Mar 1991 A
5011706 Tarhay et al. Apr 1991 A
5052339 Vakerlis et al. Oct 1991 A
5086014 Miyata et al. Feb 1992 A
5224441 Felts et al. Jul 1993 A
5238866 Bolz et al. Aug 1993 A
5242530 Batey et al. Sep 1993 A
5279867 Friedt et al. Jan 1994 A
5298597 You et al. Mar 1994 A
5360491 Carey et al. Nov 1994 A
5465680 Loboda Nov 1995 A
5468978 Dowben Nov 1995 A
5480300 Okoshi et al. Jan 1996 A
5494712 Hu et al. Feb 1996 A
5554570 Maeda et al. Sep 1996 A
5565084 Lee et al. Oct 1996 A
5591566 Ogawa Jan 1997 A
5593741 Ikeda Jan 1997 A
5598027 Matsuura Jan 1997 A
5607773 Ahlburn et al. Mar 1997 A
5616369 Williams et al. Apr 1997 A
5618619 Petrmichl et al. Apr 1997 A
5628828 Kawamura et al. May 1997 A
5637351 O'Neal et al. Jun 1997 A
5638251 Goel et al. Jun 1997 A
5641607 Ogawa et al. Jun 1997 A
5658834 Dowben Aug 1997 A
5679413 Petrmichl et al. Oct 1997 A
5683940 Yahiro Nov 1997 A
5691209 Liberkowski Nov 1997 A
5693563 Teong Dec 1997 A
5700720 Hashimoto Dec 1997 A
5703404 Matsuura Dec 1997 A
5710067 Foote et al. Jan 1998 A
5711987 Bearinger et al. Jan 1998 A
5730792 Camilletti et al. Mar 1998 A
5739579 Chiang et al. Apr 1998 A
5741626 Jain et al. Apr 1998 A
5753564 Fukada May 1998 A
5776235 Camilletti et al. Jul 1998 A
5780163 Camilletti et al. Jul 1998 A
5789316 Lu Aug 1998 A
5789319 Havemann et al. Aug 1998 A
5789776 Lancaster et al. Aug 1998 A
5800877 Maeda et al. Sep 1998 A
5800878 Yao Sep 1998 A
5807785 Ravi Sep 1998 A
5817579 Ko et al. Oct 1998 A
5818071 Loboda et al. Oct 1998 A
5821168 Jain Oct 1998 A
5834162 Malba Nov 1998 A
5855681 Maydan et al. Jan 1999 A
5858880 Dobson et al. Jan 1999 A
5869396 Pan et al. Feb 1999 A
5874367 Dobson Feb 1999 A
5876891 Takimoto et al. Mar 1999 A
5888593 Petrmichl et al. Mar 1999 A
5891799 Tsui Apr 1999 A
5926740 Forbes et al. Jul 1999 A
5989998 Sugahara et al. Nov 1999 A
6037274 Kudo et al. Mar 2000 A
6041734 Raoux et al. Mar 2000 A
6051321 Lee et al. Apr 2000 A
6054206 Mountsier Apr 2000 A
6054379 Yau et al. Apr 2000 A
6057251 Goo et al. May 2000 A
6060132 Lee May 2000 A
6068884 Rose et al. May 2000 A
6071809 Zhao Jun 2000 A
6072227 Yau et al. Jun 2000 A
6080526 Yang et al. Jun 2000 A
6107192 Subrahmanyan et al. Aug 2000 A
6124641 Matsuura Sep 2000 A
6140226 Grill et al. Oct 2000 A
6147009 Grill et al. Nov 2000 A
6159871 Loboda et al. Dec 2000 A
6169039 Lin et al. Jan 2001 B1
6242339 Aoi Jun 2001 B1
6242530 Konig et al. Jun 2001 B1
6255211 Olsen et al. Jul 2001 B1
6261892 Swanson Jul 2001 B1
6287990 Cheung et al. Sep 2001 B1
6291334 Somekh Sep 2001 B1
6303523 Cheung et al. Oct 2001 B2
6312793 Grill et al. Nov 2001 B1
6316063 Andideh et al. Nov 2001 B1
6316167 Angelopoulos et al. Nov 2001 B1
6340435 Bjorkman et al. Jan 2002 B1
6340628 Van Cleemput et al. Jan 2002 B1
6344693 Kawahara et al. Feb 2002 B1
6348725 Cheung et al. Feb 2002 B2
6352945 Matsuki et al. Mar 2002 B1
6365527 Yang et al. Apr 2002 B1
6383955 Matsuki et al. May 2002 B1
6399489 M′Saad et al. Jun 2002 B1
6410462 Yang et al. Jun 2002 B1
6410463 Matsuki Jun 2002 B1
6410770 Arkles et al. Jun 2002 B2
6413583 Moghadam et al. Jul 2002 B1
6417092 Jain et al. Jul 2002 B1
6432846 Matsuki Aug 2002 B1
6436824 Chooi et al. Aug 2002 B1
6437443 Grill et al. Aug 2002 B1
6440878 Yang et al. Aug 2002 B1
6441491 Grill et al. Aug 2002 B1
6444136 Liu et al. Sep 2002 B1
6444568 Sundararajan et al. Sep 2002 B1
6455445 Matsuki Sep 2002 B2
6465366 Nemani et al. Oct 2002 B1
6479110 Grill et al. Nov 2002 B2
6486061 Xia et al. Nov 2002 B1
6495447 Okada et al. Dec 2002 B1
6500773 Gaillard et al. Dec 2002 B1
6511903 Yau et al. Jan 2003 B1
6511909 Yau et al. Jan 2003 B1
6528432 Ngo et al. Mar 2003 B1
6532150 Sivertsen et al. Mar 2003 B2
6534397 Okada et al. Mar 2003 B1
6537929 Cheung et al. Mar 2003 B1
6541282 Cheung et al. Apr 2003 B1
6541398 Grill et al. Apr 2003 B2
6548690 Mimoun Apr 2003 B2
6548899 Ross Apr 2003 B2
6555476 Olsen et al. Apr 2003 B1
6562690 Cheung et al. May 2003 B1
6573193 Yu et al. Jun 2003 B2
6573196 Gaillard et al. Jun 2003 B1
6582777 Ross et al. Jun 2003 B1
6583048 Vincent et al. Jun 2003 B1
6592890 Green Jul 2003 B1
6593247 Huang et al. Jul 2003 B1
6593633 Jan et al. Jul 2003 B2
6593653 Sundararajan et al. Jul 2003 B2
6593655 Loboda et al. Jul 2003 B1
6596655 Cheung et al. Jul 2003 B1
6624053 Passemard Sep 2003 B2
6627532 Gaillard et al. Sep 2003 B1
6649531 Cote et al. Nov 2003 B2
6660656 Cheung et al. Dec 2003 B2
6660663 Cheung et al. Dec 2003 B1
6673721 Kim et al. Jan 2004 B1
6673725 Shioya et al. Jan 2004 B2
6699784 Xia et al. Mar 2004 B2
6716770 O'Neill et al. Apr 2004 B2
6730593 Yau et al. May 2004 B2
6734115 Cheung et al. May 2004 B2
6759327 Xia et al. Jul 2004 B2
6768200 Grill et al. Jul 2004 B2
6770573 Grill et al. Aug 2004 B2
6790789 Grill et al. Sep 2004 B2
20010004479 Cheung et al. Jun 2001 A1
20010005546 Cheung et al. Jun 2001 A1
20010021590 Matsuki Sep 2001 A1
20010055672 Todd Dec 2001 A1
20020000670 Yau et al. Jan 2002 A1
20020016085 Huang et al. Feb 2002 A1
20020045361 Cheung et al. Apr 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020074309 Bjorkman et al. Jun 2002 A1
20020093075 Gates et al. Jul 2002 A1
20020098714 Grill et al. Jul 2002 A1
20020111042 Yau et al. Aug 2002 A1
20020137359 Grill et al. Sep 2002 A1
20020155386 Xu et al. Oct 2002 A1
20020160626 Matsuki et al. Oct 2002 A1
20020164868 Chang et al. Nov 2002 A1
20020172766 Laxman et al. Nov 2002 A1
20020180051 Grill et al. Dec 2002 A1
20030001282 Meynen et al. Jan 2003 A1
20030003765 Gibson, Jr. et al. Jan 2003 A1
20030003768 Cho et al. Jan 2003 A1
20030008069 Nemani et al. Jan 2003 A1
20030030057 Bencher et al. Feb 2003 A1
20030040195 Chang et al. Feb 2003 A1
20030042605 Andideh et al. Mar 2003 A1
20030064154 Laxman et al. Apr 2003 A1
20030068881 Xia et al. Apr 2003 A1
20030077857 Xia et al. Apr 2003 A1
20030089988 Matsuura May 2003 A1
20030111730 Takeda et al. Jun 2003 A1
20030114000 Noguchi Jun 2003 A1
20030129827 Lee et al. Jul 2003 A1
20030139035 Yim et al. Jul 2003 A1
20030139062 Grill et al. Jul 2003 A1
20030143865 Grill et al. Jul 2003 A1
20030194495 Li et al. Oct 2003 A1
20030194496 Xu et al. Oct 2003 A1
20030198742 Vrtis et al. Oct 2003 A1
20030234450 Grill et al. Dec 2003 A1
20040115876 Goundar et al. Jun 2004 A1
20040126929 Tang et al. Jul 2004 A1
20040161535 Goundar et al. Aug 2004 A1
20050245100 Wu et al. Nov 2005 A1
20050287747 Chakravarti et al. Dec 2005 A1
Foreign Referenced Citations (43)
Number Date Country
41 26 759 Feb 1993 DE
196 54 737 Dec 1996 DE
198 04 375 Jul 1999 DE
199 04 311 Aug 1999 DE
0 112 300 Jun 1984 EP
0 613 178 Feb 1994 EP
0 725 440 Aug 1996 EP
0 771 886 May 1997 EP
0 774 533 May 1997 EP
0 840 365 May 1998 EP
0 849 789 Jun 1998 EP
0 885 983 Dec 1998 EP
0 926 715 Jun 1999 EP
0 926 724 Jun 1999 EP
0 935 283 Aug 1999 EP
1 029 728 Aug 2000 EP
1 037 275 Sep 2000 EP
1 107 303 Jun 2001 EP
1 122 770 Aug 2001 EP
1 123 991 Aug 2001 EP
1 176 226 Jan 2002 EP
2 316 535 Feb 1998 GB
61-257475 Nov 1986 JP
09-008031 Jan 1997 JP
9-64029 Mar 1997 JP
9-237785 Sep 1997 JP
9-251997 Sep 1997 JP
9-260369 Oct 1997 JP
09-320075 Dec 1997 JP
10-242143 Sep 1998 JP
11-251293 Sep 1999 JP
WO 9808249 Feb 1998 WO
WO 9859089 Dec 1998 WO
WO 9933102 Jul 1999 WO
WO 9938202 Jul 1999 WO
WO 9941423 Aug 1999 WO
WO 9955526 Nov 1999 WO
WO 0001012 Jan 2000 WO
WO 0019498 Apr 2000 WO
WO 0019508 Apr 2000 WO
WO 0020900 Apr 2000 WO
WO 0101472 Jan 2001 WO
WO 0243119 May 2002 WO
Related Publications (1)
Number Date Country
20060006140 A1 Jan 2006 US