Methods and systems for determining an adhesion characteristic and a thickness of a specimen

Abstract
Methods and systems for monitoring semiconductor fabrication processes are provided. A system may include a stage configured to support a specimen and coupled to a measurement device. The measurement device may include an illumination system and a detection system. The illumination system and the detection system may be configured such that the system may be configured to determine multiple properties of the specimen. For example, the system may be configured to determine multiple properties of a specimen including, but not limited to, an adhesion characteristic and a thickness. In this manner, a measurement device may perform multiple optical and/or non-optical metrology and/or inspection techniques.
Description


BACKGROUND OF THE INVENTION

[0002] 1. Field of the Invention


[0003] This invention generally relates to methods and systems for semiconductor fabrication processes. Certain embodiments relate to a method and a system for evaluating and/or controlling a semiconductor fabrication process by determining at least two properties of a specimen.


[0004] 2. Description of the Related Art


[0005] Fabrication of semiconductor devices such as logic and memory devices typically includes a number of processes that may be used to form various features and multiple levels or layers of semiconductor devices on a surface of a semiconductor wafer or another appropriate substrate. For example, lithography is a process that typically involves transferring a pattern to a resist arranged on a surface of a semiconductor wafer. Additional examples of semiconductor fabrication processes may include chemical-mechanical polishing, etch, deposition, ion implantation, plating, and cleaning. Semiconductor devices are significantly smaller than a typical semiconductor wafer or substrate, and an array of semiconductor devices may be formed on a semiconductor wafer. After processing is complete, the semiconductor wafer may be separated into individual semiconductor devices.


[0006] Semiconductor fabrication processes, however, are among the most sophisticated and complex processes used in manufacturing. In order to perform efficiently, semiconductor fabrication processes may require frequent monitoring and careful evaluation. For example, semiconductor fabrication processes may introduce a number of defects (e.g., non-uniformities) into a semiconductor device. As an example, defects may include contamination introduced to a wafer during a semiconductor fabrication process by particles in process chemicals and/or in a clean room environment. Such defects may adversely affect the performance of the process to an extent that overall yield of the fabrication process may be reduced below acceptable levels. Therefore, extensive monitoring and evaluation of semiconductor fabrication processes may typically be performed to ensure that the process is within design tolerance and to increase the overall yield of the process. Ideally, extensive monitoring and evaluation of the process may take place both during process development and during process control of semiconductor fabrication processes.


[0007] As features sizes of semiconductor devices continue to shrink, a minimum feature size that may be fabricated may often be limited by the performance characteristics of a semiconductor fabrication process. Examples of performance characteristics of a semiconductor fabrication process include, but are not limited to, resolution capability, across chip variations, and across wafer variations. In optical lithography, for example, performance characteristics such as resolution capability of a lithography process may be limited by the quality of the resist application, the performance of the resist material, the performance of the exposure tool, and the wavelength of light used to expose the resist. The ability to resolve a minimum feature size, however, may also be strongly dependent on other critical parameters of the lithography process such as a temperature of a post exposure bake process and an exposure dose of an exposure process. As such, controlling the parameters of processes that may be critical to the resolution capability of a semiconductor fabrication process such as a lithography process is becoming increasingly important to the successful fabrication of semiconductor devices.


[0008] As the dimensions of semiconductor devices continue to shrink with advances in semiconductor materials and processes, the ability to examine microscopic features and to detect microscopic defects has also become increasingly important to the successful fabrication of semiconductor devices. Significant research has been focused on increasing the resolution limit of metrology and/or inspection tools used to examine microscopic features and defects. There are several disadvantages, however, in using the currently available methods and systems for metrology and/or inspection of specimens fabricated by semiconductor fabrication processes. For example, multiple stand-alone metrology/inspection systems may be used for metrology and/or inspection of specimens fabricated by such processes. As used herein, “stand-alone metrology/inspection system” may generally refer a system that is not coupled to a process tool and is operated independently of any other process tools and/or metrology/inspection systems. Multiple metrology/inspection systems, however, may occupy a relatively large amount of clean room space due to the footprints of each of the metrology and/or inspection systems.


[0009] In addition, testing time and process delays associated with measuring and/or inspecting a specimen with multiple metrology/inspection systems may increase the overall cost of manufacturing and the manufacturing time for fabricating a semiconductor device. For example, process tools may often be idle while metrology and/or inspection of a specimen is performed such that the process may be evaluated before additional specimens are processed thereby increasing manufacturing delays. Furthermore, if processing problems can not be detected before additional wafers have been processed, wafers processed during this time may need to be scrapped, which increases the overall cost of manufacturing. Additionally, buying multiple metrology/inspection systems increases the cost of fabrication.


[0010] In an additional example, for in situ metrology and/or inspection using multiple currently available systems, determining a characteristic of a specimen during a process may be difficult if not impossible. For example, measuring and/or inspecting a specimen with multiple currently available systems during a lithography process may introduce a delay time between or after process steps of the process. If the delay time is relatively long, the performance of the resist may be adversely affected, and the overall yield of semiconductor devices may be reduced. As such, there may also be limitations on process enhancement, control, and yield of semiconductor fabrication processes due to the limitations associated with metrology and/or inspection using multiple currently available systems. Process enhancement, control, and yield may also be limited by an increased potential for contamination associated with metrology and/or inspection using multiple currently available metrology/inspection systems. In addition, there may be practical limits to using multiple metrology/inspection systems in semiconductor manufacturing processes. In an example, for in situ metrology and/or inspection using multiple currently available systems, integrating multiple metrology/inspection systems into a process tool or a cluster tool may be difficult due to the availability of space within the tool.



SUMMARY OF THE INVENTION

[0011] An embodiment relates to a system that may be configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0012] In an embodiment, the first property may include a critical dimension of the specimen. The second property may include overlay misregistration of the specimen. In addition, the processor may be configured to determine a third and/or a fourth property of the specimen from the one or more output signals. For example, a third property of the specimen may include a presence of defects on the specimen, and the fourth property of the specimen may include a flatness measurement of the specimen. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0013] In an embodiment, the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine the third property and/or the fourth property of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, or a feedforward control technique.


[0014] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen. The method may also include detecting energy propagating from the surface of the specimen. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0015] In an embodiment, the first property may include a critical dimension of the specimen. The second property may include overlay misregistration of the specimen. In addition, the method may further include processing the one or more output signals to determine a third and/or a fourth property of the specimen. For example, a third and a fourth property of the specimen may include a presence of defects on the specimen and a flatness measurement of the specimen. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon the specimen.


[0016] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, or a feedforward control technique.


[0017] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may further include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a critical dimension of the specimen. Furthermore, the second property may include overlay misregistration of the specimen. The computer-implemented method may also include processing the one or more output signals to determine a third and/or fourth properties of the specimen. In an example, the third and fourth properties of the specimen may include a presence of defects on the specimen and a flatness measurement of the specimen.


[0018] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0019] In an embodiment, the first property may include a presence of defects on specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a dual beam spectrophotometer, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0020] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, or a feedforward control technique.


[0021] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen. The method may also include detecting energy propagating from the surface of the specimen. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0022] In an embodiment, the first property may include a presence of defects on specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen.


[0023] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, or a feedforward control technique.


[0024] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a presence of defects on specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0025] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0026] In an embodiment, the first property may include a presence of defects on specimen. The second property may include a critical dimension of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0027] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0028] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0029] In an embodiment, the first property may include a presence of defects on specimen. The second property may include a critical dimension of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0030] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0031] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a presence of defects on specimen. The second property may include a critical dimension of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0032] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0033] In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a dual beam spectrophotometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0034] In an embodiment, the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0035] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0036] In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0037] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0038] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a critical dimension of the specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0039] An embodiment relates to a system configured to determine at least three properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property, a second property and a third property of the specimen from the one or more output signals.


[0040] In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-image imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0041] In an embodiment, the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property, the second property and the third property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first, second, or third property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0042] An additional embodiment relates to a method for determining at least three properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property, a second property, and a third property of the specimen.


[0043] In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0044] In an embodiment, processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0045] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least three properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen. For example, the first property may include a critical dimension of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0046] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0047] In an embodiment, the first property may include a presence of macro defects on the specimen. The second property may a presence of micro defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0048] In an embodiment, the processor may include a local processor coupled to the measurement device or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0049] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may also include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0050] In an embodiment, the first property may include a presence of macro defects on the specimen. The second property may be a presence of micro defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0051] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0052] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a presence of macro defects on the specimen. The second property may be a presence of micro defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0053] An embodiment relates to a system configured to determine at least three properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property, a second property and a third property of the specimen from the one or more output signals.


[0054] In an embodiment, the first property may include a flatness measurement of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0055] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property, the second property and the third property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first second or third property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0056] An additional embodiment relates to a method for determining at least three properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property, a second property, and a third property of the specimen.


[0057] In an embodiment, the first property may include a flatness measurement of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0058] In an embodiment, processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0059] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least three properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen. For example, the first property may include a flatness measurement of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0060] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the detected light.


[0061] In an embodiment, the first property may include overlay misregistration of the specimen. The second property may include a flatness measurement of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0062] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0063] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0064] In an embodiment, the first property may include overlay misregistration of the specimen. The second property may include a flatness measurement of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0065] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0066] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include overlay misregistration of the specimen. The second property may include a flatness measurement of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0067] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0068] In an embodiment, the first property may include a characteristic of an implanted region of the specimen. The second property may include a presence of defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0069] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0070] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0071] In an embodiment, the first property may include a characteristic of an implanted region of the specimen. The second property may include a presence of defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0072] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the semiconductor fabrication process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0073] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a characteristic of an implanted region of the specimen. The second property may include a presence of defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0074] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may be configured to generate one or more output signals in response to the detected light. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0075] In an embodiment, the first property may include an adhesion characteristic of the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0076] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0077] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0078] In an embodiment, the first property may include an adhesion characteristic of the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0079] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0080] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include an adhesion characteristic of the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0081] An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The process may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.


[0082] In an embodiment, the first property may include a concentration of an element in the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, an ellipsometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0083] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0084] An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.


[0085] In an embodiment, the first property may include a concentration of an element in the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0086] In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0087] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a concentration of an element in the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0088] An embodiment relates to a system coupled to a deposition tool. The deposition tool may be configured to form a layer of material on a specimen. The layer of material may be formed on the specimen by the deposition tool. The measurement device may be configured to determine a characteristic of a layer of material prior to, during, or after formation of the layer. The system may include a stage configured to support the specimen. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen prior to, during, or after formation of the layer. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen prior to, during, or after formation of the layer. The measurement device may be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine a characteristic of the layer from the one or more output signals. The processor may also be coupled to the deposition tool. The processor may be configured to alter a parameter of one or more instruments coupled to the deposition tool. Additionally, the processor may be configured to alter a parameter of the instruments coupled to the deposition tool in response to the determined characteristic of the formed layer.


[0089] In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. The deposition tool may include any tool configured to form a layer upon a semiconductor substrate. Deposition tools may include chemical vapor deposition tools, physical vapor deposition tool, atomic layer deposition tools, and electroplating tools.


[0090] In an embodiment, the processor may include a local processor coupled to the measurement device and/or the deposition tool and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a characteristic of the formed layer on the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled to a deposition tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the deposition tool in response to at least the determined characteristic of a layer formed upon the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0091] An additional embodiment relates to a method of evaluating a characteristic of a layer formed upon a specimen. The method may include depositing a layer upon a specimen using a deposition tool. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to determine a characteristic of the formed layer.


[0092] In an embodiment, the processor may be configured to determine a characteristic of the formed layer. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0093] In an embodiment, processing the one or more output signals to determine a characteristic of a formed layer may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the deposition tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the deposition tool using the remote controller computer in response to at least the determined characteristic of the formed layer on the specimen. Altering the parameter of the deposition tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0094] Additional embodiments relate to a computer-implemented method for controlling a system that includes a deposition tool and a measurement device. Controlling the system may include controlling the measurement device, the deposition tool, or both. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a characteristic of the layer as it is formed or after it is formed. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0095] An embodiment relates to a system that includes an etch tool coupled to a beam profile ellipsometer. The etch tool may be configured to direct chemically reactive and/or ionic species toward a specimen. The beam profile ellipsometer may be configured to determine a property of an etched region of the specimen during or after the etching process. The beam profile ellipsometer may include an illumination system configured to direct an incident beam of light having a known polarization state toward a surface of the specimen during or after etching of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to generate one or more output signals representative of light returned from the specimen during or after etching of the specimen. The system may also include a processor coupled to the measurement device. The processor may be configured to determine a property of the etched region of a specimen from the one or more output signals. The processor may also be coupled to the etch tool. The processor may alter a parameter of one or more instruments coupled to the etch tool. Additionally, the processor may be configured to alter a parameter of the instruments coupled to the etch tool in response to the properties of the etched layer.


[0096] In an embodiment, the system may also include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, or any combination thereof. In this manner, the system may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0097] In an embodiment, the processor may include a local processor coupled to the beam profile ellipsometer and/or the etch tool and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a property of an etched region on the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled to a etch tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the etch tool in response to at least the determined property of the etched region of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0098] An additional embodiment relates to a method of evaluating an etched region of a specimen with a beam profile ellipsometer. The method may include etching a layer upon a specimen using an etch tool. The beam profile ellipsometer may include an illumination system and a detection system. In addition, the method may include directing light toward a surface of the specimen using the illumination system. The method may also include detecting light propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to a property of the etched region of the specimen. In addition, the method may include processing the one or more output signals to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0099] In an embodiment, processing the one or more output signals to determine a property of an etched region of a specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the beam profile ellipsometer. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the etch tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the etch tool using the remote controller computer in response to at least the determined characteristic of the formed layer on the specimen. Altering the parameter of the etch tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0100] Additional embodiments relate to a computer-implemented method for controlling a system that includes an etch tool and a beam profile ellipsometer. Controlling the system may include controlling the beam profile ellipsometer, the etch tool, or both. In addition, the beam profile ellipsometer may include an illumination system and a detection system. The beam profile ellipsometer may also be coupled to a stage. Controlling the beam profile ellipsometer may include controlling the illumination system to direct light toward a surface of the specimen. Additionally, controlling the beam profile ellipsometer may include controlling the detection system to detect light propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected light. The computer-implemented method may further include processing the one or more output signals to determine at least a property of an etched region of a specimen during etching, after the region is etched, or both. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0101] An embodiment relates to a system that includes an ion implanter coupled to a measurement device. The measurement device may be configured to determine at least a characteristic of an implanted region of a specimen. The measurement device may be configured to determine a characteristic of an implanted region of a specimen during or after implantation of the specimen. The system may include a stage configured to support the specimen. The measurement device may include an illumination system configured to periodically direct two or more beams of light toward a surface of the specimen during or after implantation. In one embodiment, the measurement device may direct an incident beam of light to a specimen to periodically excite a region of the specimen during implantation. Additionally, the measurement device may direct a sample beam of light to the excited region of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to measure an intensity of the sample beam reflected from the excited region of the specimen. The measurement device may also be configured to generate one or more output signals in response to the measured intensity.


[0102] The system may also include a processor coupled to the measurement device. The processor may be configured to determine a characteristic of an implanted region from the one or more output signals. The processor may also be coupled to the ion implanter. The processor may be configured to alter a parameter coupled to one or more instruments coupled to the ion implanter. Additionally, the processor may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic of the implanted region.


[0103] In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a modulated optical reflectance device, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0104] In an embodiment, the processor may include a local processor coupled to the measurement device and/or the ion implanter and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a characteristic of the implanted region of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled to an ion implanter. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the ion implanter in response to at least the determined property of the ion implantation region of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0105] An additional embodiment relates to a method of evaluating an implanted region of a specimen. The method may include implanting ions into a region of a specimen using an ion implanter. The measurement device may include an illumination system and a detection system. In addition, the method may include directing an incident beam of light toward a region of the specimen to periodically excite the region of the specimen during implantation or after implantation. A sample beam may also be directed to the excited region of the specimen. The method may also include measuring an intensity of light propagating from the excited region of the specimen using the detection system. The method may further include generating one or more output signals in response to the measured intensity. Furthermore, the method may include processing the one or more output signals to determine a characteristic of the implanted region. In addition, the method may include processing the one or more output signals to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0106] In an embodiment, processing the one or more output signals to determine a property of an ion implantation region may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the ion implanter. In this manner, the method may include altering a parameter of one or more instruments coupled to the ion implanter using the remote controller computer in response to at least the determined property of the ion implanted region of the specimen. Altering the parameter of the ion implanter may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0107] Additional embodiments relate to a computer-implemented method for controlling a system that includes an ion implanter and a measurement device. Controlling the system may include controlling the measurement device, the ion implanter, or both. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct light toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect light propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected light. The computer-implemented method may further include processing the one or more output signals to determine at least a characteristic an implanted region of the specimen. In addition, the method may include determining other properties of the specimen from the one or more output signals.


[0108] An embodiment relates to a system that includes a process chamber coupled to a measurement device. The process chamber may be configured to fabricate a portion of a semiconductor device on a specimen. The measurement device may be configured to determine a presence of defects on a specimen. The measurement device may be configured to determine a presence of defects on a specimen prior to, during, or after fabrication of a portion of the semiconductor device on the specimen. In one embodiment, the measurement device may be configured to detect micro defects. The system may include a stage configured to support the specimen. The stage may be configured to rotate.


[0109] The measurement device may include an illumination system configured to direct energy toward a surface of the specimen prior to, during, or after fabrication. Additionally, the measurement device may be configured to direct energy toward a surface of the specimen while the stage is stationary or while the stage is rotating. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The detection system may detect energy prior to, during, or after fabrication. The detection system may also be configured to detect energy while the stage is stationary or rotating. The measurement device may also be configured to generate one or more output signals in response to the detected energy.


[0110] The system may also include a processor coupled to the measurement device. The processor may be configured to a presence of defects on a surface of the specimen from the one or more output signals. The processor may also be coupled to the process chamber. The processor may control a parameter of one or more instruments coupled to the process chamber. Additionally, the processor may be configured to alter a parameter of one or more instruments coupled to the process chamber in response to the detection of micro defects on the surface of the specimen.


[0111] In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0112] In an embodiment, the processor may include a local processor coupled to the measurement device and/or the process chamber and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine a presence of defects on the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled the process chamber. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process chamber in response to a determined presence of defects on the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0113] An additional embodiment relates to a method of evaluating a presence of defects on a surface of a specimen using a system that includes a process tool and a measurement device. The method may be used to detect a presence of micro defects on a specimen. The method may include fabricating a portion of a semiconductor device on a specimen using a process tool. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen. The method may also include detecting energy propagating from the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine a presence of defects on the specimen. The measurement device may be configured to determine the presence of defects prior to, during, or after a process. The specimen may also be placed on a stage. The method may include determining a presence of defects on the specimen while the stage is stationary or a while the stage is rotating.


[0114] In addition, the method may include determining other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0115] In an embodiment, processing the one or more output signals to determine a presence of defects on a specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the one or more output signals. Altering the parameter of the process tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0116] Additional embodiments relate to a computer-implemented method for controlling a system that includes a process tool and a measurement device. Controlling the system may include controlling the measurement device, the process tool, or both. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine a presence of defects on the specimen prior to, during, or subsequent to processing. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals.


[0117] An embodiment relates to a system that may be configured to determine a presence of defects on multiple surfaces of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The stage may be configured to move. The measurement device may include an illumination system configured to direct energy toward a front side and a back side of the specimen. The illumination system may be used while the stage is stationary or moving. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating along multiple paths from the front and back sides of the specimen. The system may also include a processor coupled to the measurement device. The measurement device may be configured to generate one or more output signals in response to the detected light. The processor may be configured to determine a presence of defects on the front and back sides of the specimen from the one or more output signals.


[0118] In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0119] In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a presence of defects on the front and back sides of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0120] An additional embodiment relates to a method for determining defects on multiple surfaces of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a front side and a back side of the specimen using the illumination system. The method may also include detecting energy propagating along multiple paths from the front and back sides of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine the presence of defects on the front and back sides of the specimen.


[0121] In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.


[0122] In an embodiment, processing the one or more output signals to determine the presence of defects on multiple surfaces of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to a determined presence of defects on multiple surfaces of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.


[0123] Additional embodiments relate to a computer-implemented method for controlling a system configured to determine defects on multiple surfaces of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The stage may be configured to move. The method may also include controlling the stage such that the specimen is moved during analysis. The method may further include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine a presence of defects on multiple surfaces of the specimen.


[0124] In an embodiment, any of the systems, as described herein, may be used during the production of a semiconductor device. A semiconductor device may be formed using one or more semiconductor processing steps. Each processing step may cause a change to a specimen. After a processing step, a portion of the semiconductor device may be formed upon a specimen. Prior to, during, or subsequent to a processing step, the specimen may be placed on a stage of a system configured to determine at least two properties of the specimen. The system may be configured according to any of the above embodiments.


[0125] After the first and second properties are determined, these properties may be used to determine further processing steps for formation of the semiconductor device. For example, the system may be used to evaluate if a semiconductor process is performing adequately. If a semiconductor process is not performing adequately, data obtained from the system may be used to determine further processing the specimen. In another embodiment, detection of an incorrectly processed specimen may indicate that the specimen should be removed from the semiconductor process. By using a multiple analysis system such as described above, processing of semiconductor devices may be enhanced. The time required for testing may be reduced. Also, the use of multiple tests may ensure that only apparently properly processed specimens are advanced to the next processing steps. In this manner, yield of semiconductor devices may increase.







BRIEF DESCRIPTION OF THE DRAWINGS

[0126] Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which:


[0127]
FIG. 1 depicts a schematic top view of an embodiment of a specimen having a plurality of dies and a plurality of defects on a surface of a specimen;


[0128]
FIG. 2

a
depicts a schematic top view of an embodiment of a stage configured to move rotatably during use and a measurement device configured to move linearly during use;


[0129]
FIG. 2

b
depicts a schematic top view of an embodiment of a stage configured to move rotatably during use and a stationary measurement device;


[0130]
FIG. 3 depicts a schematic side view of an embodiment of a system having one illumination system and one detection system;


[0131]
FIG. 4 depicts a schematic side view of an embodiment of a system having multiple illumination systems and one detection system;


[0132]
FIG. 5 depicts a schematic side view of an embodiment of a system having multiple illumination systems and multiple detection system;


[0133]
FIG. 6 depicts a schematic side view of an embodiment of a system having one illumination system and multiple detection systems;


[0134]
FIG. 7 depicts a schematic side view of an embodiment of a system having one illumination system and multiple detection systems;


[0135]
FIG. 8 depicts a schematic side view of an embodiment of a specimen;


[0136]
FIG. 9 depicts a schematic top view of an embodiment of a system having a plurality of measurement devices;


[0137]
FIG. 10 depicts a schematic side view of an embodiment of a system configured to determine a critical dimension of a specimen;


[0138]
FIG. 11

a
depicts a schematic side view of an embodiment of a measurement device configured to determine a critical dimension of a specimen;


[0139]
FIG. 11

b
depicts a schematic side view of an embodiment of a portion of a measurement device configured to determine a critical dimension of a specimen;


[0140]
FIG. 12 depicts a schematic side view of an embodiment of a system configured to determine multiple properties of multiple surfaces of a specimen;


[0141]
FIG. 13 depicts a schematic top view of an embodiment of a system coupled to a semiconductor fabrication process tool;


[0142]
FIG. 14 depicts a perspective view of an embodiment of a system configured to be coupled to a semiconductor fabrication process tool;


[0143]
FIG. 15 depicts a perspective view of an embodiment of a system coupled to a semiconductor fabrication process tool;


[0144]
FIG. 16 depicts a schematic side view of an embodiment of a system disposed within a measurement chamber;


[0145]
FIG. 17 depicts a schematic side view of an embodiment of a measurement chamber arranged laterally proximate to a process chamber of a semiconductor fabrication process tool;


[0146]
FIG. 18 depicts a schematic side view of an embodiment of a system coupled to a process chamber of a semiconductor fabrication process tool;


[0147]
FIG. 19 depicts a flow chart illustrating an embodiment of a method for determining at least two properties of a specimen;


[0148]
FIG. 20 depicts a flow chart illustrating an embodiment of a method for processing detected light returned from a surface of the specimen;


[0149]
FIG. 21 depicts a flow chart illustrating an embodiment of a method for controlling a system configured to determine at least two properties of a specimen;


[0150]
FIG. 22 depicts a schematic side view of an embodiment of a system coupled to a chemical-mechanical polishing tool;


[0151]
FIG. 23 depicts a schematic side view of an embodiment of a system coupled to a chemical vapor deposition tool;


[0152]
FIG. 24 depicts a schematic side view of an embodiment of a system coupled to an etch tool;


[0153]
FIG. 25 depicts a schematic side view of an embodiment of a system coupled to an ion implanter;


[0154]
FIG. 26 depicts a schematic side view of an embodiment of a system configured to determine a characteristic of micro defects on a surface of a specimen; and


[0155]
FIG. 27 depicts a schematic side view of an embodiment of a system configured to determine a characteristic of defects of multiple surfaces of a specimen.







[0156] While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.


DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0157] Turning now to the drawings, FIG. 1 illustrates a schematic top view of an embodiment of a surface of specimen 10. Specimen 10 may include a substrate such as a monocrystalline silicon substrate, a silicon germanium substrate, or a gallium arsenide substrate. In addition, specimen 10 may include any substrate suitable for fabrication of semiconductor devices. Specimen 10 may include plurality of dies 12 having repeatable pattern features. Alternatively, specimen 10 may be unpatterned such as a virgin semiconductor wafer or an unprocessed wafer. In addition, specimen 10 may include a glass substrate or any substrate formed from a substantially transparent material, which may be suitable for fabrication of a reticle. Furthermore, specimen 10 may include any specimen known in the art.


[0158] In addition, specimen 10 may include one or more layers arranged upon a substrate. For example, layers which may be formed on a substrate may include, but are not limited to, a resist, a dielectric material, and/or a conductive material. The resist may include photoresist materials that may be patterned by an optical lithography technique. The resist may include other resists, however, such as e-beam resists or X-ray resists that may be patterned by an e-beam or an X-ray lithography technique, respectively. Examples of an appropriate dielectric material may include, but are not limited to, silicon dioxide, silicon nitride, silicon oxynitride, and titanium nitride. In addition, examples of an appropriate conductive material may include aluminum, polysilicon, and copper. Furthermore, a specimen may also include semiconductor devices such as transistors formed on a substrate such as a wafer.


[0159]
FIGS. 2

a
and 2b illustrate a schematic top view of an embodiment of stage 24 configured to support a specimen. The stage may be a vacuum chuck or an electrostatic chuck. In this manner, a specimen may be held securely in place on the stage. In addition, the stage may be a motorized translation stage, a robotic wafer handler, or any other suitable mechanical device known in the art. In an embodiment, the system may include measurement device 26 coupled to the stage. As such, the stage may be configured to impart relative motion to the specimen with respect to the measurement device. In an example, the stage may be configured to move specimen relative to the measurement device in a linear direction. The relative motion of the stage may cause an incident beam of energy from an energy source of a measurement device to traverse the surface of the specimen while leaving the angle of incidence at which light strikes the surface of the specimen substantially unchanged. As used herein, the term “measurement device” is generally used to refer to a metrology device, an inspection device, or a combination metrology and inspection device.


[0160] As shown in FIGS. 2a and 2b, stage 24 may be configured to rotate in clockwise and counterclockwise directions as indicated by vector 28 such that a specimen may be oriented with respect to measurement device 26 in a plurality of directions. As such, the stage may also be used to correct an orientation of a specimen such that a specimen may be substantially aligned with respect to a measurement device during measurement or inspection. In addition, stage 24 may be further configured to rotate and to move linearly simultaneously. Examples of methods for aligning a specimen to a measurement device are illustrated in U.S. Pat. No. 5,682,242 to Eylon, U.S. Pat. No. 5,867,590 to Eylon, and U.S. Pat. No. 6,038,029 to Finarov, and are incorporated by reference as if fully set forth herein.


[0161] In an embodiment, stage 24 may be further configured to move along a z-axis to alter a distance between a specimen and measurement device 26. For example, altering a distance between a specimen and a measurement device may substantially focus a beam of energy from an energy source of the measurement device on the surface of the specimen. Examples of focusing systems are illustrated in U.S. Pat. No. 5,604,344 to Finarov, and U.S. Pat. No. 6,124,924 to Feldman et al., which are incorporated by reference as if fully set forth herein. An example for focusing a charged particle beam on a specimen is illustrated in European Patent Application No. EP 1 081 741 A2 to Pearl et al., and is incorporated by reference as if fully set forth herein.


[0162] As shown in FIG. 2a, stage 24 may be configured to move with respect to measurement device 26, and the measurement device may be configured to move with respect to the stage. For example, measurement device 26 may be configured to move linearly along a direction indicated by vector 29 while stage 24 may be configured to move rotatably. As such, an incident beam of energy from an energy source of the measurement device may traverse a radius of the stage as the stage is rotating.


[0163] As shown in FIG. 2b, measurement device 30 may be configured to be relatively stationary in a position relative to stage 24. Devices (not shown) including, but not limited to, a deflector such as an acousto-optical deflector (“AOD”) within measurement device 30 may be configured to linearly alter a position of an incident beam with respect to the stage. An example of an AOD is illustrated in PCT Application No. WO 01/14925 A1 to Allen et al., and is incorporated by reference as if fully set forth herein. In this manner, the incident beam may be traverse a radius of the stage as the stage is rotating. In addition, by altering a position of an incident beam with respect to the stage using such devices, registry of the measurement device with a pattern formed on a surface of a specimen may be maintained. The device may be configured to cause an incident beam of energy from an energy source of the measurement device to traverse the surface of the specimen while leaving the angle of incidence at which the beam of energy strikes the surface of the specimen substantially unchanged.


[0164] In a further embodiment, measurement device 30 may include a plurality of energy sources such as illumination systems and a plurality of detection systems. The plurality of illumination systems and the plurality of detection systems may be arranged in two linear arrays. The illumination systems and the detection systems may be arranged such that each illumination system may be coupled to one of the detection systems. As such, measurement device 30 may be configured as a linear imaging device. In this manner, the measurement device may be configured to measure or inspect any location on a surface of specimen substantially simultaneously or sequentially. In addition, the measurement device may be configured such that measurements may be made at multiple locations on a specimen substantially simultaneously while the stage may be rotating. Furthermore, the stage and the measurement device may be configured to move substantially continuously or intermittently. For example, the stage and the measurement device may be moved intermittently such that the system may be configured as a move-acquire-measure system.


[0165] A measurement device and stage configured, as described above, to control and alter the measurement or inspection location of the specimen may provide several advantages in comparison to currently used systems. For example, currently used systems configured to inspect multiple locations on a specimen may include a stationary measurement device and a stage configured to move laterally in two independent directions. Alternatively, currently used systems may include a stationary stage and a measurement device configured to alter a position of an beam of energy incident on a specimen by altering a position of two mirrors in a first direction and a position of two mirrors in a second direction. An example of such a system is illustrated in U.S. Pat. No. 5,517,312 to Finarov and U.S. Pat. No. 5,764,365 to Finarov, and are incorporated by reference as if fully set forth herein. An additional system may include a stage configured to rotate and a laser light source configured to move radially. Such a system may be unsuitable for measurement or inspecting a patterned specimen. Additional examples of currently used systems are illustrated in U.S. Pat. No. 5,943,122 to Holmes, and is incorporated by reference as if fully set forth herein.


[0166] As the lateral dimension of specimens such as wafers increases to 300 mm, moving a specimen linearly during inspection or measurement may become impractical due to space requirements of a typical semiconductor fabrication facility. In addition, moving such a specimen may become extremely expensive due to the cost of maintaining a relatively larger clean space for such tools. As such, a system configured as described in above embodiments may be configured to inspect or measure an entire surface of a specimen without linearly moving the specimen.


[0167]
FIG. 3 illustrates a schematic side view of an embodiment of system 32 configured to determine at least two properties of a specimen. System 32 may include measurement device 34 having illumination system 36 and detection system 38. Illumination system 36 may be configured to direct light toward a surface of specimen 40 disposed upon stage 42. Stage 42 may be configured as described in above embodiments. Detection system 38 may be coupled to illumination system 36 and may be configured to detect light propagating from the surface of the specimen. For example, detection system 38, illumination system 36, and additional optical components may be arranged such that spectrally reflected light or scattered light propagating from the surface of specimen 40 may be detected by the detection system.


[0168] Illumination system 36 may include energy source 44. Energy source 44 may be configured to emit monochromatic light. For example, a suitable monochromatic light source may be a gas laser or a solid state laser diode. Alternatively, the energy source may be configured to emit electromagnetic radiation of multiple wavelengths, which may include ultraviolet light, visible light, infra-red light, X-rays, gamma rays, microwaves, or radio-frequencies. In addition, the energy source may be configured to emit another source of energy source such as an beam of electrons, protons, neutrons, ion, or molecules. For example, a thermal field emission source is typically used as an electron source.


[0169] Detection system 38 may include detector 46. Detector 46 may include light sensitive sensor devices including, but not limited to, a photodetector, a multi-cell photodetector, an interferometer, an array of photodiodes such as a linear sensor array, a conventional spectrophotometer, a position sensitive detector, photomultiplier tubes, avalanche photodiodes, a charge-coupled device (“CCD”) camera, a time delay integration (“TDI”) camera, a video camera, a pattern recognition device, and an imaging system. In addition, the detector may include solid state detectors such as Schottky solid state barrier detectors.


[0170] In addition, measurement device 34 may include any number of additional optical components (not shown). Appropriate optical components may include, but are not limited to, beam splitters or dichroic mirrors, quarter wave plates, polarizers such as linear and circular polarizers, rotating polarizers, rotating analyzers, collimators, focusing lenses, additional lenses, folding mirrors, partially transmissive mirrors, filters such as spectral or polarizing filter, spatial filters, reflectors, deflectors, and modulators. Each of the additional optical components may be coupled to or disposed within the illumination system or the detection system. Furthermore, the measurement device may include a number of additional electromagnetic devices (not shown) that may include magnetic condenser lenses, magnetic objective lenses, electrostatic deflection systems, beam limiting apertures, and Wien filters.


[0171] An arrangement of the illumination system, the detection system, and additional optical and electromagnetic components may vary depending on, for example, the technique or techniques used to determine at least the two properties of the specimen. The arrangement of the illumination system, the detection system, and additional optical and electromagnetic components may also depend on the properties of the specimen, which are being determined. For example, as shown in FIG. 3, measurement device 34 may include optical component 48 disposed within or coupled to illumination system 36. Optical component 48 may include, but is not limited to, a polarizer, a spectral or polarizing filter, and a quarter wave plate. In addition, measurement device 34 may include beam splitter 50 and optical component 52. Optical component 52 may be disposed within or coupled to detection system 38. Optical component 52 may include, but is not limited to, a quarter wave plate, a collimator, and a focusing lens.


[0172] FIGS. 4-7 illustrate alternate embodiments of measurement device 34 of system 32. As will be further described herein, elements of system 32, which may be similarly configured in each of the embodiments illustrated in FIGS. 3-7 have been indicated by the same reference characters. For example, energy source 44 may be similarly configured in each of the embodiments illustrated in FIGS. 3-7. As shown in FIG. 4, measurement device 34 may include a plurality of energy sources 44. Each of energy sources may be configured to emit substantially similar types of energy or different types of energy. For example, the plurality of energy sources 44 may include any of the light sources described herein. The light sources may be configured to emit broadband light. Alternatively, the light sources may include two emit different types of light. For example, one of the light sources may be configured to emit light of a single wavelength, and the other light source may be configured to emit broadband light. In addition, the energy sources may be configured to direct a beam of energy to substantially the same location on the surface of specimen 40, as shown in FIG. 4. Alternatively, the plurality of energy sources 44 may be configured to direct a beam of energy to substantially different locations on the surface of specimen 40, as shown in FIG. 5. For example, as shown in FIG. 5, the plurality of energy sources may be configured to direct energy to laterally spaced locations on the surface of specimen 40. The plurality of energy sources shown in FIG. 5 may also be configured as described above.


[0173] As shown in FIG. 4, measurement device may include detector 46 coupled to the plurality of energy sources 44. In this manner, detector 46 may be positioned with respect to the plurality of energy sources such that the detector may be configured to detect different types of energy propagating from the surface of specimen 40 such as specularly reflected light and scattered light. The detector may also be configured to detect different types of energy propagating from the surface of the specimen substantially simultaneously. For example, the detector may include an array of photodiodes. A first portion of the array of photodiodes may be configured to detect only incident light from one of the plurality of light sources propagating from the surface of the specimen. A second portion of the array of photodiodes may be configured to detect only incident light from the other of the plurality of light source propagating from the surface of the specimen. As such, the detector may be configured to detect incident light from each of a plurality of light sources propagating from the surface of the specimen substantially simultaneously. Alternatively, the plurality of energy sources may be configured to intermittently direct energy to the surface of the specimen. As such, the detector may be configured to detect incident energy from each of the plurality of energy sources propagating from the surface of the specimen intermittently.


[0174] As shown in FIG. 5, measurement device 34 may include a plurality of detectors 46. Each of the plurality of detectors may be coupled to one of the plurality of energy sources 44. In this manner, each detector 46 may be positioned with respect to one of the energy sources such that the detector may be configured to detect incident energy from one of the energy sources propagating from the surface of specimen 40. For example, one of the detectors may be positioned with respect to a first light source to detect light scattered from the surface of the specimen. In an example, scattered light may include dark field light propagating along a dark field path. A second of the plurality of detectors may be positioned with respect to a second light source to detect light specularly reflected from the surface of the specimen such as bright field light propagating along a bright field path. The plurality of detectors may be configured as described in above embodiments. For example, the plurality of detectors may include two different detectors or two of the same type of detectors. For example, a first detector may be configured as a conventional spectrophotometer, and a second detector may be configured as a quad-cell detector. Alternatively, both detectors may be configured as an array of photodiodes.


[0175] As shown in FIG. 4, measurement device 34 may also include multiple optical components 48. For example, optical components 48 may be coupled to each of the plurality of energy sources 44. In an example, a first of the optical components may be configured as a polarizer, and a second of the optical components may be configured as a focusing lens. Alternatively, as shown in FIG. 5, measurement device 34 may include one optical component 48 coupled to each of the plurality of energy sources 44. Each of the optical components 48 may be configured as described herein. In addition, as shown in FIG. 5, measurement device 34 may include an optical component such as beam splitter 50 coupled to one of the plurality of energy sources. For example, beam splitter 50 may be positioned along a path of light directed from a light source. Beam splitter 50 may be configured to transmit light from the light source and to reflect light propagating from the surface of the specimen. The beam splitter may be configured to reflect light propagating from the surface of the specimen such that the reflected light may be directed to detector 46. In addition, beam splitters may be positioned along a path of the light directed from each of the plurality of light sources. Optical component 52 may also be coupled to detector 46, as shown in FIG. 4, and may be configured as, for example, a quarter wave plate, a collimator, and a focusing lens. Optical component 52 may be further configured as described herein. Multiple optical components 52 may also be coupled to each of the detectors. The position and the configuration of each of the optical components may vary, however, depending on the properties of the specimen to be determined by the system as will be described in more detail below.


[0176]
FIGS. 6 and 7 illustrate schematic side views of additional embodiments of system 32. As shown in these figures, measurement device 34 may include a single energy source 44. In addition, measurement device 34 may include a plurality of detectors 46. The detectors may include any of devices as described herein. Each of the plurality of detectors 46 may be positioned at a different angle with respect to energy source 44. For example, as shown in FIG. 6, one of the detectors may be configured to detect dark field light propagating along a dark field path. The second detector may be configured to detect bright field light propagating along a bright field path. Alternatively, as shown in FIG. 7, each of the plurality of detectors may be configured to detect specularly reflected light. The plurality of detectors may be similarly configured, for example, as photodiode arrays. Alternatively, the plurality of detectors may be configured as different detectors such as a conventional spectrophotometer and a quad cell detector.


[0177] In addition, the illumination system may be configured to direct different types of energy to the surface of the specimen at varying intervals. For example, the energy source may be configured to emit one type of light. As shown in FIG. 7, optical component 48 may be coupled to energy source 44. Optical component 48 may also be configured to alter the light emitted by energy source 44 at varying intervals. For example, optical component 48 may be configured as a plurality of spectral and/or polarizing filters that may be rotated in a path of the light emitted by energy source 44 at varying intervals or a liquid crystal display (“LCD”) filter that may be controlled by a controller coupled to the filter. The controller may be configured to alter the transmissive, reflective, and/or polarization properties of the LCD filter at varying intervals. The properties of the LCD filter may be altered to change a spectral property or a polarization state of the light emitted from the energy source. In addition, each of the plurality of detectors may be suitable to detect a different type of light propagating from the surface of the specimen. As such, the measurement device may be configured to measure substantially different optical characteristics of the specimen at varying intervals. In this manner, measurement device 34 may be configured such that energy directed to the surface of the specimen and the energy returned from the surface of the specimen may vary depending on, for example, the properties of the specimen to be measured using the system.


[0178] In an embodiment, system 32, as shown in FIGS. 3-7, may include processor 54 coupled to measurement device 34. The processor may be configured to receive one or more output signals generated by a detector of the measurement device. The one or more output signals may be representative of the detected energy returned from the specimen. The one or more output signals may be an analog signal or a digital signal. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals generated by the detector. The first property may include a critical dimension of specimen 40, and the second property may include overlay misregistration of specimen 40. For example, the measurement device may include, but is not limited to, a scatterometer, a non-imaging scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the system may be configured as a single measurement device or as multiple measurement devices.


[0179] Because multiple measurement devices may be integrated into a single system, optical elements of a first measurement device, for example, may also be used as optical elements of a second measurement device. In addition, multiple measurement devices may be coupled to a common stage, a common handler, and a common processor. The handler may include a mechanical device configured to dispose a specimen on the common stage and to remove a specimen from the common stage or any other handler as described herein. In addition, the system may be configured to determine a critical dimension and an overlay misregistration of a specimen sequentially or substantially simultaneously. In this manner, such a system may be more cost, time, and space efficient than systems currently used in the semiconductor industry.


[0180]
FIG. 8 illustrates a schematic side view of an embodiment of a specimen. As shown in FIG. 8, a plurality of features 56 may be formed upon upper surface 58 of specimen 60. For example, features formed on an upper surface of the specimen may include local interconnects, gate structures such as gate electrodes and dielectric sidewall spacers, contact holes, and vias. The plurality of features, however, may also be formed within the specimen. Features formed within the specimen may include, for example, isolation structures such as field oxide regions within a semiconductor substrate and trenches. A critical dimension may include a lateral dimension of a feature defined in a direction substantially parallel to an upper surface of the specimen such as width 62 of feature 56 on specimen 60. Therefore, a critical dimension may be generally defined as the lateral dimension of a feature when viewed in cross section such as a width of a gate or interconnect or a diameter of a hole or via. A critical dimension of a feature may also include a lateral dimension of a feature defined in a direction substantially perpendicular to an upper surface of the specimen such as height 64 of feature 56 on specimen 60.


[0181] In addition, a critical dimension may also include a sidewall angle of a feature. A “sidewall angle” may be generally defined as an angle of a side (or lateral) surface of a feature with respect to an upper surface of the specimen. In this manner, a feature having a substantially uniform width across a height of the feature may have sidewall angle 66 of approximately 90°. Features of a specimen such as a semiconductor device that have a substantially uniform width across a height of the features may be formed relatively closely together thereby increasing device density of the semiconductor device. In addition, such a device may have relatively predictable and substantially uniform electrical properties. A feature having a tapered profile or non-uniform width across a height of the feature may have sidewall angle 68 of less than approximately 90°. A tapered profile may be desired if a layer may be formed upon the feature. For example, a tapered profile may reduce the formation of voids within the layer formed upon the feature.


[0182] Overlay misregistration may be generally defined as a measure of the displacement of a lateral position of a feature on a first level of a specimen with respect to a lateral position of a feature on a second level of a specimen. The first level may be formed above the second level. For example, overlay misregistration may be representative of the alignment of features on multiple levels of a semiconductor device. Ideally, overlay misregistration is approximately zero such that features on a first level of a specimen may be perfectly aligned to features on a second level of a specimen. For example, a significant overlay misregistration may cause undesirable contact of electrical features on first and second levels of a specimen. In this manner, a semiconductor device formed on such a significantly misaligned specimen may have a number of open or short circuits thereby causing device failure.


[0183] An extent of overlay misregistration of a specimen may vary depending on, for example, performance characteristics of a lithography process. During lithography, a reticle, or a mask, may be disposed above a resist arranged on a first level of the specimen. The reticle may have substantially transparent regions and substantially opaque regions that may be configured in a pattern, which may transferred to the resist. The reticle may be positioned above a specimen by an exposure tool configured to detect a position of an alignment mark on the specimen. In this manner, overlay misregistration may be caused by performance limitations of an exposure tool to detect an alignment mark and to alter a position of the reticle with respect to the specimen.


[0184]
FIG. 9 illustrates a schematic top view of an embodiment of system 70 having a plurality of measurement devices. Each of the measurement devices may be configured as described herein. For example, each of the measurement devices may be configured to determine at least one property of a specimen. In addition, each of the measurement devices may be configured to determine a different property of a specimen. As such, system 70 may be configured to determine at least four properties of a specimen. For example, measurement device 72 may be configured to determine a critical dimension of a specimen. In addition, measurement device 74 may be configured to determine overlay misregistration of the specimen in a first lateral direction. Measurement device 76 may be configured to determine overlay misregistration of the specimen in a second lateral direction. The first lateral direction may be substantially orthogonal to the second lateral direction. Furthermore, measurement device 78 may be configured as a pattern recognition device. As such, system 70 may be configured to determine at least four properties of the specimen simultaneously or sequentially. In addition, each of the measurement devices may be configured to determine any property of a specimen as described herein.


[0185]
FIG. 10 illustrates a schematic side view of an embodiment of system 80 configured to determine at least two properties of a specimen. For example, system 80 may be configured to determine at least a critical dimension of a specimen. As such, system 80 may be included in system 70 as described in above embodiments. System 80 may include broadband light source 82. The term “broadband light” is generally used to refer to radiation having a frequency-amplitude spectrum that includes two or more different frequency components. A broadband frequency-amplitude spectrum may include a broad range of wavelengths such as from approximately 190 nm to approximately 1700 nm. The range of wavelengths, however, may be larger or smaller depending on, for example, the light source capability, the sample being illuminated, and the property being determined. For example, a xenon arc lamp may be used as a broadband light source and may be configured to emit a light beam including visible and ultraviolet light.


[0186] System 80 may also include beam splitter 84 configured to direct light emitted from light source 82 to a surface of a specimen 85. The beam splitter may be configured as a beam splitter mirror that may be configured to produce a continuous broadband spectrum of light. System 80 may also include lens 86 configured to focus light propagating from beam splitter 84 onto a surface of specimen 85. Light returned from the surface of specimen 85 may pass through beam splitter 84 to diffraction grating 88. The diffraction grating may be configured to disperse light returned from the surface of the specimen. The dispersed light may be directed to a spectrometer such as detector array 90. The detector array may include a linear photodiode array. The light may be dispersed by a diffraction grating as it enters the spectrometer such that the resulting first order diffraction beam of the sample beam may be collected by the linear photodiode array. Examples of spectroscopic reflectometers are illustrated in U.S. Pat. No. 4,999,014 to Gold et al., and U.S. Pat. No. 5,747,813 to Norton et al. and are incorporated by reference as if filly set forth herein.


[0187] The photodiode array, therefore, may measure the reflectance spectrum 92 of the light returned from the surface of the specimen. A relative reflectance spectrum may be obtained by dividing the intensity of the returned light of the reflectance spectrum at each wavelength by a relative reference intensity at each wavelength. A relative reflectance spectrum may be used to determine the thickness of various films on the wafer. In addition, the reflectance at a single wavelength and the refractive index of the film may also be determined from the relative reflectance spectrum. Furthermore, a model method by modal expansion (“MMME”) model 94 may be used to generate library 96 of various reflectance spectrums. The MMME model is a rigorous diffraction model that may be used to calculate the theoretical diffracted light “fingerprint” from each grating in the parameter space. Alternative models may also be used to calculate the theoretical diffracted light, however, including, but not limited to, a rigorous coupling waveguide analysis (“RCWA”) model. The measured reflectance spectrum 92 may be fitted to a the various reflectance spectrums in library 96. The fitted data 97 may be used to determine critical dimension 95 such as a lateral dimension, a height, and a sidewall angle of a feature on the surface of a specimen as described herein. Examples of modeling techniques are illustrated in PCT Application No. WO 99/45340 to Xu et al., and is incorporated by reference as if fully set forth herein.


[0188]
FIGS. 11

a
and 11b illustrate additional schematic side views of an embodiment of measurement device 98 configured to determine a property such as a critical dimension of a specimen. The measurement device may be coupled to system 80 described above. Measurement device 98 may include fiber optic light source 100. The fiber optic light source may be configured to emit and direct light to collimating mirror 102. Collimating mirror 102 may be configured to alter a path of the light emitted by the fiber optic light source such that it propagates toward a surface of specimen 104 in substantially one direction along path 106. Light emitted by fiber optic light source 100 may also be directed to reflective mirror 108. Reflective mirror 108 may be configured to direct the light emitted by the fiber optic light source to reference spectrometer 110. Reference spectrometer 110 may be configured to measure an intensity of light emitted by the fiber optic light source. In addition, reference spectrometer 110 may be configured to generate one or more output signals in response to the measured intensity of light. As such, the signal generated by reference spectrometer 110 may be used to monitor variations in the intensity of light emitted by the fiber optic light source.


[0189] Measurement device 98 may also include polarizer 112. Polarizer 112 may be oriented at a 45° angle with respect to path 106 of the light. Polarizer 112 may be configured to alter a polarization state of the light such that light propagating toward a surface of the specimen may be linearly or circularly polarized. Measurement device 98 may also include light piston 114 positioned along path 106 of the light. The light piston may be configured to alter a direction of the path of the light propagating toward the surface of the specimen. For example, portion 115 of the measurement device may be configured to move with respect to the specimen to measure multiple locations on the specimen. In this manner, the light position may be configured to cause light propagating along path 106 to traverse the surface of the specimen while leaving the angle of incidence at which light strikes the surface of the specimen substantially unchanged.


[0190] The measurement device may also include apodizer 116. Apodizer 116 may have a two dimensional pattern of alternating relatively high transmittance areas and substantially opaque areas. The alternating pattern may have a locally averaged transmittance function such as an apodizing function. As such, an apodizer may be configured to reduce a lateral area of an illuminated region of a specimen to improve a focusing resolution of the measurement device. The measurement device may also include a plurality of mirrors 118 configured to direct light propagating along path 106 to a surface of a specimen. In addition, the measurement device may also include reflecting objective 120 configured to direct the light to the surface of the specimen. For example, a suitable reflecting objective may have a numerical aperture (“NA”) of approximately 0.1 such that light may be may be directed at a surface of the specimen at high angles of incidence.


[0191] Light returned from the surface of the specimen may be reflected by objective lens 120 and one of the mirrors to analyzer 122. Analyzer 122 may be configured to split the light returned from the surface of the specimen into two reflected light beams based on the polarization state of the light. For example, analyzer 112 may be configured to generate two separate beams of light having substantially different polarization states. As shown in FIG. 11b, measurement device may also include autofocus sensor 124. Autofocus sensor 124 may include a split photodiode detector configured to receive a substantially focused image of the illuminated spot on the specimen. The focused image of the spot may be provided by beam splitter 125 positioned along an optical path between analyzer 122 and mirror 118. For example, the beam splitter may be configured to direct a portion of the light returned from specimen 104 to the autofocus sensor. Autofocus sensor 124 may include two photodiodes configured to measure an intensity of the image and to send a signal representative of the measured intensity to a processor. The output of autofocus sensor may be called a focus signal. The focus signal may be a function of sample position. The processor may be configured to determine a focus position of the specimen with respect to the measurement device using a position of an extremum in the focus signal.


[0192] The measurement device may also include mirror 126 configured to direct light returned from the surface of the specimen to spectrometer 128. Spectrometer 128 may be configured to measure an intensity of the s and p components of reflectance across a spectrum of wavelengths. The term “s component” is generally used to describe the component of polarized radiation having an electrical field that is substantially perpendicular to the plane of incidence of the reflected beam. The term “p component” is generally used to describe the component of polarized radiation having an electrical field in the plane of incidence of the reflected beam. The measured reflectance spectrum may be used to determine a critical dimension, a height, and a sidewall angle of a feature on the surface of the specimen as described herein. For example, a relative reflectance spectrum may be obtained by dividing the intensity of the returned light at each wavelength measured by spectrometer 128 by a relative reference intensity at each wavelength measured by reference spectrometer 110 of the measurement device. The relative reflectance spectrum may be fitted to a theoretical model of the data such that a critical dimension, a height, and a sidewall angle may be determined.


[0193] In an embodiment, as shown in FIG. 9, measurement device 74 and measurement device 76 of system 70 may be configured as a coherence probe microscope, an interference microscope, or an optical profilometer. For example, a coherence probe microscope may be configured as a specially adapted Linnik microscope in combination with a video camera, a specimen transport stage, and data processing electronics. Alternatively, other interferometric optical profiling microscopes and techniques such as Fringes of Equal Chromatic Order (“FECO”), Nomarski polarization interferometer, differential interference contrast (“DIC”), Tolansky multiple-beam interferometry, and two-beam-based interferometry based on Michelson, Fizeau, and Mirau may be adapted to the system. The measurement device may utilize either broad band or relatively narrow band light to develop a plurality of interference images taken at different axial positions (elevations) relative to the surface of a specimen. The interference images may constitute a series of image planes. The data in these planes may be transformed by an additive transformation on video signal intensities. The transformed image data may be used to determine an absolute mutual coherence between the object wave and reference wave for each pixel in the transformed plane. Synthetic images may be formed whose brightness may be proportional to the absolute mutual coherence as the optical path length is varied.


[0194] In an embodiment, a measurement device configured as an interference microscope may include a energy source such as a xenon lamp configured to emit an incident beam of light. An appropriate energy source may also include a light source configured to emit coherent light such as light that may be produced by a laser. The measurement device may further include additional optical components configured to direct the incident beam of light to a surface of the specimen. Appropriate additional optical components may include condenser lenses, filters, diffusers, aperture stops, and field stops. Additional optical components may also include beam splitters, microscopic objectives, and partially transmissive mirrors.


[0195] The optical components may be arranged within the measurement device such that a first portion of the incident beam of light may be directed to a surface of a specimen. The optical components may be further arranged within the measurement device such that a second portion of the incident beam of light may be directed to a reference mirror. For example, the second portion of the incident beam of light may be generated by passing the incident beam of light through a partially transmissive mirror prior to directing the sample beam to a surface of the specimen. Light reflected from the surface of the specimen may then be combined with light reflected from the reference mirror. In an embodiment, the detection system may include a conventional interferometer. The reflected incident beam of light may be combined with the reference beam prior to striking the interferometer. Since the incident beam of light reflected from the surface of the specimen and the reference beam reflected from the reference mirror are not in phase, interference patterns may develop in the combined beam. Intensity variations of the interference patterns in the combined beam may be detected by the interferometer.


[0196] The interferometer may be configured to generate a signal responsive to the detected intensity variations of the interference patterns of the combined beam. The generated signal may be processed to provide surface information about the measured surface. The measurement device may also include a spotter microscope to aid in control of the incident beam of light. The spotter microscope may be electronically coupled to the measurement device to provide some control of the incident beam of light. Examples of interference microscopes and methods of use are illustrated in U.S. Pat. No. 5,112,129 to Davidson et al., U.S. Pat. No. 5,438,313 to Mazor et al., U.S. Pat. No. 5,712,707 to Ausschnitt et al., U.S. Pat. No. 5,757,507 to Ausschnitt et al., U.S. Pat. No. 5,805,290 to Ausschnitt et al., U.S. Pat. No. 5,914,784 to Ausschnitt et al., U.S. Pat. No. 6,023,338 to Bareket, all of which are incorporated by reference as if fully set forth herein.


[0197] In an additional embodiment, a measurement device configured as an optical profilometer may be used to determine a height of a surface of a specimen. Optical profilometers may be configured to use light scattering techniques, light sectioning, and various interferometric optical profiling techniques as described herein. An optical profilometer may be configured to measure interference between light on two beam paths. As a height of a surface of a specimen changes, one of the beam path lengths may change thereby causing a change in the interference patterns. Therefore, the measured interference patterns may be used to determine a height of a surface of a specimen. A Nomarski polarization interferometer may be suitable for use as an optical profilometer.


[0198] In an embodiment, an optical profilometer may include a light source such as a tungsten halogen bulb configured to emit an incident beam. The light source may be configured to emit light of various wavelengths such as infrared light, ultraviolet light, and/or visible light. The light source may also be configured to emit coherent light such as light produced from a laser. The optical profilometer may also include optical components configured to direct the light to a surface of a specimen. Such optical components may include any of the optical components as described herein. The optical profilometer may further include a rotating analyzer configured to phase shift the electromagnetic radiation, a charge coupled device (“CCD”) camera, a frame grabber, and electronic processing circuits. A frame grabber is a device that may be configured to receive a signal from a detector such as a CCD camera and to convert the signal (i.e., to digitize an image). A quarter wavelength plate and spectral filter may also be included in the optical profilometer. A polarizer and Nomarski prism may be configured to illuminate the specimen with two substantially orthogonally polarized beams laterally offset on the specimen surface by a distance smaller than the resolution limit of the objectives. After returned from the specimen, the light beams may be recombined by the Nomarski prism.


[0199] In an embodiment, the optical profilometer may include a conventional interferometer. Interference patterns of the recombined light beams may be detected by the interferometer. The detected interference patterns may be used to determine a surface profile of the specimen. An example of an optical profilometer is illustrated in U.S. Pat. No. 5,955,661 to Samsavar et al., which is incorporated by reference as if fully set forth herein. An example of a measurement device configured to determine overlay misregistration is illustrated in U.S. patent application Ser. No. 09/639,495, “Metrology System Using Optical Phase,” to Nikoonahad et al., filed Aug. 14, 2000, and is incorporated by reference as if fully set forth herein.


[0200] In an embodiment, measurement device 78 may be configured as a pattern recognition device. Measurement device 78 may include a light source such as a lamp configured to emit broadband light, which may include visible and ultraviolet radiation. The measurement device may also include a beam splitting mirror configured to direct a portion of the light emitted by the light source to an objective thereby forming a sample beam of light. The objective may include reflective objectives having several magnifications. For example, the objective may include a 15× Schwartzchild design all-reflective objective, a 4× Nikon CFN Plan Apochromat, and a 1× UV transmissive objective. The three objectives may be mounted on a turret configured to rotate such that one of the three objective may be placed in a path of the sample beam of light. The objective may be configured to direct the sample beam of light to a surface of a specimen.


[0201] Light returned from the surface of the specimen may pass back through the objective and the beam splitting mirror to a sample plate of the measurement device. The sample plate may be a reflective fused silica plate with an aperture formed through the plate. The light returned from the surface of the specimen may be partially reflected off of the sample plate and through a relatively short focal length achromat. The returned light may be reflected from a folding mirror to a beam splitter cube. The beam splitter cube may be configured to direct a portion of the returned light to a pentaprism. The pentaprism may be configured to reflect the portion of the returned light. The reflected portion of the returned light may also pass through additional optical components of measurement device 78 such as a relatively long focal length achromat and a filter. The reflected portion of the returned light may pass to a folding mirror configured to direct the returned light to a video camera. In addition, the video camera may be configured to generate a non-inverted image of the surface of the specimen. An example of a pattern recognition device is illustrated in U.S. Pat. No. 5,910,842 to Piwonka-Corle et al., and is incorporated by reference as if fully set forth herein.


[0202] In an additional embodiment, the measurement device may be configured as a non-imaging scatterometer, a scatterometer, or a spectroscopic scatterometer. Scatterometry is a technique involving the angle-resolved measurement and characterization of light scattered from a structure. For example, structures arranged in a periodic pattern on a specimen such as repeatable pattern features may scatter or diffract incident light into different orders. A diffracted light pattern from a structure may be used as a “fingerprint” or “signature” for identifying a property of the repeatable pattern features. For example, a diffracted light pattern may be analyzed to determine a property of repeatable pattern features on a surface of a specimen such as a period, a width, a step height, a sidewall angle, a thickness of underlying layers, and a profile of feature on a specimen.


[0203] A scatterometer may include a light source configured to direct light of a single wavelength toward a surface of the specimen. For example, the light source may include a gas laser or a solid state laser diode. Alternatively, the light source may be configured to direct light of multiple wavelengths toward a surface of the specimen. As such, the scatterometer may be configured as a spectroscopic scatterometer. In an example, the light source may be configured to emit broadband radiation. An appropriate broadband light source may include a white light source coupled to a fiber optic cable configured to randomize a polarization state of the emitted light and may create a substantially uniform incident beam of light. Light emitted from the fiber optic cable may pass through a plurality of optical components arranged within the measurement device. For example, light emitted from the fiber optic cable may pass through a slit aperture configured to limit a spot size of the incident beam of light. A spot size may be generally defined as a surface area of a specimen that may be illuminated by an incident beam of light. Light emitted from the fiber optic cable may also pass through a focusing lens. Furthermore, light emitted from the fiber optic cable may be further passed through a polarizer configured to produce an incident beam of light having a known polarization state. The incident beam of light having a known polarization state may be directed to a surface of the specimen.


[0204] The scatterometer may also include a detection system that may include a spectrometer. The spectrometer may be configured to measure an intensity of different wavelengths of light scattered from a surface of a specimen. In an embodiment, the zeroth diffraction order intensity may be measured. Although for some repeatable pattern features, measurement of higher diffraction order intensities may also be possible. A signal responsive to the zeroth and/or higher diffraction order intensities at different wavelengths generated by the spectrometer may be sent to a processor coupled to the spectrometer. The processor may be configured to determine a signature of a structure on a surface of the specimen. In addition, the processor may be configured to determine a property of repeatable pattern features on the surface of the specimen. For example, the processor may be further configured to compare the determined signature to signatures of a database. Signatures of the database may include signatures determined experimentally with specimens having known characteristics and/or signatures determined by modeling. A property of a repeatable pattern feature may include a period, a width, a step height, a sidewall angle, a thickness of underlying layers, and a profile of the features on a specimen As described above, the scatterometer may include a polarizer coupled to the illumination system. The polarizer may be further configured to transmit light emitted by a light source of the illumination system of a first polarization state and to reflect light emitted by a light source of a second polarization state. In addition, the scatterometer may also include an analyzer coupled to the detection system. The analyzer may be configured to transmit light of substantially the same polarization state as the polarizer. For example, the analyzer may be configured to transmit light scattered from the surface of the specimen having the first polarization state. In an additional embodiment, the spectrometer may include a stage coupled to the illumination system and the detection system. The stage may be configured as described herein. In this manner, characteristics of repeatable pattern features having substantially different characteristics formed on a surface of a specimen may be determined sequentially or simultaneously. Examples of measurement devices are illustrated in PCT Application No. WO 99/45340 to Xu et al., and is incorporated by reference as if fully set forth herein. Additional examples of measurement devices configured to measure light scattered from a specimen are illustrated in U.S. Pat. No. 6,081,325 to Leslie et al., U.S. Pat. No. 6,201,601 to Vaez-Iravani et al., and U.S. Pat. No. 6,215,551 to Nikoonahad et al., and are incorporated by reference as if fully set forth herein.


[0205] A measurement device such as a scatterometer may be either an imaging device or a non-imaging device. In imaging devices, a lens may capture light scattered from a surface of a specimen. The lens may also preserve spatial information encoded in the reflected light (e.g., a spatial distribution of light intensity). In addition, the scatterometer may include a detector configured as an array of light sensitive devices such as a charge-coupled device (“CCD”) camera, a CMOS photodiode, or a photogate camera. Alternatively, in non-imaging devices, light from a light source may be directed to a relatively small area on a surface of a specimen. A detector such as a photomultiplier tube, a photodiode, or an avalanche photodiode may detect scattered or diffracted light and may produce a signal proportional to the integrated light intensity of the detected light.


[0206] In an additional embodiment, the measurement device may be configured as a bright field imaging device, a dark field imaging device, or a bright field and dark field imaging device. “Bright field” generally refers to a collection geometry configured to collect specularly reflected light from a specimen. A bright field collection geometry may have any angle of incidence although typically it may have an angle of incidence normal to the specimen plane. A bright field imaging device may include a light source configured to direct light to a surface of a specimen. The light source may also be configured to provide substantially continuous illumination of a surface of a specimen. The light source may be, for example, a fluorescent lamp tube. Continuous illumination may also be achieved by a string of point light sources coupled to a light diffusing element. The light source may also include any of the light sources as described herein.


[0207] A bright field imaging device may also include a bright field imaging system configured to collect bright field light propagating along a bright field path from the surface of a specimen. The bright field light may include light specularly reflected from the surface of the specimen. The bright field imaging system may include optical components such as slit mirrors and an imaging lens. The slit mirrors may be configured to direct bright field light propagating along a bright field path from the surface of a specimen to the imaging lens. The imaging lens may be configured to receive bright field light reflected from the slit mirrors. The imaging lens may be, for example, a fixed lens configured to reduce optical aberrations in the bright field light and to reduce effects of intensity reduction at an edge of the imaging field. The imaging lens may also be configured to concentrate light passing through the lens onto light sensitive devices positioned behind the imaging lens. The light sensitive devices may include, but are not limited to, an 8000 PN diode element line scan sensor array, a CCD camera, a TDI camera, or other suitable device type.


[0208] One or more output signals of the light sensitive devices may be transmitted to an image computer for processing. An image computer may be a parallel processing system that may be commonly used by the machine vision industry. The image computer may also be coupled to a host computer configured to control the bright field imaging device and to perform data processing functions. For example, data processing functions may include determining a presence of defects on a surface of a specimen by comparing multiple output signals of the light sensitive devices generated by illuminating multiple locations on the specimen. Multiple locations on the specimen may include, for example, two dies of a specimen, as illustrated in FIG. 1.


[0209] “Dark field” generally refers to a collection geometry configured to collect only scattered light from a specimen. “Double dark field” generally refers to an inspection geometry using a steep angle oblique illumination, and a collection angle outside of the plane of incidence. Such an arrangement may include a near-grazing illumination angle and a near-grazing collection angle to suppress surface scattering. This suppression occurs because of the dark fringe (also known as the Weiner fringe) near the surface that may occur due to interfering incident and reflected waves. A dark field imaging device may include any of the light sources as described herein. A double dark field device may be either an imaging device or a non-imaging device.


[0210] A dark field imaging device may also include a dark field imaging system configured to collect dark field light propagating along a dark field path from the surface of a specimen. The dark field imaging system may include optical components, an image computer, and a host computer as described herein. In this manner, a presence of defects on a surface of a specimen may be determined from a dark field image of the specimen as described herein. An example of an inspection system configured for dark field imaging is illustrated in PCT Application No. WO 99/31490 to Almogy, and is incorporated by reference as if fully set forth herein.


[0211] In addition, a measurement device may include bright field and dark field light sources, which may include one or more light sources. Each of the light sources may be arranged at different angles of incidence with respect to the surface of the specimen. Alternatively, each of the light sources may be arranged at the same angle of incidence with respect to the surface of the specimen. The measurement device may also include bright field and dark field imaging systems as described above. For example, the measurement device may include one or more imaging systems. Each of the imaging systems may be arranged at different angles of incidence with respect to the surface of the specimen. Alternatively, each of the imaging systems may be arranged at the same angle of incidence with respect to the surface of the specimen. As such, the measurement device may be configured to operate as a bright field and dark field imaging device. Each of the imaging systems may be coupled to the same image computer, which may be configured as described above. In addition, the image computer may be coupled to a host computer, which may be configured as described above. The host computer may also be configured to control both the bright field components and the dark field components of the measurement device.


[0212] The bright field, dark field, and bright field and dark field devices, however, may also be configured as non-imaging devices. For example, the detectors described above may be replaced with a photomultiplier tube, a photodiode, or an avalanche photodiode. Such detectors may be configured to produce a signal proportional to the integrated light intensity of the bright field light and/or the dark field light.


[0213]
FIG. 12 illustrates a schematic side view of an alternate embodiment of system 32 configured to determine at least two properties of a specimen during use. As will be further described herein, elements of system 32 which may be similarly configured in each of the embodiments illustrated in FIGS. 3-7 and 12 have been indicated by the same reference characters. For example, stage 42 may be similarly configured in each of the embodiments illustrated in FIGS. 3-7 and 12.


[0214] As used herein, the terms “front side” and “back side” generally refer to opposite sides of a specimen. For example, the term, a “front side”, or “upper surface,” of a specimen such as a wafer may be used to refer to a surface of the wafer upon which semiconductor devices may be formed. Likewise, the term, a “back side”, or a “bottom surface,” of a specimen such as a wafer may be used to refer to a surface of the wafer which is substantially free of semiconductor devices.


[0215] System 32 may include stage 42 configured to support specimen 40. As shown in FIG. 12, stage 42 may contact a back side of the specimen proximate to an outer lateral edge of the specimen to support the specimen. For example, the stage may include a robotic wafer handler configured to support a specimen. In alternative embodiments, an upper surface of the stage may be configured to have a surface area less than a surface area of the back side of the specimen. In this manner, stage 42 may contact a back side of the specimen proximate to a center, or an inner surface area, of the specimen to support the specimen. In an example, the stage may include a vacuum chuck or an electrostatic chuck. Such a stage may be disposed within a process chamber of a process tool such as a semiconductor fabrication process tool and may be configured to support the specimen during a process step such as a semiconductor fabrication process step. Such a stage may also be included in any of the other measurement devices as described herein.


[0216] System 32 may include a measurement device coupled to the stage. The measurement device may include a plurality of energy sources 44. A first of the plurality of energy sources 44 may be configured to direct energy toward front side 40a of specimen 40. As shown in FIG. 12, two detectors 46a and 46b may be coupled to the first of the plurality of energy sources. The two detectors may be positioned at different angles with respect to the first energy source. In this manner, each of the detectors may be configured to detect different types of energy propagating from front side 40a of specimen 40. For example, detectors 46b may be configured to detect dark field light propagating from the front side of specimen 40. In addition, detector 46a may be configured to detect bright field light propagating from the front side of specimen 40. In an alternative embodiment, however, a single detector, either detector 46a or detector 46b, may be included in the measurement device and may be coupled to the first energy source. Additional components such as component 48 may also be coupled to the first energy source. For example, component 48 may include any of the optical components as described herein.


[0217] The measurement device may also include component 50. Component 50 may include, for example, a beam splitter configured to transmit light from the light source toward specimen 40 and to reflect light propagating from specimen 40 toward detector 46a. The measurement device may also include additional component 52 coupled to detector 46a. Component 52 may be configured as described in above embodiments. In addition, such a component may also be coupled to detector 46b. The position and the configuration of each of the components may vary, however, depending on, for example, the properties of the specimen to be measured with the system.


[0218] In an embodiment, a second of the plurality of energy sources 44 may be configured to direct energy toward back side 40b of specimen 40. The measurement device may also include detector 46c coupled to the second energy source. In addition, multiple detectors may be coupled to the second energy source. Detector 46c may be positioned with respect to the second energy source such that a particular type of energy propagating from back side 40b of specimen 40 may be detected. For example, detector 46c may be positioned with respect to the second energy source such that dark field light propagating along a dark field path from the back side 40b of specimen 40 may be detected. Additional component 48 may also be coupled to the second energy source. Component 48 may include any of the optical components as described herein. Furthermore, system 32 may include processor 54. Processor 54 may be coupled to each of the detectors 46a, 46b, and 46c, as shown in FIG. 12. The processor may be configured as described herein.


[0219] According to the above embodiment, therefore, system 32 may be configured to determine at least two properties on at least two surfaces of a specimen. For example, system 32 may be configured to determine a presence of defects on a front side of the specimen. In addition, system 32 may be configured to determine a presence of defects on a back side of the specimen. Furthermore, the system may be configured to determine a presence of defects on an additional surface of the specimen. For example, the system may be configured to determine a presence of defects on a front side, a back side, and an edge of the specimen. As used herein, the term “an edge” of a specimen generally refers to an outer lateral surface of the specimen substantially normal to the front and back sides of the specimen. Furthermore, the system may also be configured to determine a presence of defects on more than one surface of the specimen simultaneously.


[0220] In an additional embodiment, the system may also be configured to determine a number of defects on one or more surfaces of a specimen, a location of defects on one or more surfaces of a specimen, and/or a type of defects on one or more surfaces of a specimen sequentially or substantially simultaneously. For example, the processor may be configured to determine a number, location, and/or type of defects on one or more surfaces of a specimen from the energy detected by the measurement device. Examples of methods for determining the type of defect present on a surface of a specimen are illustrated in U.S. Pat. No. 5,831,865 to Berezin et al., and is incorporated by reference as if fully set forth herein. Additional examples of methods for determining the type of defects present on a surface of a specimen are illustrated in WO 99/67626 to Ravid et al., WO 00/03234 to Ben-Porath et al., and WO 00/26646 to Hansen, and are incorporated by reference as if fully set forth herein.


[0221] Furthermore, processor 54 may be further configured to determine at least three properties of the specimen. The three properties may include a critical dimension of the specimen, an overlay misregistration of the specimen, and a presence, a number, a location, and/or a type of defects on one or more surfaces of the specimen. In this manner, the system may be configured to determine a critical dimension of the specimen, an overlay misregistration of the specimen, and a presence, a number, a location, and/or a type of defects on one or more surfaces of the specimen sequentially or substantially simultaneously.


[0222] The system may be configured to determine micro and/or macro defects on one or more surfaces of a specimen sequentially or substantially simultaneously. An example of a system configured to determine macro and micro defects sequentially is illustrated in U.S. Pat. No. 4,644,172 to Sandland et al., which is incorporated by reference as if fully set forth herein. Macro-micro optics, as described by Sandland, may be incorporated into a measurement device, as described herein, which may be coupled to one stage. The stage may be configured as described herein. In this manner, the macro-micro optics of Sandland may be configured to determine micro and/or macro defects on one or more surfaces of a specimen substantially simultaneously. In addition, the macro-micro optics of Sandland may be configured to determine micro and macro defects on one or more surfaces of a specimen sequentially while the specimen is disposed on a single stage. Alternatively, the measurement device may include optical components configured as illustrated in U.S. Pat. No. 5,917,588 to Addiego, which is incorporated by reference as if fully set forth herein. For example, a measurement device, as described herein, may include micro optics, as described by Sandland, coupled to macro optics of the after develop inspection (“ADI”) Macro inspection system, as described by Addiego.


[0223] Micro defects may typically have a lateral dimension of less than approximately 25 μm. Macro defects may include yield-limiting large scale defects having a lateral dimension of greater than about 25 μm. Such large scale defects may include resist or developer problems such as lifting resist, thin resist, extra photoresist coverage, incomplete or missing resist, which may be caused by clogged dispense nozzles or an incorrect process sequence, and developer or water spots. Additional examples of macro defects may include regions of defocus (“hot spots”), reticle errors such as tilted reticles or incorrectly selected reticles, scratches, pattern integrity problems such as over or under developing of the resist, contamination such as particles or fibers, and non-uniform or incomplete edge bead removal (“EBR”). The term “hot spots” generally refers to a photoresist exposure defect that may be caused, for example, by a depth of focus limitation of an exposure tool, an exposure tool malfunction, a non-planar surface of a specimen at the time of exposure, foreign material on a back side of a specimen or on a surface of a supporting device, or a design constraint. For example, foreign material on the back side of the specimen or on the surface of a supporting device may effectively deform the specimen. Such deformation of the specimen may cause a non-uniform focal surface during an exposure process. In addition, such a non-uniform focal surface may be manifested on the specimen as an unwanted or missing pattern feature change.


[0224] Each of the above described defects may have a characteristic signature under either dark field or bright field illumination. For example, scratches may appear as a bright line on a dark background under dark field illumination. Extra photoresist and incomplete photoresist coverage, however, may produce thin film interference effects under bright field illumination. In addition, large defocus defects may appear as a dim or bright pattern in comparison to a pattern produced by a laterally proximate die under dark field illumination. Other defects such as defects caused by underexposure or overexposure of the resist, large line width variations, large particles, comets, striations, missing photoresist, underdeveloped or overdeveloped resist, and developer spots may have characteristic signatures under bright field and dark field illumination.


[0225] As shown in FIG. 1, a surface of specimen 10 may have a plurality of defects. Defect 14 on the surface of specimen 10 may be incomplete resist coverage. For example, incomplete resist coverage may be caused by a malfunctioning coating tool or a malfunctioning resist dispense system. Defect 16 on the surface of specimen 10 may be a surface scratch. Defect 18 on the surface of specimen 10 may be a non-uniform region of a layer of resist. For example, such a non-uniform region of the resist may be caused by a malfunctioning coating tool or a malfunctioning post apply bake tool. Defect 20 on the surface of specimen 10 may be a hot spot. In addition, defect 22 on the surface of specimen 10 may be non-uniform edge bead removal (“EBR”). Each of the defects described above may be present in any location on a surface of specimen 10. In addition, any number of each of the defects may also be present on the surface of the specimen.


[0226] Additional examples of methods and systems for determining a presence of defects on a surface of a specimen are illustrated in U.S. Pat. No. 4,247,203 to Levy et al., U.S. Pat. No. 4,347,001 to Levy et al., U.S. Pat. No. 4,378,159 to Galbraith, U.S. Pat. No. 4,448,532 to Joseph et al., U.S. Pat. No. 4,532,650 to Wihl et al., U.S. Pat. No. 4,555,798 to Broadbent, Jr. et al., U.S. Pat. No. 4,556,317 to Sandland et al., U.S. Pat. No. 4,579,455 to Levy et al., U.S. Pat. No. 4,601,576 to Galbraith, U.S. Pat. No. 4,618,938 Sandland et al., U.S. Pat. No. 4,633,504 Wihl, U.S. Pat. No. 4,641,967 Pecen, U.S. Pat. No. 4,644,172 to Sandland et al., U.S. Pat. No. 4,766,324 to Saadat et al., U.S. Pat. No. 4,805,123 to Specht et al., U.S. Pat. No. 4,818,110 to Davidson, U.S. Pat. No. 4,845,558 to Tsai et al., U.S. Pat. No. 4,877,326 to Chadwick et al., U.S. Pat. No. 4,898,471 to Vaught et al., U.S. Pat. No. 4,926,489 to Danielson et al., U.S. Pat. No. 5,076,692 to Neukermans et al., U.S. Pat. No. 5,189,481 to Jann et al., U.S. Pat. No. 5,264,912 to Vaught et al., U.S. Pat. No. 5,355,212 to Wells et al., U.S. Pat. No. 5,537,669 to Evans et al., U.S. Pat. No. 5,563,702 to Emery et al., U.S. Pat. No. 5,565,979 to Gross, U.S. Pat. No. 5,572,598 to Wihl et al., U.S. Pat. No. 5,604,585 to Johnson et al., U.S. Pat. No. 5,737,072 to Emery et al., U.S. Pat. No. 5,798,829 to Vaez-Iravani, U.S. Pat. No. 5,633,747 to Nikoonahad, U.S. Pat. No. 5,822,055 to Tsai et al., U.S. Pat. No. 5,825,482 to Nikoonahad et al., U.S. Pat. No. 5,864,394 to Jordan, III et al., U.S. Pat. No. 5,883,710 to Nikoonahad et al., U.S. Pat. No. 5,917,588 to Addiego, U.S. Pat. No. 6,020,214 to Rosengaus et al., U.S. Pat. No. 6,052,478 to Wihl et al., U.S. Pat. No. 6,064,517 to Chuang et al., U.S. Pat. No. 6,078,386 to Tsai et al., U.S. Pat. No. 6,081,325 to Leslie et al., U.S. Pat. No. 6,175,645 to Elyasaf et al., U.S. Pat. No. 6,178,257 to Alumot et al., U.S. Pat. No. 6,122,046 to Almogy, and U.S. Pat. No. 6,215,551 to Nikoonahad et al., all of which are incorporated by reference as if fully set forth herein. Additional examples of defect inspection methods and apparatuses are illustrated in PCT Application Nos. WO 99/38002 to Elyasaf et al., WO 00/68673 to Reinhron et al., WO 00/70332 to Lehan, WO 01/03145 to Feuerbaum et al., and WO 01/13098 to Almogy et al., and are incorporated by reference as if fully set forth herein. Further examples of defect inspection methods and apparatuses are illustrated in European Patent Application Nos. EP 0 993 019 A2 to Dotan, EP 1 061 358 A2 to Dotan, EP 1 061 571 A2 to Ben-Porath, EP 1 069 609 A2 to Harvey et al., EP 1 081 489 A2 to Karpol et al., EP 1 081 742 A2 to Pearl et al., and EP 1 093 017 A2 to Kenan et al., which are incorporated by reference as if fully set forth herein. As such, the embodiments described above may also include features of any of the systems and methods illustrated in all of the patents which have been incorporated by reference herein.


[0227] In a further embodiment, the systems as described herein may also be configured to determine a flatness measurement of the specimen. “Flatness” may be generally defined as an average of the topographic characteristics of an upper surface of the specimen across a surface area of the specimen. For example, the topographic characteristics may include, but are not limited to, a roughness of an upper surface of a specimen and a planar uniformity of an upper surface of a layer arranged on the specimen. Roughness and planar uniformity of the upper surface of a layer may vary depending on, for example, processes performed on the specimen prior to measurement, which may include, in an example of semiconductor fabrication, etch, deposition, plating, chemical-mechanical polishing, or coating.


[0228] As described herein, a processor may be configured to determine at least three properties of the specimen from the detected energy. The three properties may include a critical dimension of the specimen, an overlay misregistration of the specimen, and a flatness of the specimen. In addition, the process may be configured to determine four properties of the specimen from the detected energy. The four properties may include critical dimension, overlay misregistration, flatness, and a presence, a number, a location, and/or a type of defects on the specimen. As such, the system may be configured to determine a critical dimension of the specimen, an overlay misregistration of the specimen, a flatness measurement, and/or a presence, a number, a location, and/or a type of defects on a surface of the specimen sequentially or substantially simultaneously.


[0229]
FIG. 13 illustrates a schematic top view of an embodiment of system 32 coupled to a semiconductor fabrication process tool. For example, the system may be coupled to lithography tool 130. A lithography tool, which may be commonly referred to a lithography track or cluster tool, may include a plurality of process chambers 132, 144, 146, 148, 150, 154, and 156. The number and configuration of the process chambers may vary depending on, for example, the type of wafers processed in the lithography tool. Examples of lithography tools and processes are illustrated in U.S. Pat. No. 5,393,624 to Ushijima, U.S. Pat. No. 5,401,316 to Shiraishi et al., U.S. Pat. No. 5,516,608 to Hobbs et al., U.S. Pat. No. 5,968,691 to Yoshioka et al., and U.S. Pat. No. 5,985,497 to Phan et al., and are incorporated by reference as if fully set forth herein. Lithography tool 130 may be coupled to an exposure tool, which may include exposure chamber 134. A first portion of the process chambers may be configured to perform a step of a lithography process prior to exposure of a resist. A second portion of the process chambers may be configured to perform a step of the lithography process subsequent to exposure of the resist.


[0230] In an embodiment, lithography tool 130 may also include at least one robotic wafer handler 136. Robotic wafer handler 136 may be configured to move a specimen from a first process chamber to a second process chamber. For example, the robotic wafer handler may be configured to move along a direction generally indicated by vector 138. In addition, the robotic wafer handler may also be configured to rotate in a direction indicated by vector 140 such that a specimen may be moved from a first process chamber located on first side of the lithography tool to a second process chamber located on a second side of the lithography tool. The first side and the second side may be located on substantially opposite sides of the lithography tool. The robotic wafer handler may also be configured to move a specimen from lithography tool 130 to exposure chamber 134 of the exposure tool. In this manner, the robotic wafer handler may move a specimen sequentially through a series of process chambers such that a lithography process may be performed on the specimen.


[0231] The robotic wafer handler may be also configured to move specimen 139 from cassette 141 disposed within load chamber 142 of the lithography tool to a process chamber of the lithography tool. The cassette may be configured to hold a number of specimens which may be processed during the lithography process. For example, the cassette may be a front opening unified pod (“FOUP”). The robotic wafer handler may be configured to dispose the specimen in a process chamber such as surface preparation chamber 144. The surface preparation chamber may be configured to form an adhesion promoting chemical such as hexamethyldisilazane (“HMDS”) on the surface of the specimen. HMDS may be deposited at a temperature of approximately 80° C. to approximately 180° C. Subsequent to the surface preparation process, the robotic wafer handler may be configured to remove the specimen from surface preparation chamber 144 and place the specimen into chill chamber 146. As such, chill chamber 146 may be configured to reduce a temperature of the specimen to a temperature suitable for subsequent processing (e.g., approximately 20° C. to approximately 25° C.).


[0232] In an additional embodiment, an anti-reflective coating may be formed on the surface of the specimen. The anti-reflective coating may be formed on the specimen by spin coating followed by a post apply bake process. Since the post apply bake process for an anti-reflective coating generally may involve heating a coated specimen from approximately 170° C. to approximately 230° C., a chill process may also be performed subsequent to this post apply bake process.


[0233] A resist may be also formed upon the specimen. The robotic wafer handler may be configured to place the specimen into resist apply process chamber 148. A resist may be automatically dispensed onto an upper surface of the specimen. The resist may be distributed across the specimen by spinning the specimen at a high rate of speed. The spinning process may dry the resist such that the specimen may be removed from the resist apply process chamber without adversely affecting the coated resist. The robotic wafer handler may be configured to move the specimen from resist apply process chamber 148 to post apply bake process chamber 150. The post apply bake process chamber may be configured to heat the resist-coated specimen at a temperature of approximately 90° C. to approximately 140° C. The post apply bake process may be used to drive solvent out of the resist and to alter a property of the resist such as surface tension. Subsequent to the post apply bake process, the robotic wafer handler may be configured to move the specimen from the post apply bake process chamber 150 to chill process chamber 146. In this manner, a temperature of the specimen may be reduced to approximately 20° C. to approximately 25° C.


[0234] The robotic wafer handler may also be configured to move the specimen from chill process chamber 146 to exposure chamber 134. The exposure chamber may include interface system 152 coupled to lithography tool 130. Interface system 152 may include mechanical device 153 configured to move specimens between the lithography tool and the exposure chamber. The exposure tool may be configured to align a specimen in the exposure chamber and to expose the resist to energy such as deep-ultraviolet light. In addition, the exposure tool may be configured to expose the resist to a particular intensity of energy, or dose, and a particular focus condition. Many exposure tools may be configured to alter dose and focus conditions across a specimen, for example, from die to die. The exposure system may also be configured to expose an outer lateral edge of the specimen. In this manner, resist disposed proximal an outer lateral edge of the specimen may be removed. Removing the resist at the outer lateral edge of a specimen may reduce contamination in subsequent processes.


[0235] The robotic wafer handler may be further configured to move the specimen from exposure chamber 134 to post exposure bake process chamber 154. The specimen may then be subjected to a post exposure bake process step. For example, the post exposure bake process chamber may be configured to heat the specimen to a temperature of approximately 90° C. to approximately 150° C. A post exposure bake process may drive a chemical reaction in a resist, which may enable portions of the resist to be removed in subsequent processing. As such, the performance of the post exposure process may be critical to the overall performance of the lithography process.


[0236] Subsequent to the post exposure process, the robotic wafer handler may be configured to move the specimen from post expose bake process chamber 154 to chill process chamber 146. After the specimen has been chilled, the robotic wafer handler may be configured to move the specimen to develop process chamber 156. The develop process chamber may be configured to sequentially dispense a developer chemical and water on the specimen such that a portion of the resist may be removed. As such, resist remaining on the specimen may be patterned. Subsequent to the develop process step, the robotic wafer handler may be configured to move the specimen from the develop process chamber to a hard bake process chamber or a post develop bake process chamber. A hard bake process may be configured to heat a specimen to a temperature of approximately 90° C. to approximately 130° C. A hard bake process may drive contaminants and any excess water from the resist and the specimen. The temperature of the specimen may be reduced by chill process as described herein.


[0237] In an embodiment, system 32 may be arranged laterally proximate to lithography tool 130 or another semiconductor fabrication process tool. As shown in FIG. 13, system 32 may be located proximate cassette end 160 of lithography tool 130 or proximate exposure tool end 162 of lithography tool 130. In addition, a location of system 32 with respect to lithography tool 130 may vary depending on, for example, a configuration of the process chambers within lithography tool 130 and clean room constraints for space surrounding lithography tool 130. In an alternative embodiment, system 32 may be disposed within lithography tool 130. A position of system 32 within lithography tool 130 may vary depending on, for example, a configuration of the process chambers within lithography tool 130. In addition, a plurality of systems 32 may be arranged laterally proximate and/or disposed within lithography tool 130. Each system may be configured to measure at least two different properties of a specimen. Alternatively, each system may be similarly configured.


[0238] In either of these embodiments, robotic wafer handler 136 may be configured to move a specimen from lithography tool 130 to a stage within system 32. For example, robotic wafer handler 136 may be configured to move a specimen to a stage within system 32 prior to or subsequent to a lithography process or between steps of a lithography process. Alternatively, a stage within system 32 may be configured to move a specimen from system 32 to lithography tool 130. In an example, the stage may include a wafer handler configured to move a specimen from system 32 to a process chamber of the lithography tool 130. Furthermore, the stage of system 32 may be configured to move the specimen from a first process chamber to a second process chamber within lithography tool 130. System 32 may also be coupled to the stage such that system 32 may move with the stage from a first process chamber to a second process chamber within lithography tool 130. In this manner, the system may be configured to determine at least two properties of a specimen as the specimen is being moved from a first process chamber to a second process chamber of lithography tool 130. An example of an apparatus and a method for scanning a substrate in a processing system is illustrated in European Patent Application No. EP 1 083 424 A2 to Hunter et al., and is incorporated by reference as if fully set forth herein.


[0239] In an embodiment, system 32 may be configured as an integrated station platform (“ISP”) system. An system may be configured as a stand-alone cluster tool. Alternatively, the ISP system may be coupled to a process tool. FIG. 14 illustrates a perspective view of an embodiment of ISP system 158 that may be arranged laterally proximate and coupled to a semiconductor fabrication process tool such as lithography tool 130. In this manner, ISP system 158 may be configured as a cluster tool coupled to lithography tool 130. For example, as shown in phantom in FIG. 13, ISP system 158 may be coupled to cassette end 160 of lithography tool 130. FIG. 15 further illustrates a perspective view of an embodiment of ISP system 158 coupled to cassette end 160 of lithography tool 130. As further shown in phantom in FIG. 13, ISP system 158 may be also coupled to interface system 152 at exposure tool end 162 of lithography tool 130. ISP system 158 may be further configured as illustrated in U.S. Pat. No. 6,208,751 to Almogy, which is incorporated by reference as if fully set forth herein.


[0240] ISP system 158 may also be coupled to multiple process tools. For example, ISP system may be configured as a wafer buffer station between a lithography tool and an etch tool. In this manner, the ISP system may be configured to receive a specimen from the lithography tool subsequent to a lithography process and to send the specimen to an etch tool for an etch process. In addition, the ISP system may be configured to determine one or more properties of the specimen between the lithography and etch process. An example of a wafer buffer station is illustrated in PCT Application No. WO 99/60614 to Lapidot, and is incorporated by reference as if fully set forth herein. ISP system 158 may be further configured as described by Lapidot.


[0241] ISP system 158 may include one or more measurement chambers. For example, the ISP system may have three measurement chambers 172, 174, 176. A measurement device may be disposed within each measurement chamber. Each measurement device may be configured as described herein. The measurement chambers may be arranged in unit 160. Environmental conditions within unit 160 may be controlled substantially independently from environmental conditions of the space surrounding ISP system 158. For example, environmental conditions within unit 160 such as relative humidity, particulate count, and temperature may be controlled by controller computer 162 coupled to the ISP system. Such a unit may be commonly referred to as a “mini-environment.”


[0242] addition, the one or more measurement chambers may be arranged such that first measurement chamber 172 may be located below second measurement chamber 174 and such that second measurement 174 may be located below third measurement chamber 176. In this manner, a lateral area or “footprint” of the ISP system may be reduced. Furthermore, because ISP system 158 may be coupled to a semiconductor fabrication process tool, one front interface mechanical standard (“FIMS”) drop may be coupled to both the semiconductor fabrication process tool and the ISP system. As such, less FIMS drops may be required in a fabrication facility (“fab”), and in particular a 300 mm wafer fab. A FIMS drop may be a mechanical device configured to lower a FOUP from an overhead transportation system to a semiconductor fabrication process tool or a standalone inspection or metrology tool. An example of a specimen transportation system is illustrated in U.S. Pat. No. 3,946,484 to Aronstein et al., and is incorporated by reference as if fully set forth herein.


[0243] In an embodiment, ISP system 158 may also include wafer handler 164, receiving station 166, sending station 168, and buffer cassette station 170. Receiving station 166 and sending station 168 may be configured such that a wafer handler of a semiconductor fabrication process tool may move a specimen to the receiving station and from the sending station. Buffer cassette station 170 may be configured to hold a number of specimens depending on, for example, the relative input and output rates of a semiconductor fabrication process tool and ISP system 158. Receiving station 166 may also be configured to alter a position of a specimen such that the specimen may be substantially aligned to a measurement device coupled to one of the measurement chambers. For example, the receiving station may be configured to detect a positioning mark such as a notch or a flat on the specimen and to move the specimen linearly and/or rotatably. Buffer cassette station 170 and receiving station 166 may be further configured a buffer station as illustrated in U.S. Pat. No. 6,212,691 to Dvir, which is incorporated by reference as if fully described herein.


[0244] The ISP wafer handler may be configured to remove a specimen from the receiving station. In addition, the ISP wafer handler may be further configured to move the specimen into one of the measurement chambers. Furthermore, the ISP wafer handler may be configured to move the specimen into each measurement chambers in a sequence. In this manner, the ISP system may be configured to determine at least one property of the specimen in each of the plurality of measurement chambers in a parallel pipeline fashion.


[0245] In addition, the measurement device coupled to each measurement chamber may each be configured to determine a different property of a specimen. For example, a measurement device coupled to first measurement chamber 172 may be configured to determine overlay misregistration of a specimen. A measurement device coupled to second measurement chamber 174 may be configured to determine a critical dimension of the specimen. A measurement device coupled to third measurement chamber 176 may be configured to determine a presence of macro defects on a surface of the specimen. In alternative embodiments, a measurement device coupled to one of the measurement chambers may be configured to determine a presence of micro defects on a surface of the specimen or a thin film characteristic of the specimen. A thin film characteristic may include a thickness, an index of refraction, or an extinction coefficient as described herein. Additionally, wafer handler 164 may be configured to move the specimen from each measurement chamber to sending station 168.


[0246] Because ISP system 158 may be coupled to a semiconductor fabrication process tool such as lithography tool 130, properties of a specimen may be determined faster than stand alone metrology and inspection tools. Therefore, a system, as described herein, may reduce the turn-around-time for determining properties of a specimen. A reduced turn-around-time may provide significant advantages for process control. For example, a reduced turn-around-time may provide tighter process control of a semiconductor fabrication process than stand alone metrology and inspection tools. Tighter process control may provide, for instance, a reduced variance in critical dimension distributions of features on a specimen.


[0247] In addition, a system as described herein may be configured to adjust a drifting process mean to a target value and to reduce variance in critical dimension distribution of features on a specimen by accounting for autocorrelation in the critical dimension data. For example, the critical dimension distribution of features on a specimen after a develop process step may be reduced by altering a parameter of an instrument coupled to an exposure tool or a develop process chamber. Such an altered parameter may include, but is not limited to, an exposure dose of an exposure process or a develop time of a develop process. In addition, a linear model of control may be used and only the offset terms may be updated or adapted. A linear model of control may include a control function such as: y=Ax+c, where A and c are experimentally or theoretically determined control parameters, x is a critical dimension of the specimen or another such determined property of the specimen, and y is a parameter of an instrument coupled to the semiconductor fabrication process tool. Alternatively, a parameter of an instrument coupled to a semiconductor fabrication tool such as the exposure tool may be altered by using an exponentially weighted moving average of the offset terms. A proportional and integral model of control may include a control function such as: ct=αEt−del+(1−α)ct−1, wherein a is an experimentally or theoretically determined control parameter, Et−del is a determined property of the specimen, and Ct is a parameter of an instrument coupled to the semiconductor fabrication process tool.


[0248] Variance in critical dimension distribution after develop may be dramatically reduced by a system as described herein. For example, adjusting a critical dimension mean to a target value of a lot (i.e., 25) of wafers using lot-to-lot feedback control may reduce critical dimension variance by approximately 65%. In addition, lot-to-lot feedback control may be effective if critical dimension within lot critical dimensions are correlated. For example, low autocorrelation may result in no reduction of critical dimension variance using lot-to-lot feedback control. High autocorrelation, however, may result in a 15% reduction of critical dimension variance using lot-to-lot feedback control. Controlling critical dimension variance using wafer-to-wafer feedback control, however, may be effective even if lot critical dimensions are non correlated. For example, low autocorrelation may result in a 25% reduction in critical dimension variance using wafer-to-wafer feedback control. Successful feedback control may depend on a proven APC frame work, robust process modeling, high throughput metrology, efficient production methodology to reduce metrology delay, and enabling of process tool wafer based control. In addition, the effect of turn-around-time on control of production wafers may also be examined by using multiple lot averaged control to adjust drift in the mean critical dimension. A target critical dimension may be set to be approximately equal to the mean of the critical dimension data. As such, lot-to-lot control may result in an 8% improvement in critical dimension variance. In addition, wafer-to-wafer control may results in an 18% improvement in critical dimension variance.


[0249]
FIG. 16 illustrates a schematic side view of an embodiment of system 32 disposed within measurement chamber 178. For example, system 32 may include stage 42 disposed within measurement chamber 178. In addition, system 32 may include measurement device 34 disposed within measurement chamber 178. Measurement chamber 178 may also include opening 179 and a mechanical device (not shown) coupled to opening 179. In addition, measurement chamber 178 may include a plurality of such openings and a mechanical device coupled to each of the openings. The mechanical device may be configured to place an object such as a thin sheet of metal in front of opening 179 and to remove the object from the opening. In this manner, the mechanical device may be configured to provide access to the measurement chamber, for example, when specimen 40 is being disposed upon stage 42 through opening 179. Specimen 40 may be disposed upon stage 42 by any of the methods or devices as described herein. Subsequent to disposing specimen 40 on stage 42, the object may be placed in front of opening 179 by the mechanical device such that environment conditions such as relative humidity, temperature, and particulate count within the measurement chamber may be maintained and/or controlled. In this manner, system 32 may be configured to determine a property of specimen 40 under maintained and/or controlled environmental conditions, which may increase the reliability of the system. In addition, exposure of components of system 32 including, but not limited to, measurement device 34 to environmental conditions external to the measurement chamber may be reduced. As such, contamination and/or degradation of the components of system 32 may be reduced thereby reducing the probability of system failure, associated maintenance and repair costs, and increasing a lifetime of the system.


[0250] The system may also include processor 54 disposed outside of measurement chamber 178. In this manner, the processor, which may be configured as a controller computer, may be accessed outside of the measurement chamber, for example, by an operator. In addition, arranging processor 54 external to measurement chamber 178 may reduce the dimensions of measurement chamber 178. By reducing the dimensions of measurement chamber 178, system 32 may be coupled to or disposed within a larger number of process tools than a conventional metrology and/or inspection system. For example, measurement chamber 178 may be configured to have approximately the same dimensions as a process chamber of a semiconductor fabrication process tool. In this manner, system 32 may be disposed within an existing semiconductor fabrication process tool, as shown in FIG. 13, without altering an arrangement of the process chambers of the semiconductor fabrication process tool. For example, measurement chamber 178 may disposed within the tool by replacing one of the process chambers with measurement chamber 178. System 32 may be further configured as described herein.


[0251]
FIG. 17 illustrates a schematic side view of an embodiment of measurement chamber 178 coupled to a process tool such as a semiconductor fabrication process tool. As shown in FIG. 17, measurement chamber 178 may be arranged laterally proximate to process chamber 180 of a process tool. Alternatively, the measurement chamber may be arranged vertically proximate to process chamber 180. For example, the measurement chamber may be arranged above or below process chamber 180. As shown in FIG. 17, process chamber 180 may be a resist apply chamber as described herein. For example, specimen 182 may be disposed upon stage 184. Stage 184 may be configured as a motorized rotating chuck or any other device known in the art. A resist may be dispensed onto specimen 182 from dispense system 186. Dispense system 186 may be coupled to a resist supply and may include a number of pipes and/or hoses and controls such as valves such that resist may be transferred from the resist supply to specimen 182. The dispense system may also be coupled to a controller computer, which may be configured to control the dispense system. For example, the controller computer may include processor 54 as described herein. Stage 184 may be configured to rotate such that the dispensed resist may spread over specimen 182 and such that solvent may evaporate from the dispensed resist. Process chamber 180, however, may include any of the process chambers as described herein. In addition, measurement chamber 178, process chamber 180, processor 54 may be arranged in a modular architecture as illustrated in PCT Application No. WO 99/03133 to Mooring et al., which is incorporated by reference as if fully set forth herein.


[0252] In an embodiment, therefore, specimen 182 may be easily and quickly be moved from process chamber 180 to measurement chamber 178 (or from measurement chamber 178 to process chamber 180) by a robotic wafer handler of a process tool, by a wafer handler of an ISP system, or by stage 42 as described herein. In this manner, system 32 may be configured to determine at least a first property and a second property of the specimen prior between process steps of a process. For example, in a lithography process, first and second properties of a specimen may be determined subsequent to resist apply and prior to exposure. In an additional example, first and second properties of a specimen may be determined subsequent to exposure and prior to post exposure bake. In a further example, first and second properties of a specimen may be determined subsequent to post exposure bake and prior to develop. First and second properties of a specimen may also be determined subsequent to develop. Furthermore, such a system may be configured to determine at least a first property and a second property of the specimen prior to substantially an entire process or subsequent to substantially an entire process. A system configured as described above may also have a relatively short turnaround-time. As described above, therefore, such a system may provide several advantages over currently used metrology and inspection systems.


[0253] A process tool such as a semiconductor fabrication process tool may include a number of support devices such as stage 184, as shown in FIG. 17, which may be configured to support the specimen during a process step. For example, a support device may be disposed within each process chamber coupled to a process tool. Appropriate support devices may include, but are not limited to, a spin coater, a bake plate, a chill plate, an exposure stage, and an electrostatic chuck in an etch or deposition chamber. Each support device may have an upper surface upon which a specimen may be disposed. An upper surface of each support device may be substantially parallel to an upper surface of other support devices arranged within the process tool, i.e., orientations of each support device within each process chamber, respectively, may be substantially parallel. In an embodiment, a stage of a system, as described herein, may also have an upper surface which may be substantially parallel to an upper surface of a support device of the process tool, as shown in FIG. 17, i.e., an orientation of the stage within a measurement chamber such as measurement chamber 178 may be substantially parallel to orientations of each support device within each process chamber, respectively.


[0254] In an alternate embodiment, a stage of a system, as described herein, may have an upper surface that may be arranged at an angle with respect to an upper surface of a support device, i.e., an orientation of the stage within a measurement chamber may be at an angle to orientations of each support device within each process chamber, respectively. For example, an upper surface of the stage may be arranged at a 90° angle with respect to an upper surface of a support device of a process tool. Alternatively, an upper surface of the stage may also be arranged at an angle of less than 90° with respect to an upper surface of the support device. At such angles, a vacuum may be pulled on a surface of a specimen to maintain a position of the specimen on the stage.


[0255] An orientation of a measurement device disposed within a measurement chamber with such a stage may also be altered. For example, the measurement device may be arranged at an angle such that a spatial relationship (i.e., any of the spatial arrangements shown in FIGS. 3-7, 11a-12, and 16-17) between the measurement device and the stage may be maintained. Such a stage may also be arranged at an angle with respect to an illumination system and a detection system of the measurement device. In this manner, a specimen may be tilted with respect to the measurement device during inspection or metrology processes which may be performed by a system as described herein.


[0256] An angled orientation of the stage within a measurement chamber as described above may allow a lateral dimension of the measurement chamber to be reduced. For example, the illumination system, the detection system, and the stage may be arranged in a more compact geometry than conventional inspection and metrology systems. In particular, a lateral dimension of a measurement chamber may be greatly reduced for relatively large diameter specimen such as 200 mm wafers and 300 mm wafers. As such, disposing such a measurement device within a semiconductor fabrication process tool may be less likely to require retrofitting of the semiconductor fabrication process tool. Therefore, existing configurations of semiconductor fabrication process tools may be less likely to prohibit disposing the system within the semiconductor fabrication process tool.


[0257]
FIG. 18 illustrates a schematic side view of an embodiment of system 32 coupled to process chamber 188. The process chamber may be a process chamber coupled to a semiconductor fabrication process tool. Stage 190 may be disposed within process chamber 188. Stage 190 may be configured to support specimen 192, for example, during a semiconductor fabrication process step. System 32 may be coupled to process chamber 188 such that measurement device 34 may be external to process chamber 188 but may be coupled to stage 190 disposed within the process chamber. For example, process chamber 188 include one or more relatively small sections 194 of a substantially transparent material disposed within one or more walls of the process chamber. Sections 194 may be configured to transmit a beam of energy from an energy source of the measurement device outside the process chamber to a surface of a specimen within the process chamber. Sections 194 may also be configured to transmit a beam of energy returned from the surface of the specimen to a detector of measurement device 34 outside process chamber 188. The substantially transparent material may have optical or material properties such that the beam of energy from the energy source and the returned beam of energy may pass through sections 194 of the process chamber without undesirably altering the properties of the directed and returned energy beams. For example, undesirably altering the properties of the energy beams may include, but is not limited to, altering a polarization or a wavelength of the energy beams and increasing chromatic aberration of the energy beams. In addition, sections 194 may be configured such that deposition of process residue from a chemical using during processing of a specimen may be reduced as described in PCT Application No. 99/65056 to Grimbergen et al., which is incorporated by reference as if fully set forth herein.


[0258] An appropriate system and method for coupling a measurement device external to a process chamber and a stage disposed within the process chamber may vary, however, depending on, for example, a configuration of the process chamber and/or a configuration of the measurement device. For example, the placement and dimensions of relatively small section 194 disposed within the walls of process chamber 188 may vary depending on the configuration of the components within the process chamber. As such, exposure of measurement device 34 to chemicals and environmental conditions within process chamber 188 may be reduced, and even substantially eliminated. Furthermore, measurement device 34 may be externally coupled to process chamber 188 such that the measurement device may not alter operation, performance, or control of a process step carried out in process chamber 188.


[0259] A measurement device, as shown in FIG. 18, may be configured to direct energy toward a surface of a specimen during a step of a process such as, in an example of a lithography process as described above, during a chill process subsequent to a post apply bake process, a post exposure bake process, a develop process, or any of the process steps as described herein. In addition, the measurement device may be configured to detect energy returned from the surface of the specimen during the step of the process. The measurement device may be configured to detect energy returned from a specimen substantially continuously or at various time intervals during a process step.


[0260] The system may include a processor configured to determine at least a first and a second property of a specimen during a process step. For example, the processor may be configured to determine at least two properties of a specimen such as critical dimension and overlay misregistration from the energy detected during a process step. In an additional embodiment, the processor may also be configured to detect variations in the energy detected by a measurement device during the process step. For example, the processor may be configured to obtain a signature characterizing the process step. The signature may include at least one singularity representative of an end of the process step.


[0261] In an additional embodiment, the processor may also be coupled to a process tool such as a lithography tool and may be configured to alter a parameter of an instrument coupled to the process tool. For example, the processor may alter a parameter of an instrument coupled to a process tool in response to the detected singularity as described above. The parameter of the instrument may be altered such that the process step may be terminated subsequent to detection of the singularity. In addition, the processor may be configured to alter a parameter of an instrument of a process tool in response to at least one determined property of the specimen using an in situ control technique.


[0262] In an additional embodiment, the processor may be configured to monitor a parameter of an instrument coupled to a process tool such as a semiconductor fabrication process tool. For example, the processor may be coupled to a resist apply process chamber of a lithography tool and may be configured to monitor a parameter of an instrument coupled to the resist apply chamber. In this manner, the processor may be configured to monitor a spin speed of a motorized chuck of the resist apply chamber, a dispense time of a dispense system of the resist apply chamber, and/or a temperature and a humidity of the resist apply chamber. The processor may be further configured as described in an example of a method and apparatus for providing real-time information identifying tools visited by a wafer under inspection and the process parameters used at those tools illustrated in European Patent Application No. EP 1 071 128 A2 to Somekh, which is incorporated by reference as if fully set forth herein. In addition, the processor may be configured to determine a relationship between at least one determined property of a specimen and a monitored parameters of an instrument coupled to a process tool. For example, the processor may be configured to determine a relationship between a presence of defects on the surface of a resist layer formed on a specimen and a monitored temperature and/or humidity of the resist apply chamber. Furthermore, the processor may be configured to alter the monitored parameter of the instrument in response to the determined relationship. For example, the processor may be configured to use a determined relationship to alter a parameter of an instrument coupled to the resist apply chamber such that the temperature and humidity of the resist apply chamber may be altered in response to a determined presence of defects on the surface of the specimen.


[0263] The processor may also be configured to alter a parameter of an instrument coupled to a process tool in response to at least one determined property using a feedback control technique. Furthermore, the processor may also be configured to alter a parameter of an instrument coupled to a process tool in response to at least one determined property using a feedforward control technique. For example, the system may be configured to determine at least two properties of a specimen during a develop process. The processor may be configured to alter a parameter of an instrument coupled to the develop process chamber in response to at least one of the determined properties during developing of the specimen or prior to developing additional specimens. In addition, the processor may be configured to alter a parameter of an instrument coupled to a process chamber such as a hard bake process chamber in response to at least one of the determined properties prior to further processing of the specimen in the process chamber. In addition examples, the processor may be configured to alter a parameter of an instrument coupled to an exposure tool, a post exposure bake chamber, a resist apply chamber, and any other tools or chamber included in the cluster tool.


[0264] In a further embodiment, the processor maybe configured to compare at least one determined property of the specimen and properties of a plurality of specimens. For example, the plurality of specimens may include product wafers processed prior to the processing of the specimen. At least two properties of the plurality of specimens may be determined prior to processing of the specimen with a system as described herein. The plurality of specimens may also include specimens within the same lot as the specimen or specimens within a different lot than the specimen. As such, the processor may be configured to monitor a process such as a semiconductor fabrication process using a wafer-to-wafer comparison technique or a lot-to-lot comparison technique. In this manner, the processor may be configured to monitor the performance of the process and to determine if the performance of the process or a process tool is drifting. A method an apparatus for reducing lot to lot CD variation in semiconductor wafer processing is illustrated in European Patent Application No. EP 1 065 567 A2 to Su, and is incorporated by reference as if fully set forth herein.


[0265] Alternatively, the processor may be configured to compare at least one determined property of the specimen to a predetermined range for at least the one property. The predetermined range may be determined, for example, from design constraints for the specimen. In addition, the predetermined range may be determined by using a statistical process control method to determine an average of at least the one property and additional statistical parameters such as a variance of at least the one property for a process. In addition, the processor may be configured to generate an output signal if at least the one determined property is outside of a predetermined range. The output signal may be a visual signal such as a signal displayed on a monitor coupled to the processor. The monitor may be disposed in a semiconductor fabrication facility such that the displayed signal may be viewed by an operator. Alternatively, the output signal may be any signal known in the art signal such as an audible signal or a plurality of signals.


[0266] In addition, subsequent to determining the property of the specimen, the processor may be configured to determine if additional processing of the specimen may be performed. Additional processing of the specimen may be altered or performed to alter the determined property. Such additional processing may be commonly referred to as “reworking.” In this manner, the processor may be configured to make automated rework decisions. For example, such additional processing may include reprocessing the specimen such that one or more process steps, which may have already been performed on the specimen, may be repeated. In addition, a parameter of one or more instruments coupled to one or more process chambers configured to perform the repeated process steps may be altered in response to the determined property using a feedforward control technique. In this manner, such additional processing of the specimen may be configured to alter the determined property by altering a parameter of the instrument in response to the determined property. As such, such additional processing may alter the determined property such that the determined property may be substantially equal to an expected value for the property or may be within a predetermined range for the property.


[0267] In an additional embodiment, the processor may be configured to alter a sampling frequency of a measurement device in response to at least one determined property of a specimen. For example, if a determined property is substantially different than an expected value for the property, or if a determined property is outside of a predetermined range for the property, then the processor may increase the sampling frequency of the measurement device. The sampling frequency may be altered, for example, such that the measurement device is configured to direct and detect energy from an increased number of locations on the specimen. In this manner, the sampling frequency may be altered using an in situ control technique. In addition, the sampling frequency of the measurement device may be altered to determine statistical data of the determined property across the specimen such as an average of the determined property across the specimen. As such, the determined property may be classified as a random defect, a repeating defect, or as another such defect.


[0268] In an additional example, the sampling frequency of a measurement device may be altered such that subsequent measurement or inspection of the specimen may be increased. In this manner, the sampling frequency may be altered using a feedforward control technique. Subsequent measurement or inspection may include transferring the specimen to an additional system, which may be configured as described herein, to further examine the determined property of the specimen. An appropriate additional system for such further examination of the determined property of the specimen may include a system having a higher sensitivity, a higher magnification, and/or an increased resolution capability than the system used to initially determine the property.


[0269] Alternatively, the sampling frequency may be altered such that the measurement device is configured to direct and detect energy from an increased number of locations on additional specimens that may be in the same lot as the specimen. Furthermore, the sampling frequency may be altered such that the measurement device is configured to direct and detect energy from an increased number of specimens in the same lot as the specimen or from a number of specimens in an increased number of lots. In this manner, the sampling frequency may be altered using a feedback control technique. As such, the sampling frequency may be altered using an in situ control technique, a feedforward control technique, or a feedback control technique. In addition, each of these control techniques may be used to alter the sampling frequency of a measurement device on a within-wafer basis, a within-lot basis, and/or a lot-to-lot basis.


[0270] In a further embodiment, the processor may be configured to generate a database. The database may include a set of data that may include at least first and second properties of a specimen. The processor may be also be configured to calibrate the measurement device using the database. For example, the set of data may include at least a first and second property of a reference specimen. The measurement device may be configured to determine the first and second properties of the reference specimen. In this manner, the processor may be configured to calibrate the measurement device by comparing the first and second properties of the reference specimen in the database and the determined first and second properties of the reference specimen. For example, the processor may be configured to determine a correction factor from the comparison of the first and second properties in the database and the determined first and second properties of the reference specimen. In addition, the processor may be configured to use the correction factor to determine first and second properties of additional specimens.


[0271] In an additional embodiment, the processor may be configured to monitor the measurement device using the database. For example, the database may include at least two properties of a specimen. The system may be configured to determine at least the two properties of the specimen at predetermined intervals of time. The processor may be configured to compare at least the two properties of the specimen determined at different times. As such, the processor may be configured to determine if the performance of the measurement device is changing over time. In an additional example, the processor may be configured to generate a set of data that may include at least a first property and a second determined property of a plurality of specimens at predetermined time intervals. As such, the processor may also be configured to compare at least the first and second properties of a plurality of specimens using the database. The first and second properties of a specimen or a plurality of specimens may be determined using the measurement device or using a plurality of measurement devices. The processor may be further coupled to the plurality of measurement devices. Therefore, the processor may also be configured to calibrate the plurality of measurement devices using the database as described above. In addition, the processor may also be configured to monitor the plurality of measurement devices using the database as described above.


[0272] As described above, the processor may be coupled to a plurality of measurement devices. In an additional embodiment, the processor may be configured to alter a parameter of an instrument coupled to at least one of the plurality of measurement devices. Each of the measurement devices may be configured as a stand-alone metrology or inspection device. Alternatively, each of the measurement devices may be coupled to at least one of a plurality of process tools as described herein. Furthermore, the processor may be coupled to at least one process tool. In this manner, the processor may be configured to alter a parameter of an instrument coupled to at least one of the plurality of process tools. In addition, the processor may be configured to alter a parameter of a plurality of instruments. Each of the instruments may be coupled to one of the plurality of process tools. The processor, however, may also be configured to alter a parameter of a plurality of instruments coupled to at least one of the plurality of process tools. For example, the processor may be configured to alter a parameter of the instrument in response to at least one of the determined properties using an in-situ control technique, a feedback control technique, and a feedforward control technique.


[0273] In an embodiment, the processor may include a local processor coupled to the measurement device. The processor, however, may also include a remote controller computer or a remote controller computer coupled to a local processor. The local processor may be configured to at least partially process a signal generated by the measurement device. The signal may be generated by the detection system and may be an analog signal or a digital signal. For example, the system may also include an analog-to-digital converter. The analog-to-digital converter may be configured to convert a signal generated by the detection system such that a digital signal may be sent to the local processor or the remote controller computer. In addition, the remote controller computer may be configured to further process the at least partially processed signal. For example, the local processor may be configured to determine at least a first property and a second property of a specimen. In this manner, the remote controller computer may be configured to further process at least the two determined properties. For example, further processing the determined properties may include comparing the determined properties to a predetermined range for each property. In addition, the remote controller computer may be configured to generate an output signal if the determined properties are outside of the predetermined range.


[0274] The processor may also take various forms, including, for example, a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (“PDA”), television system, or other device. In general, the term “processor” may be broadly defined to encompass any device having a processor, which executes instructions from a memory medium. Examples of processors and control methods are illustrated in U.S. Pat. No. 4,571,685 to Kamoshida, U.S. Pat. No. 5,859,964 to Wang et al., U.S. Pat. No. 5,866,437 to Chen et al., U.S. Pat. No. 5,883,374 to Mathews, U.S. Pat. No. 5,896,294 to Chow et al., U.S. Pat. No. 5,930,138 to Lin et al., U.S. Pat. No. 5,966,312 to Chen, U.S. Pat. No. 6,020,957 to Rosengaus et al., and are incorporated by reference as if fully set forth herein. Additional examples of processors and control methods are illustrated in PCT Application Nos. WO 99/59200 to Lamey et al. and WO U.S. Pat. No. 00/15870 to Putnam-Pite et al., and are incorporated by reference as if fully set forth herein.


[0275]
FIG. 19 illustrates an embodiment of a method for determining at least two properties of a specimen. As shown in step 196, the method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may be configured as described herein. For example, the measurement device may include an illumination system and a detection system. As shown in step 198, the method may include directing energy toward a surface of a specimen using the illumination system. In addition, the method may include detecting energy propagating from the surface of the specimen, as shown in step 200. Furthermore, the method may include processing the detected energy to determine at least a first property and a second property of a specimen, as shown in step 202. The first property may include a critical dimension of the specimen. A critical dimension may include, but is not limited to, a lateral dimension of a feature of the specimen. A feature may be formed on an upper surface of the specimen or in the specimen as described herein. The second property may include an overlay misregistration of the specimen. Overlay misregistration may include a lateral displacement of a first feature on a first level of a specimen with respect to a second feature on a second level of a specimen. The first level may be formed above the second level.


[0276] The stage may be configured as described herein. For example, the stage may be configured to move laterally and rotatably. In this manner, the method may include laterally or rotatably moving the stage. Laterally or rotatably moving the stage may include arranging the specimen such that energy from the measurement device may be directed to and may propagate from the specimen. The method may also include laterally and/or rotatably moving the stage while energy is being directed toward a surface of the specimen and while energy is being detected from the surface of the specimen. As such, the method may include moving the stage laterally and/or rotatably during measurement or inspection of a surface of a specimen. In this manner, light may be directed to and may propagate from a plurality of locations on a surface of the specimen during measurement or inspection of a surface of the specimen. As such, the system may be configured to determine at least two properties of a specimen at multiple locations on the specimen. In a further embodiment, the method may include rotating the stage while moving the measurement device linearly along a lateral dimension of a specimen as described herein.


[0277] An illumination system of the measurement device may be configured as described herein. In addition, a detection system of the measurement device may be configured as described herein. For example, the measurement device may include, but is not limited to, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer. In addition, the measurement device may include any combination of the above devices. As such, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of a system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0278] In an embodiment, the method may include processing the detected energy to determine a third property of the specimen. A third property of the specimen may include, but is not limited to, a presence, a number, a location, and/or a type of defects on the surface of the specimen and a flatness measurement of the specimen. The defects may include macro defects and/or micro defects as described herein. In addition, the method may include processing the detected energy to determine a third property and a fourth property of a specimen. For example, the third property may include a presence, a number, a location, and/or a type of defects on the surface of the specimen, and the fourth property may include a flatness measurement of the specimen. As such, the method may be used to determine a critical dimension, an overlay misregistration, a presence, a number, a location, and/or a type of defects on the specimen, and a flatness measurement of the specimen. The method may include determining such properties of a specimen sequentially or substantially simultaneously. In an additional embodiment, the method may include directing energy toward a front side and/or a back side of a specimen. As such, the method may also include detecting energy propagating from the front side and/or the back side of the specimen, respectively. In this manner, the method may also include determining a presence, a number, a location, and/or a type of defects on a back side of the specimen. The defects may include macro defects.


[0279] In an embodiment, the stage and measurement device may be coupled to a process tool such as a semiconductor fabrication process tool. The semiconductor fabrication process tool may include a lithography tool as described herein. The stage and measurement device may be arranged laterally proximate to the process tool as described herein. For example, the stage and measurement device may be disposed within an ISP system as described above. Alternatively, the stage and the measurement device may be disposed within the process tool. For example, the stage and measurement device may be disposed within a measurement chamber. The measurement chamber may be coupled to the process tool. For example, the measurement chamber may be arranged laterally proximate to a process chamber of the process tool. Alternatively, the measurement chamber may be arranged vertically proximate to a process chamber of the process tool. The measurement chamber may be configured to isolate the measurement device and the stage from environmental conditions within the process tool.


[0280] In an embodiment, a support device may be disposed within a process chamber of the process tool. The support device may be configured to support the specimen during a process step. For example, a support device disposed within a resist apply chamber of a lithography tool may include a chuck coupled to a motorized rotation device. As such, the support device may be configured to support the specimen during a resist apply process step of a lithography process. A support device may also include, for example, a bake plate disposed within a post apply bake chamber. The bake plate may be configured to support the specimen during a post apply bake process step of the lithography process. An upper surface of the support device may be substantially parallel to an upper surface of the stage of the system. Alternatively, an upper surface of the stage may be angled with respect to an upper surface of the support device. The stage may also be configured to hold a specimen in place at such an angle by drawing a vacuum through an upper surface of the stage or by an appropriate mechanical device. In this manner, a stage and measurement device may be substantially perpendicular to a support device disposed within a process chamber. As such, the system may be arranged essentially on its “side.” The term “side,” as used herein, generally refers to a lateral sidewall of a conventional metrology or inspection system. The orientation of the stage with respect to a support device of a process chamber may vary depending on, for example, the dimensions of a process tool and an arrangement of process chambers within the process tool. For example, the stage may be arranged at a perpendicular angle with respect to the support device such that the measurement device and stage may be disposed within an existing process tool. In this manner, the system may be disposed within a process tool without reconfiguration of the process chambers.


[0281] In an additional embodiment, the process tool may include a wafer handler configured as described herein. For example, the wafer handler may be configured to remove a specimen from a process chamber subsequent to a step of a process. The wafer handler may also be configured to place a specimen into a process chamber prior to a step of a process. In this manner, the wafer handler may be configured to move the specimen from a first process chamber to a second process chamber between steps of a process. Disposing the specimen upon the stage, as shown in step 196, may include moving the specimen from the process tool to the stage using the wafer handler. In addition, the method may include moving the specimen to the process tool subsequent to directing energy toward a surface of the specimen and detecting energy propagating from a surface of the specimen. In this manner, the method may include determining at least two properties of the specimen between process steps of a process.


[0282] In an alternative embodiment, the stage of the system may be disposed within a process chamber of the process tool. As such, the stage may be configured to function as a support device as described herein and may support the specimen during a process step. In this manner, disposing the specimen upon a stage, as shown in step 196, may include disposing the specimen upon a support device within a process chamber of a process tool. The method may also include directing energy toward a surface of the specimen and detecting energy propagating from the surface of the specimen during a process step. In this manner, the system may be configured to determine at least two properties of a specimen at predetermined time intervals during a process step. In an embodiment, the method may also include obtaining a signature characterizing a process step. The signature may include at least one singularity that may be representative of an end of the process step as described herein. Furthermore, the method may include altering a parameter of an instrument coupled to a process tool in response to at least one of the determined properties using an in situ control technique.


[0283] In an embodiment, the stage and the measurement device may be coupled to a wafer handler of a process tool. The wafer handler may be configured to support and move a specimen as described herein. In this manner, the method may include directing energy toward a surface of the specimen and detecting energy propagating from the surface of the specimen during movement of the specimen. As such, the method may also include determining at least two properties of a specimen while moving a specimen from a first process chamber to a second process chamber. In this manner, the method may include determining at least two properties of a specimen between any two process steps of a process. For example, the method may include chilling the specimen in a first process chamber. In addition, the method may include applying resist to the specimen in the second process chamber.


[0284] In additional examples, the method may include chilling the specimen in a first process chamber subsequent to a post apply bake process step. The method may also include exposing the specimen in the second process chamber. In a further example, the method may include chilling the specimen in a first process chamber subsequent to a post exposure bake process and developing the specimen in a second process chamber. Additionally, the method may include developing the specimen in a first process chamber and baking the specimen in a second process chamber. Furthermore, the method may include developing the specimen in a first process chamber and receiving the specimen in a wafer cassette in the second process chamber. In this manner, the method may include determining at least two properties of a specimen between any two process steps of a semiconductor fabrication process.


[0285] In an alternative embodiment, the measurement device may be coupled to a process chamber such that moving the specimen to or from the process chamber may include moving the specimen under the measurement device. In this manner, the stage may include the wafer handler.


[0286] In an embodiment, the method may include comparing the determined properties of a specimen and determined properties of a plurality of specimens. For example, the method may include monitoring and evaluating a semiconductor fabrication process using a wafer-to-wafer control technique. In addition, the method may include comparing properties of a specimen determined at a first location on the specimen to properties of the specimen determined at a second location on the specimen. As such, the method may include monitoring and evaluating a semiconductor fabrication process using a withinwafer control technique. Alternatively, the method may also include comparing the determined properties of a specimen to a predetermined range for each property. The predetermined range may vary depending on, for example, design constraints for each property such as an acceptable range of lateral dimensions for a feature on the specimen or an acceptable presence of defects on the surface of the specimen. The method may also include generating an output signal if the determined properties of the specimen are outside of the predetermined range for the property. The output signal may take various forms such as a visual signal and/or an audible signal. In addition, the output signal may be configured to indicate which of the determined properties is outside of the predetermined range and the extent to which the determined property is outside of the predetermined range.


[0287] In an additional embodiment, the method may include altering a sampling frequency of the measurement device in response to at least the determined first or second property of the specimen. For example, the method may include increasing a sampling frequency of the measurement device in response to the determined properties. The sampling frequency may be increased such that at least two properties may be determined at an increased number of locations on a single specimen. Alternatively, the sampling frequency may be increased such that at least two properties may be determined for an increased number of specimens such as within a lot of wafers. In addition, the sampling frequency may be increased such that at least two properties may be determined for an increased number of lots.


[0288] In an embodiment, the method may also include altering a parameter of an instrument coupled to a measurement device in response to at least one of the determined properties of the specimen using a feedback control technique. For example, if a property of the specimen is determined to be outside of a predetermined range, the method may include increasing a sampling frequency of a measurement device prior to determining at least two properties of additional specimens with the measurement device. The additional specimens may have been subjected to substantially the same process step or process as the specimen having at least one property outside of the predetermined range. In this manner, the method may include sampling an increased number of specimens such that data may be generated, which may be used to determine if the property of the specimen outside of the predetermined range is occurring systematically or randomly.


[0289] In an additional embodiment, the method may include altering a parameter of an instrument coupled to a measurement device in response to at least one of the determined properties of a specimen using a feedforward control technique. For example, the method may include determining at least two properties of a specimen subsequent to a first process step of a process using a measurement device. The method may also include determining at least two properties of a specimen subsequent to a second process step of the process using the measurement device. If one of the properties of the specimen determined after the first process step is outside of the predetermined range, a sampling frequency of the measurement device may be increased prior to determining at least two properties after the second process step. For example, the second process step may include reprocessing the specimen or performing a process step of a process which has been altered in response to at least one of the properties determined after the first process step. For example, the second process step may be configured to alter the property of the specimen such that the property may be within the predetermined range subsequent to the second process step. In this manner, the method may be used to determine if the second process step has altered the property of the specimen.


[0290] In an additional embodiment, the method may include generating a database. The database may include at least two determined properties of a specimen. The method may also include calibrating the measurement device using the database. For example, the database may include at least a first and second property of a reference specimen. In addition, the method may include determining the first and second properties of the reference specimen with the measurement device. In this manner, the method may include calibrating the measurement device by comparing at least one of the properties of the reference specimen in the database and at least one of the properties of the reference specimen determined with the measurement device. For example, the method may include determining a correction factor from the comparison of at least one property of the reference specimen and using the correction factor to determine at least the first and second properties of additional specimens.


[0291] In an additional embodiment, the method may include monitoring the determined properties generated by the measurement device using the database. For example, the database may include at least two properties of a specimen. The method may also include determining at least the two properties of the specimen at predetermined intervals of time. In this manner, the method may be include comparing at least the two properties of the specimen in the database to at least the two properties of the specimen determined at various times. As such, the method may include determining if the performance of the measurement device is changing over time. In an additional example, the method may include generating a database that may include at least two properties of a plurality of specimens. At least the two properties of the plurality of specimens may be determined using the measurement device. As such, the method may include comparing at least one of the determined properties of a plurality of specimens using the database. Alternatively, the first and second properties of the plurality of specimens may be determined using a plurality of measurement devices. Therefore, the method may also include calibrating the plurality of measurement devices using the database as described above. In addition, the method may also include monitoring the determined properties generated by the plurality of measurement devices as described above. In an embodiment, the method may also include altering a parameter of an instrument coupled to each of the plurality of measurement devices in response to at least one of the determined properties of a specimen. Altering a parameter of an instrument coupled to each of a plurality of measurement devices may include any of the embodiments described herein.


[0292] In a further embodiment, the method may include altering a parameter of an instrument coupled to a process tool such as a semiconductor fabrication process tool in response to at least one of the determined properties of the specimen using a feedback control technique. For example, the method may include altering a parameter of an instrument coupled to a lithography tool in response to a determined property as described above. In addition, the method may include altering a parameter of an instrument in response to at least one of the determined properties of the specimen using an in situ control technique. For example, the method may include terminating a process step at approximately a time that a singularity is detected by a measurement device.


[0293] Additionally, the method may also include altering a parameter of an instrument coupled to a process tool in response to at least one of the determined properties using a feedforward control technique. For example, the method may include determining at least two properties of a specimen during a develop process in a develop process chamber. In addition, the method may include altering a parameter of an instrument coupled to a process chamber in response to at least one of the determined properties prior to further processing of the specimen in the process chamber. In addition, the method may include altering a parameter of an instrument coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen. Altering the parameter of an instrument coupled to each of a plurality of process tools may include any of the embodiments described herein.


[0294] In an additional embodiment, the method may include monitoring a parameter of an instrument coupled to a process tool. For example, the method may include monitoring a parameter of an instrument coupled to a resist apply chamber of a lithography tool. In this manner, the method may include monitoring a spin speed of a motorized chuck of the resist apply chamber, a dispense time of a dispense system of the resist apply chamber, and/or a temperature and a humidity of the resist apply chamber. In addition, the method may include determining a relationship between a determined property of a specimen and the monitored parameter of an instrument. For example, the method may include determining a relationship between a presence of defects on the surface of a resist formed on a specimen and the temperature and/or humidity of the resist apply chamber. Furthermore, the method may include altering the monitored parameter of the instrument in response to the relationship. For example, the method may include using a determined relationship to alter a parameter of an instrument coupled to the resist apply chamber such that the temperature and humidity of the resist apply chamber may be altered in response to a determined presence of defects on the surface of the specimen. In an additional embodiment, the method may include altering a parameter of an instrument coupled to each of a plurality of process tools in response to at least one determined property of the specimen. Altering a parameter of an instrument coupled to each of a plurality of process tools may include any of the embodiments as described herein.


[0295] In an additional embodiment, processing the detected energy may include using a processor to determine the first and second properties of a specimen. The processor may be coupled to the measurement device. The method may, therefore, include sending a signal representative of the detected energy to the processor. The processor may also be configured as described in above embodiments. For example, the processor may include a local processor coupled to a remote controller computer. The local processor may be coupled to a measurement device as described in above embodiments. FIG. 20 illustrates an embodiment of a method for determining at least two properties of a specimen. For example, as shown in step 202, the method may include processing the detected energy to determine a first property and a second property of the specimen using a processor. As shown in step 206, processing the detected light may also include at least partially processing the detected energy using a local processor. The method may also include sending the partially processed detected energy from the local processor to a remote controller computer, as shown in step 208. In addition, the method may further include further processing the at least partially processed detected light using the remote controller computer, as shown in step 210.


[0296] In an embodiment, at least partially processing the detected energy may include determining at least two properties of a specimen. As such, further processing the detected energy may include processing the determined properties of the specimen. For example, processing the determined properties may include generating a database as described in above embodiments. In addition, processing the determined properties may include using at least one of the determined properties and a relationship between at least one property of the specimen and a parameter of an instrument coupled to a process tool to determine an altered parameter of the instrument. At least partially processing the detected light and further processing the detected light may also include additional steps as described herein.


[0297] An embodiment also relates to a semiconductor device that may be fabricated by a method, which may include any of the steps as described herein. For example, an embodiment of a method for fabricating a semiconductor device is illustrated in FIG. 19. As shown in step 204, the method may include fabricating a portion of the semiconductor device on a specimen such as a wafer. Fabricating a portion of a semiconductor device may include using a semiconductor fabrication process to process the specimen. Appropriate semiconductor fabrication processes may include, but are not limited to, lithography, etch, ion implantation, chemical vapor deposition, physical vapor deposition, chemical-mechanical polishing, and plating. In addition, fabricating a portion of the semiconductor device may include using a step of a semiconductor fabrication process to process the specimen.


[0298] In an embodiment, a method for fabricating a semiconductor device may also include disposing a specimen upon a stage, as shown in step 196. In addition, a method for fabricating a semiconductor device may further include directing energy toward a surface of the portion of the semiconductor device formed on the specimen, as shown in step 198. The method may also include detecting energy propagating from a surface of the portion of the semiconductor device formed on the specimen, as shown in step 200. As further shown in step 202, the method may further include processing the detected light to determine at least two properties of the portion of the semiconductor device formed on the specimen. Furthermore, a method for fabricating a semiconductor device may include any of the steps as described herein.


[0299]
FIG. 21 illustrates an embodiment of a computer-implemented method for controlling a system to determine at least two properties of a specimen. In an embodiment, the system may include a measurement device. As shown in step 212, the method may include controlling the measurement device, which may include an illumination system and a detection system. The measurement device may be coupled to a stage. The measurement device may further be configured as described herein. In addition, the method may include controlling the illumination system to direct energy toward a surface of a specimen, as shown in step 214. The method may further include controlling the detection system to detect energy propagating from the surface of the specimen, as shown in step 216. Furthermore, the method may include processing the detected energy to determine at least a first property and a second property of the specimen, as shown in step 218. The first property may include a critical dimension of the specimen. The critical dimension may include, but is not limited to, a lateral dimension, a height, and/or a sidewall angle of a feature formed on a surface of the specimen. Alternatively, the critical dimension may include a lateral dimension, a height, and/or a sidewall angle of a feature formed within a specimen. The second property may include an overlay misregistration of the specimen.


[0300] In an embodiment, the method may also include controlling the stage, which may be configured to support the specimen. For example, the method may include controlling the stage to move the stage laterally, rotatably, or laterally and rotatably. The stage may be controlled to move while the illumination system is directing energy toward the surface of the specimen and while the detection system is detecting energy propagating from the surface of the specimen.


[0301] In an additional embodiment, the method may also include processing the detected energy to determine a third property of the specimen. For example, the third property may include a presence of defects on a surface of the specimen. The third property may also include a number, a location, and/or a type of defects on a surface of the specimen. The defects may include micro defects, macro defects, or micro and macro defects. In an embodiment, the method may also include controlling the illumination system to direct energy toward a back side of the specimen. The method may further include controlling the detection system to detect energy propagating from the back side of the specimen. As such, the third property of the specimen may also include a presence of defects on the back side of the specimen. Such defects may include macro defects. In addition, a third property may also include a flatness measurement of the specimen. In an additional embodiment, the method may also include processing the detected light to determine a third and a fourth property of the specimen. In this manner, the third and fourth properties may include, but are not limited to, a presence, a number, a location, and/or a type of defects on a surface of the specimen and a flatness measurement of the specimen. In addition, the method may include determining at least two of the properties substantially simultaneously. The method, however, may also include determining all four of the properties described above sequentially or substantially simultaneously.


[0302] In an embodiment, the stage and the measurement device may be coupled to a process tool as described herein. For example, the stage and measurement device may be coupled to a lithography tool. The method may also include controlling a wafer handler of the process tool to move the specimen from the process tool to the stage. The wafer handler may be configured as described herein. Alternatively, the method may include controlling the stage to move the specimen from the system to the process tool. In a further embodiment, the method may also include controlling the stage to move the specimen from a first process chamber to a second process chamber. The first and second process chambers may be configured as described herein. In this manner, the method may also include controlling the illumination system to direct energy toward a surface of the specimen while the stage is moving the specimen from the first process chamber to the second process chamber. In addition, the method may also include controlling the detection system to detect energy propagating from the surface of the specimen while the stage is moving the specimen from the first process chamber to the second process chamber. As such, the method may include determining at least two properties of the specimen between any two process steps of a process.


[0303] In an additional embodiment, the method may include controlling the illumination system to direct energy toward a surface of the specimen during a process step. In addition, the method may also include controlling the detection system to detect energy propagating from the surface of the specimen during the process step. As such, the method may also include processing the detected energy to determine at least two properties of the specimen at predetermined time intervals during the process step. In this manner, the method may also include controlling the system to obtain a signature characterizing the process step. The signature may include at least one singularity, which may be representative of an end of the process step. In addition, the method may also include controlling the system to alter a parameter of an instrument coupled to the process tool in response to the determined properties using an in situ control technique. Furthermore, the computer-implemented method may also include any of the steps as described herein.


[0304] In an embodiment, a controller may be coupled to the system. The controller may be a computer system configured to operate software to control the system according to the above embodiments. The computer system may include a memory medium on which computer programs may be stored for controlling the system and processing the detected energy. The term “memory medium” is intended to include an installation medium, e.g., a CD-ROM, or floppy disks, a computer system memory such as DRAM, SRAM, EDO RAM, Rambus RAM, etc., or a non-volatile memory such as a magnetic media, e.g., a hard drive, or optical storage. The memory medium may include other types of memory as well, or combinations thereof. In addition, the memory medium may be located in a first computer in which the programs are executed, or may be located in a second different computer that connects to the first computer over a network. In the latter instance, the second computer provides the program instructions to the first computer for execution. Also, the computer system may take various forms, including a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (“PDA”), television system or other device. In general, the term “computer system” may be broadly defined to encompass any device having a processor, which executes instructions from a memory medium.


[0305] The memory medium may be configured to store a software program for the operation of the system to determine at least two properties of a specimen. The software program may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others. For example, the software program may be implemented using ActiveX controls, C++objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired. A CPU, such as the host CPU, executing code and data from the memory medium may include a means for creating and executing the software program according to the methods described above.


[0306] Various embodiments further include receiving or storing instructions and/or data implemented in accordance with the foregoing description upon a carrier medium. Suitable carrier media include memory media or storage media such as magnetic or optical media, e.g., disk or CD-ROM, as well as signals such as electrical, electromagnetic, or digital signals, conveyed via a communication medium such as networks and/or a wireless link.


[0307] An embodiment relates to a system which may be configured to determine at least two properties of a specimen, which may include a presence of defects on the specimen and a thin film characteristic of the specimen. For example, a presence of defects may be determined on a front side or a back side of a specimen as described herein. The defects may also include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include copper contamination and/or resist contamination. In addition, the thin film characteristic may include a thickness of a film such as copper. The system may be configured as described herein. In addition, the processor of such a system may be configured to determine additional properties of the specimen from energy detected by a measurement device. In an embodiment, the measurement device may be configured as a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. Such a system may be coupled to a chemical-mechanical polishing tool, a deposition tool, an etch tool, a cleaning tool such as a wet or dry stripping tool, or a thermal tool such as a furnace configured to perform rapid thermal processing (“RTP”) of a specimen as described herein. Examples of cleaning tools are illustrated in PCT Application No. WO 00/17907 and “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein.


[0308] Spectroscopic ellipsometry may include focusing an incidence beam of polarized light on a specimen and monitoring a change in polarization of at least a portion of the beam propagating from the specimen across a broad spectrum of wavelengths. Examples of spectroscopic ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,412,473 to Rosencwaig et al., U.S. Pat. No. 5,581,350 to Chen et al., U.S. Pat. No. 5,596,406 to Rosencwaig et al., U.S. Pat. No. 5,596,411 to Fanton et al., U.S. Pat. No. 5,771,094 to Carter et al., U.S. Pat. No. 5,798,837 to Aspnes et al., U.S. Pat. No. 5,877,859 to Aspnes et al., U.S. Pat. No. 5,889,593 to Bareket et al., U.S. Pat. No. 5,900,939 to Aspnes et al., U.S. Pat. No. 5,917,594 to Norton, U.S. Pat. No. 5,973,787 to Aspnes et al., U.S. Pat. No. 6,184,984 to Lee et al., and are incorporated by reference as if fully set forth herein. Additional examples of spectroscopic ellipsometers are illustrated in PCT Application No. WO 99/02970 to Rosencwaig et al. and is incorporated by reference as if fully set forth herein.


[0309] A measurement device configured as a spectroscopic ellipsometer may include a polarizer, which may be coupled to the detection system. A beam propagating from the specimen pass through the polarizer. Prior to passing through the polarizer, the returned beam may have elliptical polarization. After passing through the polarizer, the beam may be linearly polarized. The reflected light then pass through an analyzer coupled to the detection system and into a dispersion element, or a spectrometer. The dispersion element may be configured to separate beam components having different wavelengths. The separated components of the beam may be detected by individual elements of a detector array. The polarizer is usually rotating such that a time varying intensity may be detected by the elements of the detector array.


[0310] A processor of the system may receive a signal responsive to the detected light from each element of the detector array and may process the signal as described herein. For example, an intensity of light at each element of the detector array may be converted to ellipsometric parameters, ψ and Δ, by mathematical equations known in the art. The ellipsometric parameters may be typically shown as tan ψ and cos Δ. Tan ψ is the amplitude of the complex ratio of the s and p components of the reflectivity of the sample, and Δ is the phase of the complex ratio of the s and p components of the reflectivity of the sample. The term “s component” is used to describe the component for the polarized radiation having an electrical field perpendicular to the plane of incidence of the reflected beam. The term “p component” is used to describe the component for the polarized radiation having an electrical field in the plane of incidence of the reflected beam. For very thin films, tan ψ may be independent of thickness, and Δ may be linearly proportional to the thickness.


[0311] Software integrated into the processor of the system may be configured to convert the ellipsometric parameters, ψ and Δ, to an optical property of a specimen using a mathematical, or optical, model. Typically, a personal computer having a software package operable to rapidly performing data-fitting calculations such as a least-squares fitting technique may be appropriate for this use. Because ellipsometric parameters including ψ and Δ may be determined at small increments across a broad spectrum of wavelengths and at several angles, several hundred data points may be included in the calculations. Several software packages configured for use with spectroscopic ellipsometers that are capable of handling such a large amount of data are commercially available. The processor that may be used to receive a signal responsive to the detected light from each element of the detector array may be also used to perform the iterative data-fitting calculations. Examples of such software packages may be incorporated into operating systems of spectroscopic ellipsometers, which have been included by reference above, and are typically commercially available.


[0312] There are several optical models that may be used to analyze ellipsometric data. Examples, of such models include, but are not limited to, a cauchy model, a harmonic oscillator model, and a polynomial series expansion model. An appropriate model, however, may be chosen based on specimen characteristics, desired optical properties of the specimen, and the computational difficulty associated with the model. For example, the cauchy model is a relatively straightforward mathematical model. The cauchy model, however, may not be valid for wavelengths at which a specimen exhibits absorption. Additionally, optical properties of several layers of a specimen may also be determined simultaneously by using an appropriate optical model or a combination of optical models. Therefore, when using spectroscopic ellipsometry to analyze a specimen, one or more optical models may be more appropriate for analysis than others.


[0313] Thicknesses, indexes of refraction, and extinction coefficients for a layer of a specimen, a portion of a layer of a specimen, or several layers of a specimen may be determined from ellipsometric parameters using an optical model. The index of refraction, “n,” is related to the speed of light as it moves through a medium and is dependent upon the wavelength of the light. The extinction coefficient, “k,” is also dependent upon wavelength and relates to absorption of light by a medium. The extinction coefficient may also be used to determine the absorption coefficient for a given wavelength. Further discussion of the ellipsometric parameters and the optical properties of materials is illustrated in U.S. Pat. No. 4,905,170 to Forouhi, et al. and is incorporated by reference as if fully set forth herein.


[0314]
FIG. 22 illustrates an embodiment of a system configured to determine at least two properties of a specimen coupled to chemical-mechanical polishing tool 222. Chemical-mechanical polishing (“CMP”) may typically be used in the semiconductor industry to partially remove or planarize a layer on a specimen. Chemical-mechanical polishing may include holding and/or rotating a specimen against a rotating polishing platen under controlled pressure. Chemical-mechanical polishing tool 222 may include polishing head 224 configured to hold specimen 226 against polishing platen 228. Polishing head 224 may include a number of springs 230 or another suitable mechanical device, which may be configured to apply an adjustable pressure to a back side of specimen 226. Polishing head 224 may also be configured to rotate around a central axis of the polishing head. In addition, polishing head 224 may also be configured to move linearly with respect to the polishing platen.


[0315] Polishing platen 228 may also include a polishing pad 232. The polishing pad may have a back layer, which may be configured such that polishing pad 232 may be securely coupled to polishing platen 228. Polishing pad 232 may also have an upper layer which may be configured to contact and polish specimen 226. The upper layer of polishing pad 232 may include, for example, an open cell foamed polyurethane material or a polyurethane layer having a grooved surface. The upper layer may also include additional abrasive materials or particles configured to partially remove or polish specimen 226. Polishing platen 228 may also be configured to rotate around a central axis of the polishing platen. For example, polishing platen 228 may be configured to rotate in a first direction, and polishing head 224 may be configured to rotate in a second direction. The first direction may be substantially opposite to the second direction.


[0316] Chemical-mechanical polishing tool 222 may also include dispense system 234. The dispense system may be configured to automatically dispense a polishing chemical such as a chemical polishing slurry onto polishing pad 232. A chemical polishing slurry may include abrasive particles and at least one chemical. For example, abrasive particles may include fused-silica particles, and a chemical may include potassium hydroxide. Alternatively, polishing pad 232 may be sufficiently abrasive such that the chemical polishing solution may be substantially free of particles. Suitable combinations of a polishing chemical and a polishing pad may vary depending on, for example, a composition and a topography of an upper layer on specimen 226 which is being partially removed or planarized and/or a composition and a topography of an underlying layer.


[0317] A system configured to determine at least two properties of a specimen may include measurement device 220 coupled to chemical-mechanical polishing tool 222. The measurement device may be configured according to any of the embodiments described herein. For example, measurement device 220 may be a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a spectroscopic ellipsometer a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer. In addition, the measurement device may include any combination of the above devices. As such, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.


[0318] The measurement device may be coupled to the chemical-mechanical polishing tool such that the measurement device may be external to polishing platen 228. In this manner, the measurement device may be coupled to chemical-mechanical polishing tool 222 such that the measurement device may not interfere with the operation, performance, or control of the chemical-mechanical polishing process. For example, polishing platen 228 and polishing pad 232 may be retrofitted such that a small section of a substantially optically transparent material 236 may be disposed within the polishing platen and the polishing pad. The configuration of the chemical-mechanical polishing tool, however, may determine the placement and dimensions of the transparent material section 236.


[0319] The small section of transparent material 236 may transmit an incident beam of light from a light source of measurement device 220 outside the polishing platen to a surface of specimen 226 held in place by polishing head 224 and light propagating from a surface of specimen 226 to a detector of measurement device 220 external to the polishing platen. The optically transparent material 236 may have optical or material properties such that light from a light source of measurement device 220 and light propagating from a surface of specimen 226 may pass through the transparent sections of the polishing platen and the polishing pad without undesirably altering the properties of the incident and returned light beams.


[0320] Polishing chemicals such as chemical-polishing slurries, however, may include abrasive particles, chemicals, and material removed from the specimen, which may interfere with light from the light source and light propagating from a surface of the specimen. In an embodiment, therefore, the section of transparent material 236 may be configured to function as a self-clearing objective. The self-clearing objective may include an optical component configured to transmit light from a light source toward a surface of specimen 226. A self-clearing objective may also be configured to flow a substantially transparent fluid between the self-clearing objective and the specimen. The flowing fluid may be configured to remove abrasive particles, chemicals, and material removed from the specimen such that light may be transmitted from the measurement device to the specimen and from the specimen to a detector of the measurement device without undesirable alterations in the optical properties of the light. Examples of self-clearing objectives are illustrated in U.S. patent application Ser. No. 09/396,143, “Apparatus and Methods for Performing Self-Clearing Optical Measurements,” to Nikoonahad et al., and Ser. No. 09/556,238, “Apparatus and Methods for Detecting Killer Particles During Chemical Mechanical Polishing,” to Nikoonahad et al., and are incorporated by reference as if fully set forth herein. In this manner, the measurement device may be coupled to a stage (i.e., polishing platen 228) disposed within the process chamber and configured to support the specimen.


[0321] Examples of chemical-mechanical polishing systems and methods are illustrated in U.S. Pat. No. 5,730,642 to Sandhu et al., U.S. Pat. No. 5,872,633 to Holzapfel et al., U.S. Pat. No. 5,964,643 to Birang et al., U.S. Pat. No. 6,012,966 to Ban et al., U.S. Pat. No. 6,045,433 to Dvir et al., U.S. Pat. No. 6,159,073 to Wiswesser et al., and U.S. Pat. No. 6,179,709 to Redeker et al., and are incorporated by reference as if fully set forth herein. Additional examples of chemical-mechanical polishing systems and methods are illustrated in PCT Application Nos. WO 99/23449 to Wiswesser, WO 00/00873 to Campbell et al., WO 00/00874 to Campbell et al., WO 00/18543 to Fishkin et al., WO 00/26609 to Wiswesser et al., and WO 00/26613 to Wiswesser et al., and European Patent Application Nos. EP 1 022 093 A2 to Birang et al. and EP 1 066 925 A2 to Zuniga et al., and are incorporated by reference as if fully set forth herein. An additional example of an integrated manufacturing tool including electroplating, chemical-mechanical polishing, clean and dry stations is illustrated PCT Application No. WO 99/25004 to Sasson et al., and is incorporated by reference as if fully set forth herein.


[0322] An embodiment relates to a system that may be configured to determine at least two properties of a specimen including a presence of defects on a specimen and a critical dimension of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device and configured to determine at least a presence of defects and a critical dimension of the specimen from one or more output signals of the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. Such a system may be coupled to a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein.


[0323] In an embodiment, a system configured to determine at least a presence of defects on a specimen and a critical dimension of the specimen may be coupled to an etch tool as described herein. The presence of defects may include a presence of defects on a back side of the specimen. In addition, the system may be further configured to determine a number, a location, and/or a type of defects on the specimen. The system may be coupled to the etch tool such that at least a presence of defects on the specimen and a critical dimension of the specimen may be determined prior to and subsequent to an etch process or a step of an etch process. As described herein, at least one of the determined properties may be used to alter a parameter of one or more instruments coupled to a process tool. For example, a determined critical dimension of the specimen may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique or a feedback control technique. In addition, a determined presence of defects on the specimen may be used to alter a parameter of one or more instruments coupled to the lithography tool using a feedforward control technique of a feedback control technique.


[0324] In an embodiment, a system may be configured to determine at least two properties of a specimen including a critical dimension of the specimen and a thin film characteristic of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a critical dimension and a thin film characteristic of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a photo-acoustic device, a grazing X-ray reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. Such a system may be coupled to a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein.


[0325] In addition, a system configured to determine at least a critical dimension and a thin film characteristic of a specimen may be coupled to a chemical-polishing tool. For example, the processor may be configured to determine a critical dimension of a feature on the specimen from one or more output signals from a non-imaging scatterometer, a scatterometer, or a spectroscopic scatterometer. In addition, the processor may be configured to determine a thickness of a layer on the specimen from one or more output signals from a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a photo-acoustic device, and/or a grazing X-ray reflectometer. For example, an ellipsometer or a spectroscopic ellipsometer may be configured to generate one or more output signals responsive to a thickness of metal and semi-metallic layers having relatively thin thicknesses and relatively thick transparent layers. A photo-acoustic device may be configured to generate one or more output signals responsive to a thickness of relatively thin metal layers, and a grazing X-ray reflectometer may be configured to generate one or more output signals responsive to relatively thick and relatively thin layers. In this manner, a system, as described herein, may be configured to determine a thickness of layers having a broad range of thicknesses and materials.


[0326] The system may be coupled to a chemical-mechanical polishing tool according to any of the embodiments described herein. For example, the measurement device may be coupled to a polishing pad of a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen disposed upon the polishing pad. Alternatively, the measurement device may be coupled to a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen being disposed upon or removed from the polishing pad. For example, the measurement device may be coupled to a chemical-mechanical polishing tool such that a robot wafer handler may move below or above the measurement device. In an alternative embodiment, the measurement device may be coupled to a robotic wafer handler of a chemical-mechanical polishing tool. In this manner, the system may be configured to determine at least two properties of the specimen as the robotic wafer handler is moving the specimen.


[0327] In a further embodiment, the measurement chamber may coupled to and disposed laterally or vertically proximate an exit chamber of a chemical-mechanical polishing tool. An exit chamber of a chemical-mechanical polishing tool may include a water bath configured to receive a specimen subsequent to a chemical-mechanical polishing process. The water bath may be used to remove chemicals, slurry particles, and/or specimen particles remaining on the specimen subsequent to a chemical-mechanical polishing process. In this manner, the system may be configured to determine at least two properties of the specimen as the specimen is disposed within or moving through the exit chamber.


[0328] In an additional embodiment, the measurement device may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to a chemical-mechanical polishing tool, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more polishing chambers of a chemical-mechanical polishing tool. In addition, the measurement chamber may disposed laterally or vertically proximate a load chamber of a chemical-mechanical polishing tool. A load chamber of a chemical-mechanical polishing tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the chemical-mechanical polishing tool. A robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a chemical-mechanical polishing tool such as anywhere proximate the chemical-mechanical polishing tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a polishing pad and the system.


[0329] In an additional embodiment, a system may be configured to determine at least three properties of a specimen including a critical dimension of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen. The defects may also include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include, but are not limited to, copper contamination and/or resist contamination. In addition, the thin film characteristic may include a thickness of a film such as copper. The system may be configured as described herein. For example, the system may also include a processor coupled to a measurement device and configured to determine at least a critical dimension, a presence of defects, and a thin film characteristic of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. Such a system may be coupled to a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein.


[0330] In an embodiment, a system may be configured to determine at least two properties of a specimen including a presence of macro defects on the specimen and a presence of micro defects on the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a presence of macro defects and a presence of micro defects on the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. For example, the processor may be configured to determine a presence of subsurface defects such as voids from one or more output signals generated by a measurement device such an e-beam device, an X-ray reflectometer, or an X-ray fluorescence device. Such voids may be problematic, in particular for copper structures, if the voids fill with chemicals such as plating solutions, which may corrode the metal. In addition, the processor may be configured to determine a thickness of a metal layer such as copper on the specimen from one or more output signals generated by a measurement device such as an X-ray reflectometer and/or an X-ray fluorescence device.


[0331] Furthermore, the processor may be configured to determine a presence of macro defects on a backside of a specimen from one or more output signals generated by a measurement device such as an optical fluorescence device. The macro defects may include copper contamination and/or resist contamination. An optical fluorescence device may be configured to direct a beam of light to a surface of a specimen to induce fluorescence of the specimen. The directed beam of light may have a wavelength of approximately 364 nm. The wavelength of the directed beam of light may vary, however, depending upon, for example, a material that may be a defect. The optical fluorescence device may be further configured to detect fluorescence of the specimen and to generate one or more output signals in response to the detected fluorescence. A processor may be configured to determine a presence of macro defects, for example, by comparing detected fluorescence at multiple points on the specimen.


[0332] In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, an e-beam device such as a scanning electron microscope or a tunneling electron microscope, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large-spot e-beam device, or any combination thereof. For example, an appropriate combination may include an eddy current imaging device and a relatively large-spot e-beam device. An eddy current imaging device may generate one or more output signals that may be used to as a qualitative excursion monitor for a presence of macro defects on a surface of the specimen. The eddy current imaging device may be configured as described herein. A large-spot e-beam device such as a scanning electron microscope may have relatively low resolution and a relatively low data rate. One or more output signals generated by such an e-beam device may include a voltage contrast that may vary depending upon a presence of defects such as macro defects on the surface of the specimen. An example of an e-beam device is illustrated in U.S. patent application entitled “Sectored Magnetic Lens,” by John A. Notte IV, filed on Jun. 15, 2001, which is incorporated by reference as if fully set forth herein.


[0333] Such a system may be coupled to any of the process tools as described herein. For example, the system may be coupled to a lithography tool or an etch tool as described herein.


[0334] In an embodiment, a system may be configured to determine at least two properties of a specimen including a presence of macro defects on at least one surface of the specimen and overlay misregistration of the specimen. The determined properties may also include a number, a location, and a type of macro defects present on at least one surface of the specimen. At least one surface of the specimen may include a back side and/or a front side of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a presence of macro defects and overlay misregistration of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties such as a critical dimension of a feature on the specimen from the one or more output signals. In an embodiment, the measurement device may include a scatterometer, a non-imaging scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.


[0335] Such a system may be coupled to any of the process tools as described herein. For example, the system may be coupled to a process tool such as a lithography tool, an etch tool, and a deposition tool. The system may be coupled to the process tool according to any of the embodiments as described herein. For example, the measurement device may be coupled to a process chamber of the process tool such that the system may determine at least two properties of a specimen disposed within the process chamber. Alternatively, the measurement device may be coupled to a process chamber of the process tool such that the system may determine at least two properties of a specimen being disposed within or removed from the process chamber. For example, the measurement device may be coupled to the process chamber such that a robot wafer handler may move below or above the measurement device. In an alternative embodiment, the measurement device may be coupled to a robotic wafer handler of the process tool. In this manner, the system may be configured to determine at least two properties of the specimen as the robotic wafer handler is moving the specimen.


[0336] In an additional embodiment, the measurement device may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to the process tool, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of the process tool. For example, the deposition tool may include a cluster of process chambers that may each be configured to perform substantially similar processes or different processes. In addition, the measurement chamber may disposed laterally or vertically proximate a load chamber of the process tool. A load chamber of a deposition tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the process tool. A robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a process tool such as anywhere proximate the process tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a process chamber and the system.


[0337] In addition, a parameter of one or more instruments coupled to a process tool may be altered in response to the properties determined by the system using a feedback control technique, an in situ control technique, and/or a feedforward control technique. For example, a presence of macro defects on the surface such as a presence of macro defects on a back side of a specimen determined by the system prior to, during, and/or subsequent to an etch process, a deposition process, and/or a chemical-mechanical process may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique. In this example, the determined presence of macro defects on the back side of the specimen may be used to alter a dose and focus condition of an exposure tool during exposure of the specimen during a lithography process. In an additional example, overlay misregistration of a specimen determined by the system prior to, during, and/or subsequent to an etch process and/or a deposition process may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique. In this example, the determined overlay misregistration may be used to alter a lateral alignment of a reticle in an exposure tool during exposure of the specimen during a lithography process.


[0338] A deposition tool may be configured for chemical vapor deposition, as described below, or for physical vapor deposition. Physical vapor deposition may commonly be used in the semiconductor industry to form a layer of a conductive material upon a specimen such as a wafer. A physical vapor deposition tool may include a vacuum process chamber in which argon ions may be generated. In addition, a support device may be disposed within the process chamber. The support device may be configured to support a specimen during a physical vapor deposition process. In addition, a circular-shaped metal target may be disposed above the support device. The physical vapor deposition tool may also include an annular metal coil interposed between the support device and the metal target. The annular metal coil may be made of the same material as the metal target. A physical vapor deposition tool may also include voltage controller configured to supply a voltage to the metal target, the metal coil, and the support device. The voltage controller may be further configured to generate voltage biases between the metal target and the support device and between the support device and the metal coil. The voltage biases may cause argon ions to bombard the metal target and the metal coil to release metal atoms, which may then sputter onto a surface of a specimen on the support device. Examples of physical vapor deposition systems and methods are illustrated in U.S. Pat. No. 5,754,297 to Nulman, U.S. Pat. No. 5,935,397 to Masterson, U.S. Pat. No. 6,039,848 to Moslehi et al., U.S. Pat. No. 6,080,287 to Drewery et al., and U.S. Pat. No. 6,099,705 to Chen et al., and are incorporated by reference as if fully set forth herein.


[0339] A system, as described herein, may be coupled to a physical vapor deposition tool. For example, the system may be disposed within a measurement chamber. The measurement chamber may be configured as described herein. The measurement chamber may be located proximate a process chamber of the physical vapor deposition tool. Alternatively, the system may be coupled to a process chamber of the physical vapor deposition tool. In this manner, the system may be integrated into a physical vapor deposition tool. As such, the system may be configured to determine at least two properties of a specimen prior to, during, or subsequent to a physical vapor deposition process. Such arrangements of a system and a process chamber are described with reference to and illustrated in, for example, FIGS. 17 and 18. Process chambers 180 and 188, as illustrated in FIGS. 17 and 18, may be configured differently than shown such that the process chamber may be configured for a physical vapor deposition process. For example, process chamber 180 may not include dispense system 186 and, instead, may include various devices and components as described above. Furthermore, a system may be coupled to a wafer handler of a physical vapor deposition tool. Therefore, the system may be configured to determine at least two properties of a specimen while the specimen is being moved into a process chamber or out of a process chamber of a physical vapor deposition tool.


[0340] Plating may commonly be used in the semiconductor industry to form a layer of metal upon a specimen such as a wafer. A plating tool may include a process chamber such as a plating bath. A plurality of support devices may be disposed within the plating bath. Each of the support devices may be configured to support a specimen during a plating process. The plating tool may also include a cathode electrode arranged above and in contact with an upper surface of a specimen. In addition, the plating tool may include an anode electrode located beneath the specimen. A plating solution may flow into the plating bath from an inlet port and may be ejected upwardly onto a surface of a specimen. Furthermore, the plating tool may include a heater configured to heat the plating solution during a plating process. Controlling the temperature of the plating solution may be critical to forming a metal layer without defects such as structural changes, hardening, and/or plating burn of the layer. In addition, characteristics of a metal layer formed on a specimen may vary depending on additional characteristics of the plating solution. For example, the characteristics of a layer of plated metal may depend on a metal ion concentration in the plating solution, the pH level of the plating solution, and the specific gravity of the plating solution. An example of a system and a method for plating specimens is illustrated in U.S. Pat. No. 5,344,491 to Katou, and is incorporated by reference as if fully set forth herein.


[0341] As described herein, a system may be coupled to a plating tool. For example, the system may be disposed within a measurement chamber. The measurement chamber may be configured as described herein. The measurement chamber may be located proximate a process chamber of the plating tool. Alternatively, the system may be coupled to a process chamber of the plating tool. Therefore, the system may be configured to determine at least two properties of a specimen prior to, during, or subsequent to a plating process. Such arrangements of a system and a process chamber are described with reference to and illustrated in, for example, FIGS. 17 and 18. Process chambers 180 and 188, as illustrated in FIGS. 17 and 18, may be configured differently than shown such that the process chamber may be configured for a physical vapor deposition process. For example, process chamber 180 may not include dispense system 186 and, instead, may include various devices and components as described above. In addition, a system may be coupled to a wafer handler of a plating tool as described herein. As such, a system may be configured to determine at least two properties of a specimen while a specimen is being disposed within or removed from a process chamber of a plating tool.


[0342] An embodiment relates to a system which may be configured to determine at least a flatness measurement of the specimen, a presence of defects on the specimen, and a thin film characteristic of a specimen. The defects may include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include, but are not limited to, copper contamination and/or resist contamination. In addition, the thin film characteristic may include a thickness of a film such as copper. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a flatness measurement of the specimen, a presence of defects on the specimen, and a thin film characteristic of a specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices.


[0343] Such a system may be coupled to a chemical-mechanical polishing tool as described above. In this manner, the system may be configured to determine at least the three properties of a specimen prior to, during, or subsequent to a chemical-mechanical polishing process. Alternatively, such a system may be disposed within a measurement chamber, which may be configured as described herein. The measurement chamber may be located proximate the chemical-mechanical polishing tool. Therefore, such a system may be configured to determine at least the three properties of the specimen prior to or subsequent to a chemical-mechanical polishing process. Therefore, the flatness measurement of a specimen may include a measure of stress-induced curvature of a specimen due to a chemical-mechanical polishing process. In addition, the processor may be configured to alter a parameter of an instrument coupled to a chemical-mechanical polishing tool in response to the flatness measurement using a feedforward control technique. For example, the processor may be configured to alter a pressure of the polishing head coupled to the chemical-mechanical polishing tool in response to the flatness measurement using a feedforward control technique. In addition, the polishing head may be configured such that pressure across the polishing head may vary from zone to zone. Therefore, altering a pressure of the polishing head may include altering a pressure of one or more zones of the polishing head. In this manner, a system as described herein may be used to increase a planarity of an upper surface of the specimen subsequent to chemical-mechanical polishing.


[0344] Alternatively, such a system may be coupled to a thermal tool such as a furnace or a rapid thermal annealing furnace. As such, the flatness measurement of a specimen may include a measure of stress-induced curvature of a specimen due to thermal processing. In addition, such a system may also be coupled to an etch tool, a lithography tool, or a wafer manufacturing tool as described herein.


[0345] In an embodiment, a system may be configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. The system may be further configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen sequentially or substantially simultaneously. For example, the system may be coupled to a lithography tool as described herein. In addition, the system may be configured to determine at least a flatness measurement of the specimen prior to an exposure step of a lithography process. The system may also be configured to determine an overlay misregistration of a specimen prior to the exposure step.


[0346] As described herein, a system may be configured to determine at least a characteristic of an implanted region of the specimen and a presence of defects on the specimen. The system may be configured as described herein. For example, the system may include a processor configured to determine at least a characteristic of an implanted region of the specimen and a presence of defects on the specimen from one or more output signals generated by a measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, or any combination thereof.


[0347] An ion implantation process typically involves producing a beam of ions and driving at least some of the ions into a semiconductor substrate. The implantation of ions into a semiconductor substrate may alter electrical properties of the semiconductor substrate. The electrical properties of the implanted semiconductor substrate may vary depending on a concentration of ions implanted into the semiconductor substrate. The electrical properties of the implanted semiconductor substrate may also vary depending on the depth of the implanted portion of the semiconductor substrate and the distribution of the implanted ions as a function of thickness. Such characteristics of the implanted region of the semiconductor substrate may vary depending on a number of factors including, but not limited to, a type of the ions, implantation energy, implantation dose, and masking materials formed on the semiconductor substrate.


[0348] In some embodiments, an optical property of an upper, middle, or lower portion of the masking material may be used to determine a characteristic of implanted ions in the masking material such as depth of the implanted ions or a characteristic of the implantation process such as implantation energy. For example, during an ion implantation process, ions will be driven into the masking material. The implantation of ions into the masking material may cause physical damage to an upper surface of the masking material, and ions driven into the masking material may reside in the middle portion of the masking material. The depth to which implantation of ions causes damage to the upper portion of the masking material may be a function of the energy of the ions. The depth to which the ions are driven into the masking material may also be a function of the energy of the ions. For example, higher energy implantation processes may cause more damage to an upper portion of the masking material and may drive the ions further into the masking material than lower energy ion implantation process. Therefore, the depth of the upper and middle portions of the masking material may be related to the implant energy of the ion implantation process. The depth of the upper and middle portions of the masking material may also be related to other process conditions of the ion implantation such as the species of ions being implanted or the implant dose. In addition, the measured thickness of the lower portion of the masking material may also vary depending upon ion implantation energy. The thickness of the upper, middle, and lower portions may be determined by measuring an optical property of the masking material. The implantation of ions into the masking material or the implanted masking material resulting from the ion implantation process may, therefore, be determined as a function of the measured optical property of the masking material.


[0349] In additional embodiments, an implanted masking material may be analyzed as a single, substantially homogenous, layer. Therefore, an optical property of substantially an entire implanted masking material may also be measured. The entire implanted masking material may include the upper, middle, and lower portions of the implanted masking material as described above. The individual optical properties of the upper, middle, and lower portions may, therefore, be effectively included in the measurement of the optical property of the entire implanted masking material. For example, an optical property of the entire implanted masking layer may include added or averaged optical properties of individual layers. An optical property of a masking material measured as a single layer may be used to determine the ion implantation conditions. In one example, an optical property of substantially the entire thickness of the masking material may be compared to an optical property of substantially the entire thickness of the masking material prior to ion implantation. Therefore, the comparison of the optical properties may indicate a change in the optical property of the masking material subsequent to the ion implantation. A change in the optical property of the masking material may be attributed to implanted ions present in the masking material subsequent to an implantation process. In addition, an optical property of substantially the entire implanted masking material may also be compared to an optical property of substantially an entire masking material implanted using known conditions. In this manner, comparing the optical properties of the two implanted masking materials may indicate if the ion implantation process is drifting over time or across several semiconductor substrates.


[0350] In one embodiment, the optical property of the masking material may be a thickness, an index of refraction (or refractive index), or an extinction coefficient of the masking material or a portion of the masking material. The optical property of the masking material may be measured using a broadband radiation technique such as spectroscopic ellipsometry or spectroscopic reflectometry. The thickness of the masking material may also be measured separately using an additional optical technique such as dual-beam spectrophotometry. Examples of dual-beam spectrophotometry methods and systems are illustrated in U.S. Pat. No. 5,652,654 to Asimopoulos, U.S. Pat. No. 5,699,156 to Carver, and U.S. Pat. No. 5,959,812 to Carver, and are incorporated by reference as if fully set forth herein. Additionally, several optical properties of the masking material may be measured simultaneously. For example, a thickness of the upper, middle, and lower portions of the implanted masking material may be measured simultaneously. In addition, an index of refraction and an extinction coefficient may be measured simultaneously for an implanted masking material or a portion of an implanted masking material. Depending on the number of optical properties measured, several characteristics of the ion implantation process and/or the implanted masking material may also be determined simultaneously. Characteristics of the ion implantation process may include, but are not limited to, implant dose, implant energy, and implant species. Characteristics of the implanted masking material may include, but are not limited to, concentration of the implanted ions in the masking material and the presence of implanted ions in the masking material.


[0351] In an embodiment, the measured optical property of the implanted masking material may also be used to determine a characteristic of an implanted portion of the semiconductor substrate. The implanted portion of the semiconductor substrate may be formed during the implantation of ions into the masking material or during subsequent ion implantation processes. Characteristics of an implanted portion of a semiconductor substrate may include a depth of the implanted portion, a concentration of ions in the implanted portion, and a distribution of implanted ions as a function of the thickness of the implanted portion. Such characteristics may be a function of a measured optical property of the masking material. The function may describe a relationship between the optical property of the implanted masking material and the implantation of ions into the semiconductor substrate. The function may be determined experimentally by implanting a masking material and a portion of a semiconductor substrate simultaneously. The optical property of the implanted masking layer and the electrical properties of the implanted portion of the semiconductor substrate may then be measured. The electrical properties of the implanted portion of the semiconductor substrate may be related to characteristics of the implantation of ions into the semiconductor substrate such as depth of the implanted portion or distribution of the implanted ions as a function of thickness of the semiconductor substrate. A number of wafers may be processed and measured in this manner in order to generate a set of data that may be used to determine a functional relationship between an optical property of an implanted masking material and a characteristic of implanted ions in a semiconductor substrate.


[0352] Alternatively, the functional relationship may include a mathematical or theoretical model that describes a relationship between implantation in a masking material and implantation into a semiconductor substrate. For example, a mathematical or theoretical model may be used to determine the depth of an implanted portion of a semiconductor substrate using implant energy, implant dose, or depth of the implanted region of the masking material as determined from an optical property of the implanted masking material. An example of a method for using spectroscopic ellipsometry and spectroscopic reflectometry to monitor ion implantation is illustrated in U.S. patent application Ser. No. 09/570,135, “Method of Monitoring Ion Implants by Examination of an Overlying Masking Material” to Strocchia-Rivera, filed on May 12, 2000, and is incorporated by reference as if fully set forth herein.


[0353] Optical evaluation of an ion implantation process may provide several advantages over current methods to evaluate an ion implantation process. For example, an optical method may provide non-destructive testing and may not interfere with processing of a semiconductor substrate or the performance of a fabricated semiconductor device. Furthermore, optical evaluation of the masking material may not require additional processing such as annealing of the semiconductor substrate on which the masking material is formed. Therefore, evaluation of an ion implantation process using an optical method such as a broadband radiation technique may be performed during the ion implantation process.


[0354] In an embodiment, a system configured to evaluate an ion implantation process as described herein may coupled to an ion implanter. The system may include a measurement device as described herein. The measurement device may be coupled to a process chamber of the ion implanter as shown, for example, in FIG. 17. The measurement device may be coupled to the ion implanter such that the measurement device may be external to the ion implanter. In this manner, exposure of the components of the measurement device to chemical and physical conditions within the ion implanter may be reduced, and even eliminated. Furthermore, the device may be externally coupled to the ion implanter such that the measurement device does not interfere with the operation, performance, or control of the ion implantation process.


[0355] The measurement device, however, may be configured to focus an incident beam of broadband radiation onto a specimen in the ion implanter. The measurement device may also be configured to detect at least a portion of a beam of broadband radiation returned from the specimen. For example, a process chamber of an ion implanter may include small sections of a substantially optically transparent material disposed within walls of the process chamber. The small sections of transparent material may be configured to transmit the incident and returned beams of broadband radiation from an illumination system outside the process chamber to a specimen within the process chamber and from the specimen to a detection system outside the process chamber. The optically transparent material may be further configured to transmit incident and returned beams of light without undesirably altering the optical properties of the incident and reflected beams. An appropriate method for coupling a measurement device to an ion implanter may vary, however, depending upon, for example, a configuration of the ion implanter. For example, placement and dimensions of the transparent material sections disposed within the walls of the process chamber may depend on the configuration of the components within the process chamber. Therefore, a measurement device coupled to an ion implanter may be configured to measure optical properties of the masking material, optical properties of a portion of the masking material, optical properties of a multi-layer masking stack, or optical properties of the specimen during the implantation process.


[0356] In an additional embodiment, the system may also include a processor coupled to the measurement device and the ion implanter. The processor may be configured to interface with the measurement device and the ion implanter. For example, the processor may receive signals and/or data from the ion implanter representative of parameters of an instrument coupled to the ion implanter. The processor may also be configured to receive signals and/or data from the measurement device representative of light returned from the specimen or at least one property of the implanted region of a specimen. Additionally, the processor may be further configured to control the measurement device and the ion implanter. For example, the processor may alter a characteristic of the implanted region of the specimen by altering a parameter of an instrument coupled to the ion implanter. Therefore, the system may monitor and control the implantation of ions during a process.


[0357] In an additional embodiment, the system may be configured to monitor or measure variations in at least one optical property of the implanted masking material. For example, the measurement device may be configured to measure an optical property of the implanted masking material substantially continuously or at predetermined time intervals during an ion implantation process. The processor may, therefore, receive one or more output signals from the measurement device that may be representation of light returned from the specimen. The processor may also monitor variations in the one or more output signals over the duration of the ion implantation process. By analyzing variations in the one or more output signals during implantation, the processor may also generate a signature representative of the implantation of the ions into the masking material. The signature may include at least one singularity that may be characteristic of an endpoint of the ion implantation process. An appropriate endpoint for an ion implantation process may be a predetermined concentration of ions in a masking material or in a specimen. In addition, the predetermined concentration of ions may vary depending upon the semiconductor device feature being fabricated by the ion implantation process. After the processor has detected the singularity of the signature, the processor may stop the implantation of ions by altering a level of a parameter of an instrument coupled to the ion implanter.


[0358] In an embodiment, a method for fabricating a semiconductor device may include implanting ions into a masking material and a semiconductor substrate. The masking material may be arranged on the semiconductor substrate such that predetermined regions of the semiconductor substrate may be implanted with ions. For example, portions of the masking material may be removed by a lithography process and/or etch process to expose regions of the semiconductor substrate to an implantation process. During an ion implantation process, typically, an entire scanned may be scanned with a beam of dopant ions. Therefore, the remaining portions of masking material may inhibit the passage of dopant ions into underlying regions of the semiconductor substrate during an ion implantation process. As such, patterning the masking material may provide selective implantation of ions into exposed regions of the specimen.


[0359] The exposed regions may be regions of a specimen in which features of a semiconductor device are to be formed. For example, a dielectric material overlying a channel region of a gate during an ion implantation process may prevent implantation of ions into the gate conductor or the channel region beneath the gate conductor. The exposed regions of the specimen may, therefore, correspond to a particular feature of the semiconductor device being fabricated such as a junction region. Alternatively, ions may be implanted through a masking material and into underlying regions of the semiconductor substrate. In this manner, the masking material may include a thin gate dielectric material arranged over junction regions of a transistor. Implantation of ions through a masking material may enhance the electrical properties of the implanted region of the semiconductor substrate, for example, by randomizing the directional paths of the ions which are being driven into the specimen. The masking material may also be formed over a substantially planar specimen or over a non-planar specimen.


[0360] Fabricating a semiconductor device may also include monitoring implantation of ions into the semiconductor substrate by measuring at least one optical property of the masking material during the ion implantation process. The optical property of the masking material may be altered by the implantation of ions into the masking material. As such, the method for fabricating a semiconductor device may also include determining at least one characteristic of the implanted ions in the semiconductor substrate. The characteristic may be determined, for example, using a function that describes a relationship between the optical property of the implanted masking material and the implantation of ions into the semiconductor substrate.


[0361] In an embodiment, any material that may be substantially transparent to at least a portion of the light produced by a measurement device, as described above, may be used as a masking material for evaluation of an ion implantation process involving measurement of optical properties of a masking material. In one embodiment, the masking material may be a resist. An appropriate resist may include photoresist materials that may be patterned by an optical lithography technique. Other resists, however, may also be used such as e-beam resists or X-ray resists, which may be patterned by an e-beam or an X-ray lithography technique, respectively. In another embodiment, the masking material may include an inorganic material. Inorganic masking materials that may be used to inhibit ion implantation include, but are not limited to, silicon dioxide, silicon nitride, titanium nitride, polycrystalline silicon, cobalt silicide, and titanium silicide. The inorganic masking material may be formed by deposition techniques, such as chemical vapor deposition, or thermal growth techniques. The inorganic masking materials may be patterned using an etch technique.


[0362] In another embodiment, the masking material may include two or more layers of different masking materials arranged in a stack. For example, the masking material may include a resist formed upon an inorganic material. The inorganic material may be include any material that inhibits the implantation of ions through the masking material. When used as part of a masking material, the inorganic material may not be transparent or may not exhibit any substantial changes in optical properties when exposed to ions. The subsequent optical analysis may be done on the overlying resist material rather than on the underlying inorganic masking material. The inorganic material may be formed on a specimen prior to coating the specimen with a resist. This additional inorganic material, in combination with an overlying resist, may serve as the masking stack. An appropriate masking material may vary depending on, for example, an ion implantation process or an ion implanter configuration.


[0363] During ion implantation processes, and especially in processes using relatively high dosage levels, a semiconductor substrate may be significantly damaged due to the implantation of dopant ions into regions of the semiconductor substrate. For example, an implanted region of such a damaged semiconductor substrate may include of an upper crystalline damaged layer and an intermediate layer of amorphous silicon. The damage in the upper crystalline layer may be caused, for example, by electronic collisions between atoms of the semiconductor substrate and the implanted ions. Displacement damage, however, may not be produced if ions entering the semiconductor substrate do not have enough energy per nuclear collision to displace silicon atoms from their lattice sites. Increasing the dose of ions, and in particular relatively heavy ions, may produce an amorphous region in which the displaced atoms per unit volume may approach the atomic density of the semiconductor substrate. As the implant dose of the ion implantation process increases, the thickness of the amorphous layer may also increase. The presence of an amorphous layer of silicon may act as a boundary that may reflect optical radiation. Reflection of light by the amorphous layer may also effect the reflectance and ellipsometric measurements. Therefore, measurement of an optical property of the amorphous silicon layer may also be used to monitor the processing conditions of an ion implantation process.


[0364] In an embodiment, an optical property of an implanted portion of a semiconductor substrate may be measured. The optical property may be a thickness, an index of refraction, or an extinction coefficient of the implanted portion. In addition, several optical properties of the implanted portion of the semiconductor substrate may be measured substantially simultaneously. The optical property of the implanted portion of the semiconductor substrate and the optical property of the implanted masking material may also be measured substantially simultaneously. A characteristic of the implanted ions in the semiconductor substrate may be determined from the measured optical property of the implanted portion of the semiconductor substrate. This characteristic may, therefore, be related to the implantation of ions into a portion of the semiconductor substrate or a characteristic of the resulting implanted semiconductor substrate. For example, the characteristic may be an implant energy, an implant dose, or an implant species of the ion implantation process. In addition, the characteristic may be a concentration of ions, a depth, a distribution of the implanted ions as a function of thickness, or a presence of the implanted ions in the implanted portion of the semiconductor substrate. In addition, optical properties of the implanted portion of the semiconductor substrate may be used to determine several characteristics substantially simultaneously, which may include, but are not limited to, any of the characteristics as described above. A characteristic of the semiconductor substrate and a characteristic of the implanted ions in the masking material may also be determined substantially simultaneously.


[0365] In an additional embodiment, optical properties of the implanted portion of the semiconductor substrate may be measured using a broadband wavelength technique as described herein. For example, a measurement device, as described herein, may be configured to use a broadband wavelength technique to measure optical properties of an implanted portion of a semiconductor substrate. Additionally, the measurement device may be coupled to an ion implanter as described above such that measuring an optical property of the implanted portion of the semiconductor substrate may be performed during an ion implantation process. Therefore, variations in an optical property of the implanted portion of the semiconductor substrate may also be measured during an ion implantation process. In this manner, a signature characterizing the implantation of ions into the semiconductor substrate may be obtained. This signature may include a singularity characteristic of an end of the implantation process. As described above, an appropriate endpoint may be, for example, a predetermined concentration of ions in the semiconductor substrate. An appropriate processor, as described herein, may then reduce or substantially stop processing of the semiconductor substrate by controlling the ion implanter.


[0366] In an embodiment, the measured optical properties of the implanted masking material may be used to determine processing conditions for subsequent ion implantation processes of additional specimens such as additional semiconductor substrates or semiconductor device product wafers. For example, the implant energy of the implantation of ions into the masking material may be determined using the measured optical property of the implanted masking material. The determined implant energy may be used to determine depth of an implanted portion of a semiconductor substrate during an ion implantation process. The depth of the implanted portion of the semiconductor substrate may also be determined from a measured optical properties of the implanted portion of the semiconductor substrate.


[0367] The determined depth of the implanted portion of the semiconductor substrate may be less than a predetermined depth. The predetermined depth may vary depending on, for example, a feature fabricated during the ion implantation process. Therefore, before processing additional semiconductor substrates, or product wafers, the implant energy or another process condition of the ion implantation process may be altered such that a depth of an implanted portion of the additional semiconductor substrates may be approximately equal to the predetermined depth. For example, an implant energy of the ion implantation process may be increased to drive the ions deeper into the semiconductor substrate. In this manner, measured optical properties of a masking material may be used to determine and alter process conditions of an ion implantation process using a feedback control technique. In an additional embodiment, measured optical properties of an implanted portion of a semiconductor substrate may be used to determine and alter process conditions of an ion implantation process using a feedback control technique.


[0368] In an additional embodiment, measured optical properties of an implanted masking material may be used to determine process conditions of additional semiconductor fabrication processes that may be performed subsequent to an ion implantation process. Additional semiconductor fabrication processes may include, but are not limited to, a process to anneal the implanted regions of a semiconductor substrate and a process to remove the masking material. For example, an implant energy of an ion implantation process may be determined using a measured optical property of an implanted masking material. The determined implant energy may be used to determine a depth that ions may be implanted into a semiconductor substrate using the ion implantation process. Alternatively, a depth of the implanted portion of a semiconductor substrate may also be determined using a measured optical property of the implanted semiconductor substrate.


[0369] The determined depth of the implanted portion of the semiconductor substrate may be greater than a predetermined depth. Process conditions of an annealing process performed subsequent to the ion implantation process, however, may be optimized for the predetermined. Therefore, before annealing an implanted semiconductor substrates having the determined depth, a process condition of the annealing process such as anneal time or anneal temperature may be altered. In this example, the anneal time of the annealing process may be increased to ensure substantially complete recrystallization of the amorphous layer formed in the semiconductor substrate by the ion implantation process. In this manner, measured optical properties of a masking material may be used to determine process conditions of a semiconductor fabrication process performed subsequent to an ion implantation process using a feedforward control technique. Measured optical properties of an implanted portion of a semiconductor substrate may also be used to determine process conditions of a semiconductor fabrication process performed subsequent to an ion implantation process using a feedforward control technique.


[0370] A set of data that may include measured optical properties of a masking material may be collected and analyzed. The set of data may be used to determine processing conditions of an ion implantation process or to monitor the processing conditions over time. Process control methods as described herein may also be used in conjunction with electrical testing of an implanted region of a semiconductor substrate. The combination of optical and electrical analysis may provide a larger amount of characterization data for an ion implantation process. The characterization data may be used to assess the mechanisms of ion implantation, to determine the cause of defects, and to alter process conditions. In addition, this process control strategy may be used to qualify, or characterize the performance of, a new ion implanter. Furthermore, this process control strategy may be used to determine an appropriate masking material and masking material thickness in development of an ion implantation process. The process control method may also be used to compare the performance of two or more ion implanters. Such a process control method may be used in a manufacturing facility in which several ion implanters may be used in parallel to manufacture one type of device or product.


[0371] In an embodiment, a system may be configured to determine at least an adhesion characteristic of a specimen and a thickness of the specimen. The system may be configured as described herein. For example, the system may also include a processor coupled to a measurement device. In addition, the processor may be configured to determine other properties of the specimen from the detected light. In an embodiment, the measurement device may include a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, an eddy current device, an acoustic pulse device, or any combination thereof. The processor may be configured to determine at least an adhesion characteristic and a thickness of the specimen from one or more output signals from the measurement device.


[0372] In an embodiment, an acoustic pulse device or a photo-acoustic device may be configured to use acoustic pulses to characterize a layer formed upon a specimen. For example, acoustic pulses may be used to determine a thickness of a layer such as a metal disposed on a specimen. An advantage of an acoustic pulse device is that measuring a property of a layer formed on a specimen with the device is substantially non-destructive. An acoustic pulse device may be configured to apply a laser pulse to a specimen. The laser pulse may be absorbed within one absorption length from an upper surface of the layer thereby causing a rise in local surface temperature. Depending on temperature coefficient of expansion (expansivity) of a layer, the layer may undergo thermal stresses, which may generate an elastic pulse in the layer. The elastic pulse may propagate across the layer at approximately the velocity of sound. The time of flight for the elastic pulse across the layer may be measured and may be used to determine a thickness of the layer. Measuring the time of flight for the elastic pulse may include steps of the methods described below.


[0373] In one embodiment, a laser pulse of radiation may be applied to a first surface area of a specimen to non-destructively generate an elastic pulse in the specimen. The elastic pulse may cause the first surface area to move. The acoustic pulse device may include an interferometer configured to detect an acoustic echo of the pulse traversing the specimen. The interferometer may also be configured to provide a pair pulses including a probe pulse and a reference pulse of radiation. The interferometer may be further configured to direct the probe pulse to the first surface area when it is moved by the elastic pulse and a reference pulse to a second surface area. The second surface area may be laterally spaced from the first surface area. The interferometer may also be configured to monitor the reflection of the pulses off of the surface of the specimen. The reflection of the pair of pulses may be used to determine a thickness of a layer on the specimen. For example, a processor of the system may be configured to determine a thickness of the layer using one or more output signals from the interferometer.


[0374] In an embodiment, a method for non-destructively measuring properties of a specimen may include directing a pump pulse of radiation to a first surface area of the specimen to non-destructively generate an elastic pulse in the specimen. The generated elastic pulse may cause the first surface area to move. The method may also include directing a probe pulse and a reference pulse of radiation to the specimen using an interferometer. Directing the probe and reference pulses may include directing the probe pulse to the first surface area when it is moved by the elastic pulse and directing the reference pulse to a second surface area. The second surface area may be laterally spaced from the first surface area. In addition, the method may include monitoring reflections of the probe and reference pulses. The method may also include determine a thickness of a layer on the specimen. Both of the above described acoustic-pulse methods are described in further detail in U.S. Pat. No. 6,108,087 to Nikoonahad et al. and U.S. patent application Ser. No. 09/310,017, both of which are incorporated by reference as if fully set forth herein. Other methods for measuring films using acoustic waves are also described in U.S. Pat. No. 6,108,087.


[0375] In another embodiment, an acoustic pulse device may be configured to determine a thickness of a layer by using a probe pulse and a reference pulse that are substantially in phase with each other. The in-phase pulses may be used to measure an acoustic echo created by a pump pulse applied to an area of the layer. The applied pump pulse may create an elastic pulse that may propagate through the layer. The probe pulse may be directed to the area of the specimen through which the elastic pulse propagates. The reference pulse may be directed to substantially the same surface area or a different surface area of the sample such that the pair of pulses may be modified by the specimen. The modified pulses may interfere at a detector. For example, at least one of the pulses may be modulated in phase or frequency before or after modification by the sample and prior to detection by the detector. By processing one or more output signals from the detector, a thickness of a layer on the specimen may be determined.


[0376] In one embodiment, an optical delay may be used to alter a time relationship between the pump pulse and the probe pulse. In this manner, the probe pulse may be directed to the specimen surface when it is influenced by the elastic pulse created by the pump pulse. The reference and probe pulses may be directed along substantially the same optical path between an optical source and a detector. Such a configuration may reduce, and even minimize, random noise in one or more output signals of the detector, which may be caused, for example, by environmental factors. Such a configuration is further described in U.S. patent application Ser. No. 09/375,664, which is incorporated by reference as if fully set forth herein.


[0377] Acoustic pulse devices, as described above, may be incorporated into any of the systems and/or process tools as described herein.


[0378] In an embodiment, a system may be configured to determine at least a concentration of an element in a specimen and a thickness of a layer on the specimen. The system may be configured as described herein. For example, the system may also include a processor coupled to a measurement device. The processor may be configured to determine at least a concentration of an element in a specimen and a thickness of a layer formed on the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the detected light. In an embodiment, the measurement device may include a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, an ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, an eddy current device, or any combination thereof.


[0379] An X-ray reflectance (“XRR”) technique may be used to measure a property of a specimen such as a concentration of an element in a thickness of a layer or at an interface between layers on a specimen. X-ray reflectance may also be used to determine a thickness of a layer or an interface between layers on a specimen. Layers which may be measured by X-ray reflectance may include layers substantially transparent to light such as dielectric materials and layers substantially opaque to light such as metals. X-ray reflectance may include irradiating a surface of a specimen with X-rays and detecting X-rays reflected from the surface of the specimen. A thickness of a layer may be determined based on interference of X-rays reflected from the surface of the specimen. In addition, reflection of X-rays from the surface of the specimen may vary depending on refractive index changes at a surface of a layer on the specimen and at an interface between layers on the specimen and the density of the layer or of the interface. Therefore, a complex refractive index in an X-ray regime may be directly proportional to a density of a layer. In this manner, a concentration of an element in a layer or at an interface between layers may be determined based on the density and thickness of the layer.


[0380] X-ray reflectance may be performed at different angles of incidence depending upon, for example, characteristics of a specimen. An X-ray reflectance curve may be generated by a processor using one or more output signals responsive to the detected X-rays reflected from the surface of the specimen. The X-ray reflectance curve may include an average reflectance component, which may be caused by bulk properties of the specimen. The average reflectance component may be subtracted from the one or more output signals such that an interference oscillation component curve may be generated. Parameters of the interference oscillation component curve may be converted, and a Fourier transform may be performed. A thickness of a layer may be determined by a position of a peak of a Fourier coefficient, F(d). In addition, a peak intensity of the Fourier coefficient, F(d), may be used to determine a layer density or an interface density. For example, a relationship between a peak intensity of a Fourier coefficient and a layer density may be simulated and may be used to determine a layer density. Alternatively, a layer density may be determined based on the X-ray reflectance curve by fitting the curve to model data using a mathematical method such as a nonlinear least squares curve-fitting method. In such a method, several of the fitted parameters may be inter-related. Therefore, parameters that may be substantially constant across specimens may be fixed at average values in order to prevent multiple solutions.


[0381] A concentration of an element on a surface of a layer or at an interface between layers may be determined by using data that may describe a relationship between interface layer density and concentration. The data may be generated by another analytical technique such as secondary ion mass spectroscopy (“SIMS”). SIMS may involve removing material from a sample by sputtering ions from the surface of the sample and analyzing the sputtered ions by mass spectrometry. Examples of SIMS techniques are illustrated in U.S. Pat. No. 4,645,929 Criegern et al., U.S. Pat. No. 4,912,326 to Naito, U.S. Pat. No. 6,078,0445 to Maul et al., and U.S. Pat. No. 6,107,629 to Benninghoven et al., and are incorporated by reference as if fully set forth herein. In this manner, a plurality of samples having various elemental concentrations may be prepared. The samples may be analyzed by XRR to determine density of the layer or interface of interest and may also be analyzed by SIMS to determine a concentration of the layer or interface of interest. A relationship between density and concentration may then be determined. The determined relationship may be used to determine concentration of an element on a surface of a layer or at an interface between layers in additional specimen.


[0382] A device configured to measure X-ray reflectance of a layer or an interface between layers of a specimen may include a measurement chamber. A specimen may be supported within the measurement chamber by a stage or another mechanical device. An appropriate stage or mechanical device may be configured to maintain a position of the specimen during measurement and for moving the specimen before, during, and/or after X-ray reflectance measurements. The stage or mechanical device may also be further configured as described herein. The measurement chamber may also be configured as a process chamber of a process tool, which may be used for semiconductor fabrication. For example, the process chamber may include a deposition chamber in which a metal film may be formed on a specimen or an ion implantation chamber in which ions may be driven into a specimen. In this manner, X-ray reflectance measurements may be performed prior to, during, or subsequent to a process performed in the process chamber. The measurement chamber may also be disposed within or proximate a process tool such that a specimen may be moved from a process chamber of the process tool to the measurement chamber. In one example, the measurement chamber may be coupled to a chemical-mechanical polishing tool such that X-ray reflectance measurements may be performed prior to or subsequent to a process step of a chemical-mechanical polishing process.


[0383] The device configured to measure X-ray reflectance of a layer or an interface between layers of a specimen may also include an X-ray source such as a rotor X-ray source. X-rays generated by the X-ray source may be passed through a germanium monochromator. The measurement chamber may also include a beryllium window in a wall of the measurement chamber through which the X-rays may enter the measurement chamber. In this manner, X-rays may be directed to a surface of a specimen supported within the measurement chamber. In addition, the device may include an X-ray detector arranged on a side of the measurement chamber opposite to the X-ray source. As such, X-rays reflected from the surface of the specimen may be detected. The system may also include a controller computer configured to control the device and/or individual components of the device. The controller computer may also be configured to process a signal generated by the detector in response to the detected X-rays and to determine a concentration of an element in a layer or an interface between layers of a specimen. The controller computer may be further configured as a processor as described herein. Additional examples of X-ray reflectance methods and systems are illustrated in U.S. Pat. No. 5,740,226 to Komiya et al. and U.S. Pat. No. 6,040,198 to Komiya et al., which are incorporated by reference as if fully set forth herein.


[0384] In an embodiment, an eddy current device may be configured to measure a thickness of a layer formed upon a specimen. Eddy current devices may also be configured to measure junction leakage in a specimen. An eddy current device may include a sensor configured to apply an alternating current to a specimen. The applied alternating current may cause an eddy current in the specimen. The resistance or conductance of the specimen may be analyzed using the eddy current. A thickness of a layer on the specimen may be determined by a change in resistance or conductivity. Methods for using eddy currents to determine a thickness of a layer on a specimen are illustrated in U.S. Pat. No. 6,086,737 to Harada, and U.S. patent application entitled “In-Situ Metallization Monitoring Using Eddy Current Measurements, by K. Kehman, S. M. Lee, W. Johnson, and J. Fielden, which are incorporated by reference as if fully set forth herein.


[0385] A sensor or an eddy current device may include a capacitor and an inductor. During use, the sensor may be positioned proximate to the specimen. When a layer formed on the specimen is conductive or magnetic, the inductor may be configured to couple an alternating (“ac”) electromagnetic field to the layer. The alternating electromagnetic field may induce eddy (i.e., Foucault) currents in the layer, and two effects may be present. First, the layer may act as a lossy resistor, and the effect will be a resistive loading on a sensor circuit, which will lower the amplitude of the resonant signal and lower the resonant frequency. Second, a decrease in the layer thickness may produce an effect as though a metal rod were being withdrawn from the coil of the inductor thereby causing a change in inductance as well as a frequency shift. As the thickness of the layer changes, either by addition or removal, the eddy currents may change, and thus their resistive loading effect and magnitude of frequency shift may change as well. When a layer is not present, there will be no effect on the sensor circuit (i.e., no resistive loading, no inductance change, no frequency shift). Thus, a change in thickness of a layer may be monitored substantially continuously or intermittently by monitoring changes in any of these parameters.


[0386] Note that any conductive film may be monitored using an eddy current device, not just a layer such as a thin film on a semiconductor substrate. For example, in an electroplating process, metal ions in a plating solution dissolved from a metal block electrode acting as an anode may be deposited on a target at the cathode to form a film. Eddy current measurements may be used to monitor formation of the film on the target during the electroplating process, both in-situ and real time.


[0387] Eddy current devices and measurements may be used in a variety of applications. In one embodiment, an eddy current device may be coupled to a chemical mechanical polishing tool. In this application, the eddy current device may be used to determine one or more endpoints of the polishing process and/or a thickness of one or more polished layers prior to, during, or subsequent to the polishing process. In another embodiment, an eddy current device may be coupled to a deposition tool. In this case, the eddy current device may be utilized to detect a thickness of a deposited layer, either after the layer is deposited or while the layer is being deposited. The eddy current device may also be used to determine one or more endpoints of the deposition process.


[0388] In another method, monitoring eddy current characteristics and surface photovoltage may be used in combination to determine a junction leakage in a specimen. Generally, a specimen such as a semiconductor substrate may include a first type junction and a second type junction. Junction leakage may be monitored by applying varying light to the semiconductor substrate, measuring a surface photovoltage created on the surface of the semiconductor substrate, and measuring the eddy current characteristic for the semiconductor substrate in response to the light. A junction leakage characteristic of at least one of the junction types may be determined from the combination of surface photovoltage and the eddy current characteristics. The use of eddy current monitoring to measure junction leakage is described in further detail in U.S. Pat. No. 6,072,320 to Verkuil, which is incorporated herein by reference.


[0389] Eddy current measurement devices may be included in any of the systems, as described herein. For example, a system may include an eddy current measurement device coupled to a measurement device configured as a spectroscopic ellipsometer. In this manner, a processor of the system may be configured to determine at least two characteristics of a specimen, which may include a thickness of a layer on a specimen and a critical dimension of a feature on the specimen. The layer may include a barrier layer, and the feature may include a “seat.”


[0390] A system including an eddy current measurement device and a spectroscopic ellipsometer may be coupled to a process tool such as an atomic layer deposition (“ALD”) tool. ALD may be used to form a barrier layer and/or a seat. ALD may typically be a technique for depositing thin films that may involve separating individual reactants and taking advantage of the phenomenon of surface adsorption. For example, when a specimen is exposed to a gas, the specimen may be coated with a layer of the gas. Upon removing the gas, for example, by pumping the gas out of the process chamber with a vacuum pump, under certain circumstances a monolayer of the gas may remain on a surface of the specimen. At relatively moderate temperatures (i.e., room temperature), the monolayer may held relatively weakly on the surface of the specimen by physical adsorption forces. At higher temperatures, a surface chemical reaction may occur, and the gas may be held relatively strongly on the surface of the specimen by chemisorption forces. A second reactant may be introduced to the process chamber such that the second reactant may react with the adsorbed monolayer to form a layer of solid film. In this manner, relatively thin solid films such as barrier layers may be grown one monolayer at a time. In addition, such thin solid films may be amorphous, polycrystalline, or epitaxial depending on, for example, the specific process.


[0391]
FIG. 23 illustrates an embodiment of a system configured to evaluate a deposition process. In an embodiment, a system may include measurement device 238 coupled to deposition tool 240. Measurement device 238 may be coupled to deposition tool 240 such that the measurement device may be external to a process chamber of the deposition tool. As such, exposure of the measurement device to chemical and physical conditions within the process chamber may be reduced, and even eliminated. Furthermore, the measurement device may be externally coupled to the process chamber such that the measurement device may not alter operation, performance, or control of the deposition process. For example, a process chamber may include relatively small sections of a substantially optically transparent material 242 disposed within walls of the process chamber. The configuration of a deposition tool, however, may determine an appropriate method to couple the measurement device to the deposition tool. For example, placement and dimensions of substantially optically transparent material sections 242 disposed within the walls of the process chamber may vary depending on, for example, the arrangement of the components within the process chamber. In addition, measurement device 238 may be coupled external to the process chamber such that the measurement device may direct energy to a surface of the specimen and may detect energy returned from a surface of the specimen as a specimen is being placed within and/or being removed from the process chamber. A surface of the specimen may include a front side of the specimen or a back side of the specimen.


[0392] The deposition tool may be a chemical vapor deposition tool or a physical vapor deposition tool configured to deposit dielectric materials or conductive materials. Examples of deposition tools are illustrated in U.S. Pat. No. 4,232,063 to Rosler et al., U.S. Pat. No. 5,695,568 to Sinha et al., U.S. Pat. No. 5,882,165 to Maydan et al., U.S. Pat. No. 5,935,338 to Lei et al., U.S. Pat. No. 5,963,783 to Lowell et al., U.S. Pat. No. 6,103,014 to Lei et al., U.S. Pat. No. 6,112,697 to Sharan et al., and U.S. Pat. No. 6,114,216 to Yieh et al., and PCT Application Nos. WO 99/39183 to Gupta et al., WO 00/07226 to Redinbo et al., and are incorporated by reference as if fully set forth herein.


[0393] In an alternative embodiment, measurement device 238 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to deposition tool 240, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of deposition tool 240. For example, the deposition tool may include a cluster of process chambers that may each be configured to perform substantially similar processes or different processes. In addition, the measurement chamber may disposed laterally or vertically proximate a load chamber of deposition tool 240. A load chamber of a deposition tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the deposition tool. A robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a deposition tool such as anywhere proximate the deposition tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a process chamber and the system.


[0394] In this manner, a robotic wafer handler of deposition tool 240, stage 264, or another suitable mechanical device may be configured to move specimen 246 to and from the measurement chamber and process chambers of the deposition tool. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 246 between process chambers of the deposition tool and the measurement chamber. Measurement device 238 may be further coupled to deposition tool 240 as further described with respect to FIG. 17.


[0395] Measurement device 238 may include first illumination system 244 configured to direct light having a known polarization state to specimen 246 such that a region of the specimen may be illuminated prior to, during, or subsequent to a deposition process. A portion 249 of the light directed to specimen 246 by first illumination system 244 may propagate from the illuminated region of the specimen. In addition, the measurement device may include detection system 248 configured to analyze a polarization state of light 249 propagating from the surface of specimen 246 prior to, during, or subsequent to a deposition process. In this manner, the measurement device may be configured to operate as a spectroscopic ellipsometer.


[0396] In addition, measurement device 238 may include second illumination system 250 configured to direct light having a known polarization state to specimen 246 such that a region of the specimen may be illuminated during a deposition process. A portion 251 of the light directed to specimen 246 by second illumination system 250 may propagate from the illuminated region of the specimen along a path of the directed light. In addition, the measurement device may include detection system 252 configured to measure an intensity of the light propagating from the surface of specimen 246 prior to, during, or subsequent to a deposition process. In this manner, the measurement device may also be configured to operate as a spectroscopic reflectometer. The measurement device, however, may also be configured to operate as a beam profile ellipsometer and a null ellipsometer.


[0397] The relatively small sections of substantially optically transparent material 242 may be configured to transmit light from light source 254 of first illumination system 244 outside the process chamber to a surface of specimen 246 within the process chamber and to transmit light propagating from the surface of the specimen to detector 256 outside the process chamber. In addition, relatively small sections of substantially optically transparent material 242 may be configured to transmit light from light source 258 of second illumination system 250 outside the process chamber to a surface of specimen 246 within the process chamber and to transmit light propagating from the surface of the specimen to detectors 260 and 262 outside the process chamber. The substantially optically transparent material may have optical or material properties such that the light from light sources 254 and 258 and the light propagating from a surface of specimen 246 may pass through relatively small sections 242 disposed within process chamber without undesirably altering the optical properties of the directed and returned light. In addition, the substantially optically transparent material may be configured to focus light from light sources 254 and 258 onto the surface of semiconductor 246. In this manner, measurement device 238 may be coupled to stage 264 disposed within the process chamber. Stage 264 may be configured as described herein.


[0398] Spectroscopic ellipsometry may include focusing an incidence beam of polarized light on a specimen and monitoring a change in polarization for at least a portion of the incidence beam reflected from the specimen across a broad spectrum of wavelengths. Examples of spectroscopic ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,412,473 to Rosencwaig et al., U.S. Pat. No. 5,581,350 to Chen et al., U.S. Pat. No. 5,596,406 to Rosencwaig et al., U.S. Pat. No. 5,596,411 to Fanton et al., U.S. Pat. No. 5,771,094 to Carter et al., U.S. Pat. No. 5,798,837 to Aspnes et al., U.S. Pat. No. 5,877,859 to Aspnes et al., U.S. Pat. No. 5,889,593 to Bareket et al., U.S. Pat. No. 5,900,939 to Aspnes et al., U.S. Pat. No. 5,910,842 to Piwonka-Corle et al., U.S. Pat. No. 5,917,594 to Norton, U.S. Pat. No. 5,973,787 to Aspnes et al., and U.S. Pat. No. 6,256,097 to Wagner and are incorporated by reference as if fully set forth herein. Additional examples of spectroscopic devices are illustrated in PCT Application No. WO 99/02970 to Rosencwaig et al. and is incorporated by reference as if fully set forth herein.


[0399] Light source 254 may include any of the light sources as described herein, which may be configured to emit broadband light. Illumination system 244 may include optical component 266 positioned along a path of the emitted light. Optical component 266 may be configured to alter a polarization state of the emitted light such that light having a known polarization state such as linearly or circularly polarized light may be directed to a surface of specimen 246. In addition, illumination system 244 may also include an additional optical component (not shown) configured to focus and direct light emitted from light source 254 to the surface of specimen 246. Detection system 248 may also include optical component 268 positioned along a path of the light propagating from the surface of the specimen. Optical component 268 may be configured to function as an analyzer of a spectroscopic ellipsometer. Detection system 248 may also include a dispersion element such as a spectrometer (not shown). The dispersion element may be configured to separate light propagating from the surface of the specimen having different wavelengths. The separated components of the beam may be detected by individual elements of detector 256, which may be configured to function as a detector array. The polarizer may be configured to rotate such that a time varying intensity may be detected by the elements of the detector array. Processor 270 may be configured to receive one or more output signals from detector 256 and may be configured to process the data.


[0400] Output signals from detector 256 may be responsive to an intensity of light at elements of the detector array. Processor 270 may be configured to convert the output signals to ellipsometric parameters, ψ and δ, by mathematical equations known in the art as described above. Processor 270 may be configured to convert the ellipsometric parameters, ψ and δ, to a property of a layer being formed upon a surface of specimen 246 using a mathematical, or optical, model as described herein. For example, processor 270 may be configured to determine a thickness, an index of refraction, and an extinction coefficient of a layer, a portion of a layer, or several layers on specimen 246 from the ellipsometric parameters by using an optical model. A thickness, an index of refraction, and an extinction coefficient may be commonly referred to as “thin film” characteristics of a layer.


[0401] Alternatively, processor 270 may be configured to determine a critical dimension of a feature on specimen 246 from one or more output signals from measurement device 238. For example, a critical dimension of a feature may include, but is not limited to, a lateral dimension such as a width, a vertical dimension such as a height, and a sidewall profile as described herein. In addition, processor 270 may be further configured to determine a thickness, an index or refraction, and/or an extinction coefficient of a layer of the specimen, and a critical dimension of a feature on the specimen from one or more output signals from measurement device 238. For example, processor 270 may be configured to compare one or more output signals from the measurement device with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics such as width, height, and sidewall profile. Expected output signals versus wavelength for different characteristics of a predetermined table may be determined, for example, experimentally with specimens of known characteristics and/or theoretically through mathematical modeling.


[0402] In addition, processor 270 may be configured to compare one or more output signals from measurement device 238 with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics and interpolated data between the expected output signals versus wavelength. Alternatively, processor 270 may be configured to perform an iteration using one or more starting guesses through (possibly approximate) equations to converge to a good fit for one or more output signals from the measurement device. Suitable equations may include, but are not limited to, any non-linear regression algorithm known in the art. In an additional embodiment, the system may further include a calibration ellipsometer (not shown). The calibration ellipsometer may be configured to determine a thickness of a reference layer on a specimen. The thickness of the reference layer may then be measured using the spectroscopic ellipsometer of the measurement device as described herein. A phase offset of the thickness measurements of the reference layer generated by the calibration ellipsometer and the measurement device may be determined by processor 270. The processor may be configured to use the phase offset to determine additional layer thicknesses from measurements made by the measurement device. The calibration ellipsometer may also be coupled to the process chamber of the deposition tool. As such, the calibration ellipsometer may be used to reduce, and even eliminate, variations in measured ellipsometer parameters. For example, measurements of the ellipsometric parameter, δ, may vary due to changing environmental conditions along one or more optical paths of the measurement device. Such a variation in the ellipsometric parameter, δ, may alter thickness measurements of a layer on a specimen. Therefore, a calibration ellipsometer may be used to reduce, and even eliminate, a drift in thickness measurements of a layer on a specimen.


[0403] Spectroscopic reflectometry may include focusing a broadband radiation beam on a specimen and measuring a reflectance spectrum and index of refraction of the specimen from which a thickness of a layer may be determined. Example of spectroscopic reflectometers are illustrated in U.S. Pat. No. 4,999,014 to Gold et al., and 5,747,813 to Norton et al. and are incorporated by reference as if fully set forth herein. Second illumination system 250 may include light source 258 such as xenon arc lamp. Light source 258 may also include any light source configured to emit broadband light, which may include visible and ultraviolet light. Second illumination system 250 may also be coupled to beam splitter 259. Beam splitter 259 may be configured to direct light emitted by light source 258 to a surface of specimen 246 such that a substantially continuous broadband spectrum of light may be directed to the surface of specimen 246.


[0404] The sample beam may be focused onto a region of specimen 246, and at least a portion of the sample beam reflected from the illuminated region may be passed through a spectrometer (not shown) of detection system 252. In addition, detection system 252 may include a diffraction grating (not shown) configured to disperse light passing therethrough as it enters the spectrometer. In this manner, a resulting first order diffraction beam may be collected by detector 260 or detector 262, which may include a linear photodiode array. The photodiode array, therefore, may measure a sample reflectance spectrum. A relative reflectance may be obtained by dividing the sample light intensity at each wavelength by a relative reference intensity at each wavelength. A relative reflectance spectrum may be used to determine the thickness of one or more layers on the specimen. In addition, reflectance at a single wavelength and a refractive index of one or more layers may also be determined from the relative reflectance spectrum.


[0405] Furthermore, a model method by modal expansion (“MMME”) model may be used to generate a library of various reflectance spectrums. As described herein, the MMME model is a rigorous diffraction model that may be used to determine the theoretical diffracted light “fingerprint” from each grating in the parameter space. Alternative models may also be used to calculate the theoretical diffracted light such as a rigorous coupling waveguide analysis (“RCWA”) model. The measured reflectance spectrum may be fitted to the library of various reflectance spectrums.


[0406] The polarization state and the intensity of light propagating from a surface of specimen 246 may be altered during formation of a layer on specimen 246. For example, during a deposition process, such as chemical vapor deposition (“CVD”) and low pressure chemical vapor deposition (“LPCVD”) processes, a layer may be formed on specimen 246 by introducing reactant gases such as silane, chlorosilane, nitrogen and/or ammonia in the process chamber. The reactant gases may decompose and react at a heated surface of a specimen to form a deposited layer of material. In this manner, a thickness of the layer being formed on a surface of specimen 246 may increase during the deposition process.


[0407] As the thickness of the layer increases during the deposition process, the reflectivity of the surface of the layer may vary approximately sinusoidally with variations in the thickness of the layer. Therefore, the intensity of the returned light may vary depending on a thickness of the deposited layer. In addition, the intensity of the returned light may be approximately equal to the square of the field magnitude according to the equation: Ir=|ER|2. Ir can also be expressed in terms of the ellipsometric parameters, ψ and δ. For very thin layers, tan ψ may be independent of thickness, and δ is linearly proportional to the thickness of the layer. In this manner, one or more output signals responsive to the intensity of the light returned from the specimen generated by the measurement device may be used to determine a thickness of the layer.


[0408] In addition, thickness variations of a layer on a specimen may vary depending on, for example, parameters of an instrument coupled to the deposition tool. Parameters of an instrument coupled to the deposition tool may determine the process conditions of a deposition process. For example, a deposition rate may be defined as a thickness of a layer formed on a surface of a specimen in a period of time. The deposition rate, therefore, may affect variations in the thickness of a layer on a specimen during a deposition process. A deposition rate may be substantially constant throughout a deposition process. Alternatively, a deposition rate may vary throughout a deposition process. The deposition rate may vary depending on a number of parameters of one or more instruments coupled to the deposition tool that may include, but are not limited to, temperature within the process chamber, temperature gradients in the process chamber, pressure within the process chamber, total flow rates of the reactant gases, reactant gas ratios, and a flow rate of one or more dopant gases. In this manner, intensity variations of light propagating from a surface of the specimen may vary depending upon parameters of an instrument coupled to the deposition tool. Therefore, a processor coupled to a measurement device may be configured to determine a parameter of an instrument coupled to a deposition tool from the measured intensity variations of the light propagating from a surface of the specimen during a deposition process.


[0409] In an embodiment, a processor coupled to a measurement device, as shown in FIG. 23, may be configured to determine a property of a layer formed on a specimen from detected light. The measurement device may be configured as described in above embodiments. The property of the formed layer may include, but is not limited to, a thickness, an index of refraction, an extinction coefficient, a critical dimension, or any combination thereof. Subsequent to a deposition process, the specimen may be polished such that an upper surface of the deposited material may be substantially planar. Subsequent to polishing, a layer of resist may be formed on the deposited layer and the layer of resist may be exposed to pattern the resist during a lithography process. In this manner, selected regions of the deposited layer may exposed, and at least a portion of the selected regions may be removed in an etch process. A conductive material such as aluminum or copper may be deposited in the etched portions of the deposited layer and on an upper surface of the deposited layer, for example, by a physical vapor deposition process. The specimen may be polished such that an upper surface of the specimen may be substantially planar. In this manner, a number of semiconductor features such as interlevel contact structures may be formed on the specimen.


[0410] The properties of the semiconductor features formed on the specimen may vary depending upon, for example, properties of the deposited layer and the conductive material and process conditions of the deposition, polishing, lithography, etch, and physical vapor deposition processes. As such, properties of semiconductor features on a specimen may be determined using the determined properties of the deposited layer. In addition, a processor coupled to the measurement device may also be configured to determine a presence of defects such as foreign material on the deposited layer prior to, during, or subsequent to the deposition process from the detected light.


[0411] In an additional embodiment, processor 270, as shown in FIG. 23, may be coupled to measurement device 238 and deposition tool 240. The processor may be configured to interface with the measurement device and the deposition tool. For example, the processor may receive one or more signals from the deposition tool during a deposition process. The signals may be representative of a parameter of one or more instruments coupled to the deposition tool. The processor may also be configured to receive one or more signals from the measurement device. Signals from the measurement device may be representative of the detected light from detector 256, 260, and 262 as described herein. In an additional embodiment, measurement device 238 may be configured, as described herein, to measure variations in the intensity of light propagating from the specimen during a deposition process. For example, measurement device 238 may be configured to measure the intensity of light propagating from the specimen substantially continuously or at predetermined time intervals during a deposition process. The processor may, therefore, be configured to monitor variations in output signals from the measurement device during a deposition process. In this manner, the processor may be configured to determine a relationship between the monitored variations and/or the output signals from the measurement device and output signals from the deposition tool responsive to a parameter of one or more instruments coupled to the deposition tool. As such, the processor may be configured to alter a parameter of one or more instruments coupled to the deposition tool using the determined relationship. In addition, the processor may be configured to determine a parameter of one or more instruments using the determined relationship and one or more output signals from the measurement device.


[0412] Additionally, the processor may be further configured to control the measurement device and the deposition tool. For example, the processor may be configured to alter a parameter of an instrument coupled to the deposition tool in response to the detected light. In this manner, the processor may be configured to alter a parameter of an instrument coupled to the deposition tool using an in situ control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to the detected light. For example, the processing device may be configured to alter a sampling frequency of the measurement device in response to the detected light.


[0413] By analyzing variations in output signals from the measurement device during a deposition process, processor 270 may also generate a signature, which may be representative of the formation of a layer on specimen 246. The signature may include at least one singularity that may be characteristic of an endpoint of the deposition process. For example, an appropriate endpoint for a deposition process may be a predetermined thickness of a layer on the specimen. A predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, the semiconductor device fabricated by the deposition process. After the processor has detected the singularity of the signature, the processor may be configured to reduce, and even terminate, deposition of the layer on the specimen by altering a parameter of an instrument coupled to the deposition tool.


[0414] In an embodiment, processor 270 may be configured to use one or more output signals from measurement device 238 to determine a parameter of one or more instruments coupled to deposition tool 240 for deposition of layers on additional specimens. For example, a thickness of a layer on a specimen may be determined using one or more output signals from measurement device 238. The thickness of the layer on the specimen may be greater than a predetermined thickness. Therefore, before processing additional specimens, a flow rate of a reactant gas or another parameter of one or more instruments coupled to the deposition tool may be altered. In this manner, a thickness of layers formed on the additional specimens may be closer to the predetermined thickness than the measured layer. For example, the flow rate of the reactant gas used in the deposition process may be decreased to deposit a thinner the layer on the additional specimens. In this manner, the processor may be used to alter a parameter of one or more instruments coupled to a deposition tool in response to one or more output signals of the measurement device using a feedback control technique.


[0415] In an additional embodiment, processor 270 may be configured to determine a parameter of one or more instruments coupled to a process tool, configured to perform additional semiconductor fabrication processes, using one or more output signals from measurement device 238. The additional semiconductor fabrication processes may be performed subsequent to a deposition process. Additional semiconductor fabrication processes performed subsequent to a deposition process may include, but are not limited to, a chemical-mechanical polishing process configured to planarize a deposited layer on the specimen. For example, a thickness of a layer deposited on a specimen during a deposition process may be determined using one or more output signals from the measurement device. The determined thickness of the deposited layer may be greater than a predetermined thickness for the layer.


[0416] Process conditions of a subsequent polishing process, however, may be optimized for the predetermined thickness of the deposited layer on the specimen. Therefore, before polishing the deposited layer, a parameter of one or more instruments coupled to a polishing tool such as process time or pressure applied to a back side of the specimen may be altered such that an upper surface of the deposited layer may be planarized. For example, a process time may be increased to ensure substantially complete planarization of the deposited layer. In this manner, the processor may be configured to alter a parameter of an instrument coupled to a chemical mechanical polishing tool in response to one or more output signals from the measurement device using a feedforward control technique. In addition, the processor and the measurement device may be further configured according to any of the embodiments described herein. For example, a processor coupled to the measurement device may also be configured to detect defects on the specimen, a thickness of a deposited material, a sheet resistivity of a deposited material, a thermal diffusivity of a deposited material, or any combination thereof during the deposition process using one or more output signals from the measurement device.


[0417] In an embodiment, a method for determining a characteristic of a specimen during a deposition process may include disposing the specimen upon a stage. The stage may be disposed within a process chamber of a deposition tool, as shown in FIG. 23. The stage may also be configured to support the specimen during a deposition process. The measurement device may be coupled to the deposition tool, as shown in FIG. 23. As such, the stage may be coupled to a measurement device. In addition, the measurement device may be configured as described in above embodiments. The method may include directing light to a surface of the specimen. The directed light may have a known polarization state. The directed light may strike the surface of the specimen. A layer may be formed on the surface of the specimen during the deposition process.


[0418] In addition, the method may include detecting light propagating from the surface of the specimen during the deposition process. The method may also include generating one or more output signals responsive to an intensity and/or a polarization state of the detected light. The intensity and/or polarization state of the detected light may vary depending on, for example, one or more characteristics of a layer formed on the specimen. Therefore, such one or more output signals may be used to determine one or more characteristics of the formed layer. In this manner, the method may include determining one or more characteristics of a layer being formed on a specimen. Furthermore, the method may include determining one or more characteristics of more than one layer being formed on the specimen. The one or more characteristics may include, but are not limited to, a thickness, an index of refraction, an extinction coefficient of one or more layers on the specimen, a critical dimension of a feature on the specimen, a presence of defects on the specimen, or any combination thereof.


[0419] In additional embodiments, the method for determining a characteristic of a layer on a specimen during a deposition process may include steps of any methods as described herein. For example, the method may include altering a parameter of an instrument coupled to the deposition tool in response to one or more output signals responsive to an intensity and/or a polarization state of the detected light. In this manner, the method may include altering a parameter of an instrument coupled to the deposition tool using a feedback control technique, an in situ control technique, or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characterizing deposition of a layer on the specimen. The signature may include at least one singularity representative of an endpoint of the deposition process. For example, an appropriate endpoint for an deposition process may be a predetermined thickness of a layer formed on the specimen. In addition, the predetermined thickness may be larger or smaller depending upon, for example, the semiconductor device feature fabricated by the deposition process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of an instrument coupled to the deposition tool to reduce, and even terminate, the deposition process.


[0420] In an embodiment, a computer-implemented method may be used to control a system configured to determine a characteristic of a layer during a deposition process. The system may include a measurement device coupled to an deposition tool, as described herein. The method may include controlling the measurement device. Controlling the measurement device may include controlling a light source to direct light to a surface of the specimen such that the directed light may strike the surface of the specimen. The directed light may have a known polarization state. In addition, controlling the measurement device may include controlling a detector to detect light propagating from the surface of the specimen during the deposition process. Furthermore, the method may include processing the detected light to determine an intensity or a polarization state of the detected light. For example, the method may include processing the detected light may include generating one or more output signals responsive to the detected light. The method may further include determining one or more characteristics of a layer being formed on the specimen using the one or more output signals. The one or more characteristics may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, a presence of defects on the specimen, or any combination thereof.


[0421] In additional embodiments, the computer-implemented method for controlling a system to determine a characteristic of a layer being formed on a specimen during a deposition process may include steps of any of the methods as described herein. For example, the method may include controlling an instrument coupled to the deposition tool to alter a parameter of the instrument in response to the one or more output signals. Controlling an instrument coupled to the deposition tool may include using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter a parameter of the instrument in response to the one or more output signals. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals.


[0422] In an additional example, the computer-implemented method may include controlling the measurement device to obtain a signature characterizing deposition of a layer on the specimen. The signature may include at least one singularity representative of an endpoint of the deposition process. For example, an appropriate endpoint for a deposition process may be a predetermined thickness of a layer deposited on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of an instrument coupled to the deposition tool to alter the parameter of the instrument to reduce, and even terminate, deposition of the layer on the specimen.


[0423] An additional embodiment relates to a method for fabricating a semiconductor device. The method may include disposing a specimen such as a wafer upon a stage. The stage may be disposed within a process chamber of a deposition tool. The stage may be configured to support the specimen during a deposition process. A measurement device may also be coupled to the process chamber of the deposition tool. In this manner, the stage may be coupled to the measurement device. The method may further include forming a portion of a semiconductor device upon the specimen. For example, forming a portion of a semiconductor device may include depositing a layer of material on the specimen. Depositing the layer on the specimen may include forming a layer of a dielectric material over a specimen having a plurality of dies. The plurality of dies may include repeatable pattern features. For example, the deposited layer may be used to electrically isolate proximate or adjacent features of a semiconductor device that may be formed on the specimen.


[0424] The method for fabricating a semiconductor device may also include directing light toward a surface of the specimen. The directed light may have a known polarization state. The method may also include detecting light propagating from the surface of the specimen during the deposition process. In addition, the method may include determining an intensity and/or a polarization state of the detected light. The intensity and/or the polarization state of the detected light may vary depending upon, for example, one or more characteristics of a layer formed on the specimen. The method may also include generating one or more output signals responsive to an intensity and/or a polarization state of the detected light. In this manner, the method may include determining a characteristic of a layer deposited on the specimen using the one or more output signals. The characteristic may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof.


[0425] In additional embodiments, the method for fabricating a semiconductor device may include steps of any of the methods as described herein. For example, the method may include altering a parameter of an instrument coupled to the deposition tool in response to the one or more output signals. Altering a parameter of an instrument coupled to the deposition tool may include using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characterizing deposition of a layer on the specimen. The signature may include at least one singularity representative of an endpoint of the deposition process. For example, an appropriate endpoint for a deposition process may be a predetermined thickness of a layer deposited on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of an instrument coupled to the deposition tool to reduce, and even terminate, the deposition process.


[0426]
FIG. 24 illustrates an embodiment of a system configured to evaluate an etch process. In an embodiment, a system configured to evaluate an etch process may include measurement device 272 coupled to process chamber 274 of an etch tool. Measurement device 272 may be coupled to process chamber 274 such that the measurement device may be external to the process chamber. As such, exposure of the measurement device to chemical and physical conditions within the process chamber may be reduced, and even eliminated. Furthermore, the measurement device may be externally coupled to the process chamber such that the measurement device may not alter the operation, performance, or control of the etch process. For example, a process chamber may include one or more relatively small sections of a substantially optically transparent material 276 disposed within walls of process chamber 274. The configuration of process chamber 274, however, may determine an appropriate method to couple measurement device 272 to the process chamber. For example, the placement and dimensions of substantially optically transparent material sections 276 within walls of the process chamber may vary depending on, for example, the configuration of the components within the process chamber.


[0427] In an alternative embodiment, measurement device 272 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to process chamber 274 of an etch tool, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of an etch tool. In this manner, a robotic wafer handler of an etch tool, stage 280, or another suitable mechanical device may be configured to move specimen 278 to and from the measurement chamber and process chambers of the etch tool. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 278 between process chambers of the etch tool and the measurement chamber. Measurement device 272 may be further coupled to process chamber 272 as further described with respect to FIG. 17.


[0428] Examples of etch tools are illustrated in U.S. Pat. No. 4,842,683 to Cheng et al., U.S. Pat. No. 5,215,619 to Cheng et al., U.S. Pat. No. 5,614,060 to Hanawa, U.S. Pat. No. 5,770,099 to Rice et al., U.S. Pat. No. 5,882,165 to Maydan et al., U.S. Pat. No. 5,849,136 to Mintz et al., U.S. Pat. No. 5,910,011 to Cruse, U.S. Pat. No. 5,926,690 to Toprac et al., U.S. Pat. No. 5,976,310 to Levy, U.S. Pat. No. 6,072,147 to Koshiishi et al., U.S. Pat. No. 6,074,518 to Imafuku et al., U.S. Pat. No. 6,083,363 to Ashtiani et al., and U.S. Pat. No. 6,089,181 to Suemasa et al., U.S. Pat. No. 6,110,287 to Arai et al., and are incorporated by reference as if fully set forth herein. An additional example of a measurement device coupled to an etch tool is illustrated in PCT Application No. WO 99/54926 to Grimbergen et al., and is incorporated by reference as if fully set forth herein. In WO 99/54926, a measurement device coupled to an etch tool is described as a “reflectance thickness measuring machine,” which is substantially different than a measurement device as described herein. An example of an apparatus for estimating voltage on a wafer located in a process chamber is illustrated in European Patent Application No. EP 1 072 894 A2 to Loewenhardt et al., and is incorporated by reference as if fully set forth herein.


[0429] Measurement device 272 may be configured to direct an incident beam of light having a known polarization state to specimen 278 such that a region of the specimen may be illuminated prior to, during, or subsequent to an etch process. In addition, the measurement device may be configured to analyze a polarization state of the light returned from the illuminated region of the specimen prior to, during, or subsequent to an etch process. For example, the measurement device may include a beam profile ellipsometer. Additionally, however, measurement device 272 may include a spectroscopic beam profile ellipsometer, a null ellipsometer, and/or a spectroscopic ellipsometer. Furthermore, measurement device 272 may be configured as a scatterometer as described herein.


[0430] The relatively small sections of transparent material 276 may transmit an incident beam of light from a light source outside the process chamber to a specimen within the process chamber and a returned light beam from specimen 278 to a detector outside the process chamber. The optically transparent material may have optical or material properties such that the incident beam of light and the returned light beam may pass through the relatively small sections of transparent material without substantially undesirably altering the optical properties of the incident and returned light beams. In this manner, measurement device 272 may be coupled to stage 280 disposed within the process chamber and configured to support the specimen 278.


[0431] Measurement device 272 may include light source 282 configured to generate an incident beam of light. Light source 282 may include, for example, a laser configured to emit light having a known polarization state such as a gas laser or a solid state laser diode. Such lasers typically may emit light having a single wavelength of 633 nm and 670 nm, respectively. Measurement device 272 may also include polarization section 284 which may include, but is not limited to, a linear or circular polarizer or a birefringent quarter wave plate compensator. The polarization section may be configured to convert linear polarized light into circularly polarized light. In this manner, an incident beam of light having a known polarization state may be directed toward the specimen. In addition, measurement device 272 may include beam splitter 286 configured to direct at least a portion of the incident beam of light to an upper surface of specimen 278. Beam splitter 286 may also be configured to direct the incident beam through high numerical aperture (“NA”) lens 288. In this manner, measurement device 272 may be configured to direct the incident beam of light to specimen 278 at a number of angles of incidence. For example, high NA lens 288 may have a numerical aperture of approximately 0.9. The numerical aperture of the lens may be larger or smaller, however, depending on, for example, the number of angles of incidence required. In addition, high NA lens 288 may be configured to focus the incident beam to a very small spot size on the upper surface of specimen 278. In this manner, the incident beam may be directed at a number of angles of incidence to a single feature or region on the specimen. Beam splitter 286 may also be configured to transmit a portion of the incident beam light such that the transmitted portion of the incident beam of light may be configured to strike detector 283. Detector 283 may be configured to monitor fluctuations in the output power of light source 282.


[0432] Light returned from the surface of specimen 278 may pass back through high NA lens 288 and beam splitter 286 to polarizer 290. Polarizer 290 may include, for example, a rotating polarizing filter. The measurement device may also include detector 292 configured to measure an intensity of the returned light at a number of angles of incidence. For example, detector 292 may include a diode array that may be radially positioned in a two-dimensional array such that the intensity of returned light may be measured at a number of angles of incidence.


[0433] In an alternative embodiment, light returned from the specimen may pass through quarter-wave plate 294. The quarter-wave plate may be configured to retard the phase of one of the polarization states of the returned light by about 90 degrees. In such a measurement device, polarizer 290 may be configured to cause the two polarization states to interfere. Detector 292 for such a measurement device may include a quad-cell detector having four quadrants. Each quadrant of the detector may be configured to generate one or more output signals approximately proportional to the magnitude of the power of the returned light striking the quadrant of the detector. Each signal may represent an integration of the intensities of the returned light at different angles of incidence. Such a quad-cell detector may also be configured to operate as a full power detector if the one or more output signals from all of the quadrants is summed.


[0434] In each of the embodiments described above, processor 296 may be configured to determine a thickness, an index of refraction, an extinction coefficient of the specimen and/or a critical dimension of a feature on the specimen from one or more output signals of detector 292. For example, processor 296 may determine a thickness of a layer or a feature on specimen 278 or a thickness of a feature such as an isolation structure formed in specimen 278 from one or more output signals of detector 292.


[0435] In an additional alternative embodiment, light source 282 may be configured to generate broadband light having a known polarization state. An appropriate light source may include a polychromatic light source such as a tungsten halogen lamp. For such a configuration of the measurement device, light returned from the specimen may be passed through a filter (not shown). The filter may be configured to pass light through two quadrants of the filter and to block light through two other quadrants of the filter. As such, light passed through the filter may have an ellipsometric signal, 6, of only one sign, for example, positive. After passing through the filter, the returned light may pass through a spatial filter (not shown) having a small aperture. The spatial filter may be configured to limit the wavelength of light that may be directed to detector 292. As such, the width of the aperture of the spatial filter may be larger or smaller depending on, for example, the desired wavelength resolution.


[0436] The measurement device may also include a grating (not shown) configured to focus the returned light such that light from all angles of incidence may be combined and to angularly disperse the returned light as a function of wavelength. The grating may include a curved grating and a curved mirror, a lens and a separate planar grating, or a prism. Detector 292 may include an array of a plurality of individual detector elements. In this manner, the detector may be configured to measure an intensity of returned light over a narrow wavelength regime and a number of angle of incidences. As such, the spatial filter, the grating, and the detector may have a configuration substantially similar to a conventional spectrophotometer.


[0437] The measurement device may be further configured to perform a second measurement of light returned from the surface of the specimen. In this measurement, light passed through the filter may have an ellipsometric signal, δ, opposite to the sign of the light passed through the filter for the first measurement (i.e., negative). In the additional embodiments described above, processor 296 may also be configured to determine a thickness, an index of refraction, an extinction coefficient of the specimen, and/or a critical dimension of a feature on the specimen from one or more output signals of the detector. For example, the processor may be configured to determine a thickness of a layer on specimen 278 or a feature such as an isolation structure formed in specimen 278 from the one or more output signals of the detector. Examples of beam profile ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,181,080 to Fanton et al., U.S. Pat. No. 5,596,411 to Fanton et al., U.S. Pat. No. 5,798,837 to Aspnes et al., and U.S. Pat. No. 5,900,939 to Aspnes et al., and are incorporated by reference as if fully set forth herein.


[0438] In an additional embodiment, the system may further include a calibration ellipsometer (not shown). The calibration ellipsometer may be configured to determine a thickness of a reference layer on a specimen. The thickness of the reference layer may be measured using the measurement device as described herein. A phase offset of the thickness measurements of the reference layer generated by the calibration ellipsometer and the measurement device may be determined by processor 296. The processor may be configured to use the phase offset to determine additional layer thicknesses from measurements made by the measurement device. The calibration ellipsometer may also be coupled to process chamber 274 of the etch tool. As such, the calibration ellipsometer may be used to reduce, and even eliminate, variations in measured ellipsometer parameters. For example, measurements of the ellipsometric parameter, δ, may vary due to changing environmental conditions along one or more optical paths of the measurement device. Such a variation in the ellipsometric parameter, δ, may alter thickness measurements of a layer on a specimen. Therefore, a calibration ellipsometer may be used to reduce, and even eliminate, a drift in thickness measurements of a layer on a specimen.


[0439] The polarization state of light returned from a specimen may be altered during etching of the specimen. For example, during an etch process such as a reactive ion etch (“RIE”) or a plasma etch process, a selectively exposed layer on the specimen may be removed by chemical reactions involving chemical reactive species of plasma 298 and a surface of specimen 278 and ionic species of plasma 298 striking the surface of specimen 278. In this manner, a thickness of the selectively exposed layer may be removed during the etch process. As the thickness of the layer is reduced during the etch process, the reflectivity of the layer may vary approximately sinusoidally with variations in the thickness of the layer. Therefore, the intensity of the returned light may vary depending on a thickness of the selectively exposed layer. In addition, the intensity of the returned light may be approximately equal to the square of the field magnitude according to the equation: Ir=|ER|2. Ir can also be expressed in terms of the ellipsometric parameters, Ψ and δ. For very thin layers, tan Ψ may be independent of thickness, and δ may be approximately linearly proportional to the thickness of the layer. In this manner, output signals from the measurement device responsive to the intensity of the light returned from the specimen may be used to determine a thickness of the layer.


[0440] An etch rate may be defined as a thickness of a layer on a specimen that may be removed in a period of time. The etch rate, therefore, may determine the variations in the thickness of a layer on a specimen during an etch process. An etch rate may be substantially constant throughout an etch process. Alternatively, an etch rate may vary throughout an etch process. For example, an etch rate may decrease exponentially throughout an etch process. The etch rate may be determined by a number of parameters of one or more instruments coupled to the etch tool. For example, one parameter may include a flow rate of etchant gases from gas source 300 to process chamber 274 of the etch tool. The flow rate may vary depending upon, for example, a parameter such as a position or a setting of an instrument such as valve 301. In addition, such parameters may also include radio frequency power values, which may be determined by instruments such as power supplies 302 and 304 coupled to process chamber 274. An additional parameter may include a pressure within the process chamber and may be determined by instrument 306, which may be configured as a pressure gauge.


[0441] Such parameters may affect thickness variations of a layer on a specimen during an etch process. For example, as pressure decreases in a process chamber, a thickness of a layer on a specimen may be removed at an increased rate during the etch process. In this manner, an intensity of a returned sample beam may vary depending upon a parameter of one or more instruments coupled to the process chamber of the etch tool. Therefore, processor 296 coupled to measurement device 272 may be configured to determine a parameter of an instrument coupled to process chamber 274 of the etch tool from the measured intensity of the returned sample beam during an etch process.


[0442] In an embodiment, processor 296 coupled to measurement device 272 may be configured to receive one or more output signals from detector 292. In addition, the processor may be configured to determine a property of an etched region of specimen 278 from the one or more output signals. Measurement device 272 may be configured as described herein. For example, measurement device 272 may be configured as a beam profile ellipsometer, a spectroscopic beam profile ellipsometer, a null ellipsometer, a spectroscopic ellipsometer and/or a scatterometer as described herein. Therefore, property of the etched region may include, but is not limited to, a thickness, an index of refraction, an extinction coefficient, a critical dimension of a feature on the specimen, or any combination thereof. Thickness, index of refraction, and/or extinction coefficient may be commonly referred to as “thin film” characteristics.


[0443] Subsequent to an etch process, a specimen may be stripped to remove residual masking material from the specimen. In addition, a material such as a conductive material may be deposited upon the specimen. The specimen may also be polished such that an upper surface of the specimen may be substantially planar. In this manner, a number of semiconductor features such as interlevel contact structures may be formed on the specimen. The properties of the semiconductor features formed on the specimen may vary depending on, for example, one or more properties of the etched region and process conditions of the stripping, deposition, and polishing processes. As such, properties of a semiconductor feature on specimen 278 may be determined using the determined properties of the etched region. In addition, processor 296 coupled to measurement device 272 may also be configured to determine a presence of defects such as foreign material on the specimen, prior to, during, or subsequent to the etch process from one or more output signals from detector 292.


[0444] In an additional embodiment, processor 296 may be coupled to measurement device 272 and process chamber 274 of an etch tool. Processor 296 may be configured to interface with measurement device 272 and process chamber 274. For example, processor 296 may receive one or more output signals from a device coupled to process chamber 274 during an etch process. Such one or more output signals may be responsive to a parameter of an instrument coupled to the process chamber such as pressure gauge 306. Processor 296 may also be configured to receive one or more output signals from detector 292 as described herein.


[0445] In an additional embodiment, the measurement device may be configured, as described above, to measure variations in the intensity of light returned from the specimen during an etch process. For example, the measurement device may be configured to measure the intensity of light returned from the specimen substantially continuously or at predetermined time intervals during an etch process. The processor may, therefore, receive output signals responsive of the intensity of light returned from the specimen from the measurement device and may monitor variations in the output signals during an etch process. In addition, processor 296 may be configured to determine a relationship between the output signals from measurement device 272 and a parameter of one or more instruments coupled to process chamber 274. As such, processor 296 may be configured to alter a parameter of one or more instruments coupled to process chamber 274 in response to the determined relationship. In addition, the processor may be configured to determine a parameter of the instrument using the relationship and one or more output signals from the measurement device.


[0446] Additionally, processor 296 may be further configured to control measurement device 272 and etch tool 274. For example, the processor may be configured to alter a parameter of an instrument coupled to the etch tool in response to one or more output signals from the measurement device. The processor may be configured to alter a parameter of an instrument coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to one or more output signals from the measurement device. For example, the processor may be configured to alter a sampling frequency of the measurement device in response to the output signals from the measurement device, as described herein.


[0447] By analyzing variations in output signals from the measurement device during an etch process, the processor may also generate a signature that may be responsive to the etch process. The signature may include at least one singularity that may be characteristic of an endpoint of the etch process. For example, an endpoint for an etch process may be a predetermined thickness of a layer on the specimen. A predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. In addition, an endpoint for an etch process may be approximately complete removal of a layer on a specimen. Such an endpoint may correspond to etching through substantially an entire thickness of a layer such that an underlying layer of material may be exposed for subsequent processing. After the processor has detected the singularity of the signature, the processor may reduce, and even terminate, etching of the specimen by altering a parameter of an instrument coupled to the etch tool. A method for detecting an endpoint of an etch process is illustrated in PCT Application Nos. WO 00/03421 to Sui et al. and WO 00/60657 to Grimbergen et al., and is incorporated by reference as if fully set forth herein.


[0448] In an embodiment, the processor may be configured to determine a parameter of one or more instruments coupled to the etch tool for subsequent etch processes of additional specimens using one or more output signals from the measurement device. For example, a thickness of a layer on the specimen may be determined using one or more output signals from the measurement device. The thickness of the layer on the specimen may be, for example, greater than a predetermined thickness. The predetermined thickness may vary depending on, for example, a feature of a semiconductor device, which may be fabricated during the etch process. Before processing additional specimens, a radio frequency power or another parameter of one or more instruments coupled to the etch tool may be altered. For example, the radio frequency power of the etch process may be increased to etch a greater thickness of a layer on additional specimens. In this manner, a thickness of a layer on additional specimens etched by the etch process may be closer to the predetermined thickness than the layer measured on the specimen. In this manner, the processor may be configured to alter a parameter of one or more instruments coupled to an etch tool in response to output signals from the measurement device using a feedback control technique.


[0449] In an additional embodiment, the processor may be configured to determine process conditions of additional semiconductor fabrication processes using one or more output signals from the measurement device. The additional semiconductor fabrication processes may be performed subsequent to an etch process. Additional semiconductor fabrication processes performed subsequent to the etch process may include, but are not limited to, a process to strip a masking material on the specimen. Typically, a masking material may be patterned on a specimen using a lithography process such that regions of the specimen may be exposed during subsequent processing. At least a portion of the exposed regions of the specimen may be removed during a subsequent etch process.


[0450] Masking material remaining on the specimen after the etch process may be removed by a stripping process. A thickness of a masking material on a specimen during or subsequent to an etch process may be determined using one or more output signals from the measurement device. The determined thickness of the masking material on the specimen subsequent to an etch process may be, for example, greater than a predetermined thickness. Current process conditions of a stripping process, however, may be optimized for the predetermined thickness of the masking material on the specimen. Therefore, before stripping the masking material, a process condition of the stripping process such as process time or process temperature may be altered such that substantially the entire masking material may be removed by the stripping process. For example, a process time of the stripping process may be increased such that approximately an entire thickness of the masking material may be removed from the specimen. In this manner, the processor may be configured to alter a parameter of an instrument coupled to a stripping tool in response to one or more output signals from the measurement device using a feedforward control technique. In addition, the processor may be further configured according to any of the embodiments described herein.


[0451] In an embodiment, a method for determining a characteristic of a specimen during an etch process may include disposing specimen 278 upon stage 280. Stage 280 may be disposed within process chamber 274 of an etch tool. The stage may be configured to support the specimen during an etch process. Measurement device 272 may be coupled to process chamber 274 of the etch tool as described herein. As such, stage 280 may be coupled to measurement device 272. In addition, measurement device 272 may be configured as described herein. The method may include directing an incident beam of light to a region of the specimen. The incident beam of light may have a known polarization state. The directed incident beam of light may illuminate the region of the specimen at multiple angles of incidence during the etch process. The illuminated region of the specimen may be an exposed region of the specimen being removed during the etch process.


[0452] In addition, the method may include detecting light returned from the illuminated region of the specimen during the etch process. The method may also include generating one or more output signals in response to the detected light. The one or more output signals may be responsive to a polarization state of the light returned from the illuminated region of the specimen. Therefore, the method may include determining a change in a polarization state of the incident beam of light returned from the specimen. The change in the polarization state of the incident beam of light returned from the specimen may vary depending upon, for example, one or more characteristics of the specimen such as a thickness of a layer on the specimen. In this manner, the method may include determining one or more characteristics of a layer on the specimen using the one or more output signals. Furthermore, the method may include determining one or more characteristics of more than one layer on the specimen using the one or more output signals. Such characteristics may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof.


[0453] In additional embodiments, the method for determining a characteristic of a layer on a specimen during an etch process may include any steps of the embodiments as described herein. For example, the method may include altering a parameter of one or more instruments coupled to the etch tool in response to one or more output signals from the measurement device. In this manner, the method may include altering a parameter of one or more instrument coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to one or more output signals from the measurement device. For example, the method may include altering a sampling frequency of the measurement device in response to one or more output signals from the measurement device.


[0454] Furthermore, the method may include obtaining a signature characterizing an etch process. The signature may include at least one singularity representative of an endpoint of the etch process. For example, an endpoint of an etch process may be a predetermined thickness of a layer on the specimen. In addition, the predetermined thickness may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the etch tool to reduce, and even terminate, the etch process.


[0455] An additional embodiment relates to a computer-implemented method for controlling a system configured to determine a characteristic of a specimen during an etch process. The system may include a measurement device coupled to an etch tool as described herein. The method may include controlling the measurement device to detect light returned from a region of the specimen during an etch process. For example, controlling the measurement device may include controlling a light source to direct an incident beam of light to a region of the specimen during an etch process. The light source may be controlled such that the incident beam of light may illuminate the region of the specimen at multiple angles of incidence during the etch process. The incident beam of light may have a known polarization state. The illuminated region of the specimen may include a region of the specimen being removed during the etch process. In addition, controlling the measurement device may include controlling a detector to detect at least a portion of light returned from the illuminated region of the specimen during the etch process. The method may also include generating one or more output signals responsive to the detected light. Furthermore, the method may include processing the one or more output signals to determine a change in a polarization state of the incidence beam of light returned from the illuminated region of the specimen. The method may further include determining one or more characteristics of a layer on the specimen using the one or more output signals. The characteristics may include, but are not limited to, a thickness, an index of refraction, an extinction coefficient of the layer on the specimen, and/or a critical dimension of a feature on the specimen, or any combination thereof.


[0456] In additional embodiments, the computer-implemented method for controlling a system configured to determine a characteristic of a specimen during an etch process may include steps of any of the embodiments as described herein. For example, the method may include controlling an instrument coupled to the etch tool to alter a parameter of the instrument in response to one or more output signals from the measurement device. The method may include controlling an instrument coupled to the etch tool to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter a parameter of the instrument in response to one or more output signals from the measurement device. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to one or more output signals from the measurement device.


[0457] In an additional example, the method may include controlling the measurement device to obtain a signature characteristic of an etch process. The signature may include at least one singularity representative of an endpoint of the etch process. An endpoint of an etch process may include, but is not limited to, a predetermined thickness of a layer on the specimen. The predetermined thickness may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of one or more instruments coupled to the etch tool to alter a parameter of the instruments to reduce, and even end, the etch process.


[0458] An additional embodiment relates to a method for fabricating a semiconductor device, which may include disposing a specimen upon a stage. The stage may be disposed within a process chamber of an etch tool, as shown in FIG. 24. The stage may be configured to support the specimen during an etch process. A measurement device may also be coupled to the process chamber of the etch tool, as shown in FIG. 24. In this manner, the stage may be coupled to the measurement device.


[0459] The method may further include forming a portion of a semiconductor device upon the specimen. For example, forming a portion of a semiconductor device may include etching exposed regions of the specimen. During an etch process, typically, an entire specimen may be exposed to an etch chemistry. A masking material may be arranged on the specimen prior to the etch process to expose predetermined regions of the specimen to the etch chemistry. For example, portions of the masking material may be removed using a lithography process and/or an etch process to expose predetermined regions of the specimen. The exposed predetermined regions may be regions of the specimen in which features of a semiconductor device may be formed. Remaining portions of the masking material may substantially inhibit underlying regions of the specimen to be etched during the etch process. Appropriate masking materials may include, but are not limited to, a resist, a dielectric material such as silicon oxide, silicon nitride, and titanium nitride, a conductive material such polycrystalline silicon, cobalt silicide, and titanium silicide, or any combination thereof.


[0460] The method for fabricating a semiconductor device may also include directing an incident beam of light to a region of the specimen. The incident beam of light may have a known polarization state. The region of the specimen may be a region of the specimen being removed during the etch process. The method may also include detecting at least a portion of the light returned from the illuminated region of the specimen during the etch process. The method may further include generating a signal responsive to the detected light. In addition, the method may include determining a change in a polarization state of the incident beam of light returned from the specimen. The change in the polarization state of the incident beam of light returned from the specimen may vary depending on, for example, one or more characteristics of the specimen. In this manner, the method may include determining one or more characteristics of a layer on the specimen using the one or more output signals. The characteristics may include, but are not limited to, a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof.


[0461] In additional embodiments, the method for fabricating a semiconductor device may include steps of any of the embodiments as described herein. For example, the method may include altering a parameter of one or more instruments coupled to the etch tool in response to one or more output signals from the measurement device. In this manner, the method may include altering a parameter of one or more instruments coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to one or more output signals from the measurement device. For example, the method may include altering a sampling frequency of the measurement device in response to one or more output signals from the measurement device.


[0462] Furthermore, the method may include obtaining a signature characteristic of an etch process. The signature may include at least one singularity representative of an endpoint of the etch process. An endpoint of an etch process may be a predetermined thickness of a layer on the specimen. In addition, the predetermined thickness may be larger or smaller depending upon, for example, the semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the etch tool to reduce, and even terminate, the etch process.


[0463]
FIG. 25 illustrates an embodiment of a system configured to evaluate an ion implantation process. In an embodiment, a system configured to evaluate an ion implantation process may include measurement device 308 coupled to ion implanter 310. Measurement device 308 may be coupled to ion implanter 310 such that measurement device 308 may be external to the ion implanter. As such, exposure of the measurement device to chemical and physical conditions within the ion implanter may be reduced, and even eliminated. Furthermore, measurement device 308 may be externally coupled to ion implanter 310 such that the measurement device does not alter the operation, performance, or control of the ion implantation process. For example, an ion implanter process chamber may include relatively small sections of a substantially transparent material 312 disposed within walls of the process chamber. A configuration of an ion implanter, however, may determine an appropriate method to couple the measurement device to the ion implanter. For example, the placement and dimensions of the substantially transparent material sections 312 within walls of the process chamber may vary depending on the configuration of the components within the process chamber. Examples of ion implanters are illustrated in U.S. Pat. No. 4,578,589 to Aitken, U.S. Pat. No. 4,587,432 to Aitken, U.S. Pat. No. 4,733,091 to Robinson et al., U.S. Pat. No. 4,743,767 to Plumb et al., U.S. Pat. No. 5,047,648 to Fishkin et al., U.S. Pat. No. 5,641,969 to Cooke et al., U.S. Pat. No. 5,886,355 to Bright et al., U.S. Pat. No. 5,920,076 to Burgin et al., U.S. Pat. No. 6,060,715 to England et al., U.S. Pat. No. 6,093,625 to Wagner et al., U.S. Pat. No. 6,101,971 to Denholm et al., and are incorporated by reference as if fully set forth herein.


[0464] In an alternative embodiment, measurement device 308 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to ion implanter 310, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of ion implanter 310. In this manner, a robotic wafer handler of ion implanter 310, stage 316, or another suitable mechanical device may be configured to move specimen 314 to and from the measurement chamber and process chambers of the ion implanter. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 314 between process chambers of the ion implanter and the measurement chamber. Measurement device 308 may be further coupled to ion implanter 310 as further described with respect to FIG. 17.


[0465] Measurement device 308 may be configured to periodically direct an incident beam of light to specimen 314 such that a region of the specimen may be periodically excited prior to, during, and/or subsequent to ion implantation. Measurement device 308 may also be configured to direct a sample beam of light to the periodically excited region of specimen 314 prior to, during, and/or subsequent to ion implantation. In addition, measurement device 308 may be configured to measure an intensity of the sample beam reflected from the periodically excited region of specimen 314 prior to, during, and/or subsequent to ion implantation. The small sections of substantially transparent material 312 may transmit the incident and sample beams from one or more illumination systems outside the process chamber to a specimen within the process chamber and the reflected sample beam from the specimen to a detection system outside the process chamber. The substantially transparent material 312 may have optical and/or material properties such that the beams may pass through the substantially transparent sections of the process chamber without undesirably altering the optical properties of the incident, sample, and reflected beam. In this manner, measurement device 308 may be coupled to stage 316 disposed within the process chamber and configured to support specimen 314.


[0466] In an embodiment, measurement device 308 may include light source 318 such as an argon laser configured to emit an incident beam of light. The light source may also be configured to generate electromagnetic radiation of other and/or multiple wavelengths including X-rays, gamma rays, infrared light, ultraviolet light, visible light, microwaves, or radio-frequencies. Light source 318 may also include any energy source that may cause a localized heated area on a surface of specimen 314 such as a beam of electrons, protons, neutrons, ions, or molecules. Such an energy source may be disposed within the process chamber of ion implanter 310. In addition, light source 318 may also include any energy source configured to cause at least some electrons of the specimen in a valence band to be excited across the band gap to a conductor band thereby creating a plurality of electron-hole pairs called a plasma. Measurement device 308 may also include modulator 320, which may be configured to chop the incident beam emitted from light source 318. The modulated incident light beam may be directed to specimen 314 to periodically excite a region of the specimen.


[0467] Measurement device 308 may also include additional light source 322 such as a helium neon laser configured to emit a sample beam of light. The measurement device may further include additional optical components such as dichroic mirror 324, polarizing beamsplitter 326, quarter wave plate 328, and focusing lens 330 such as a microscopic objective. The additional optical components may be arranged within the measurement device such that the modulated incident beam and the sample beam may be directed to substantially the same region of the specimen. The additional optical components, however, may also be arranged within the measurement device such that the modulated incident beam and the sample beam may be directed to two overlapping but non-coaxial, or two laterally spaced, regions of the specimen.


[0468] Measurement device 308 may also include a tracker (not shown) coupled to each of the light sources. The trackers may be configured to control a position of the incident beam and the sample beam. For example, the trackers may be configured to alter a position of the incident beam with respect to a position of the sample beam during an ion implantation process. In addition, the trackers may be configured to control positions of the incident beam and the sample beam such that the beams may be directed to substantially different regions of the specimen during an ion implantation process. As such, the system may be configured to evaluate the ion implantation process at any number of positions on the specimen. The additional optical components may also be arranged within the measurement device such that the sample beam reflected from the surface of the specimen may be directed to a detection system of the measurement device.


[0469] In an embodiment, detection system 332 may include a conventional photodetector that may be configured to measure intensity variations of the reflected sample beam. The intensity variations of the reflected sample beam may vary depending on, for example, periodic reflectivity changes in the periodically excited region of specimen 314. In alternative embodiments, detection system 332 may include a conventional interferometer. In this manner, the reflected sample beam may be combined with a reference beam prior to striking the interferometer. The reference beam may be a portion of the sample beam and may be directed to the interferometer by partially transmissive mirror 326. Since the sample beam reflected from the specimen and the reference beam may not be in phase, interference patterns may develop in the combined beam. Intensity variations of the interference patterns may be detected by the interferometer.


[0470] In additional embodiments, detection system 332 may include a split or bi-cell photodetector having a number of quadrants. Each quadrant of the photodetector may be configured to independently measure an intensity of the reflected sample beam. In this manner, each quadrant may detect different intensities as the reflected sample beam fluctuates across the surface of the photodetector. As such, the split photodetector may be configured to measure the extent of deflection of the reflected sample beam. For deflection measurements, the modulated incident beam and the sample beam may be directed to two overlapping but non-coaxial regions of the specimen as described above. Examples of modulated optical reflectance measurement devices are illustrated in U.S. Pat. No. 4,579,463 to Rosencwaig et al., U.S. Pat. No. 4,750,822 to Rosencwaig et al., U.S. Pat. No. 4,854,710 to Opsal et al., and U.S. Pat. No. 5,978,074 to Opsal et al. and are incorporated by reference as if fully set forth herein. The embodiments described herein may also include features of the systems and methods illustrated in these patents. In addition, each of the detectors described above may be configured to generate one or more output signals responsive to the intensity variations of the reflected sample beam.


[0471] The intensity variations of the reflected sample beam may be altered by the implantation of ions into the specimen. For example, during ion implantation processes, and especially in processes using high dosage levels, a portion of the specimen may be damaged due to the implantation of ions into the specimen. A damaged portion of the specimen may, typically, include an upper crystalline damaged layer and an intermediate layer of amorphous silicon. A lattice structure of the upper crystalline damaged layer may be substantially different than a lattice structure of the intermediate layer of amorphous silicon. The upper crystalline layer and the amorphous layer of silicon may, therefore, act as thermal and optical boundaries. For example, the two layers may have different periodic excitations due to differences in lattice structure. In addition, the different periodic excitations may cause the two layers to reflect the sample beam in a different manner. As such, the intensity variations of the reflected sample beam may depend on a thickness and a lattice structure of the upper crystalline layer and the amorphous layer.


[0472] The thickness of the upper crystalline layer and the amorphous layer may depend on a parameter of one or more instrument coupled to the ion implanter. A parameter of one or more instruments coupled to the ion implanter may determine the process conditions of an ion implantation process. Instruments coupled to ion implanter may include, but are not limited to, gas supply 334, energy source 336, pressure valve 338, and modulator 340. Damage in the upper crystalline layer may vary depending on, for example, electronic collisions between atoms of the silicon layer and the implanted ions. Displacement damage, however, may not be produced if the ions entering the silicon layer do not have enough energy per nuclear collision to displace silicon atoms from their lattice sites. In this manner, a thickness of the upper crystalline layer may vary depending upon, for example, implant energy. Increasing the dose of ions, and in particular heavy ions, may produce an amorphous region below the upper crystalline damaged layer in which the displaced atoms per unit volume may approach the atomic density of the semiconductor. As the implant dose of an ion implantation process increases, a thickness of the amorphous layer may also increase. In this manner, the intensity variations of the reflected sample beam may be dependent upon process conditions during implantation including, but not limited to, the implant energy and dose. Therefore, processor 342 coupled to measurement device 308 may be configured to determine a parameter of an instrument coupled to ion implanter 310 from the measured intensity variations of the reflected sample beam prior to, during, and/or subsequent to ion implantation. Parameters of one or more instruments coupled to the ion implanter may define process conditions including, but not limited to, an implant energy, an implant dose, an implant species, an angle of implantation, and temperature.


[0473] In an embodiment, processor 342 coupled to measurement device 308 may be configured to determine one or more characteristics of an implanted region of specimen 314 from one or more output signals from detection system 332 prior to, during, and/or subsequent to ion implantation. The characteristics of an implanted region may include, but are limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof. Subsequent to implantation, the specimen may be annealed to electrically activate implanted regions of the specimen. Characteristics of an electrically activated implanted region such as depth and distribution profile may depend upon thicknesses of the upper crystalline layer and the amorphous layer formed during implantation and process conditions of the anneal process. As such, characteristics of an electrically activated implanted region may be determined from the determined characteristics of the implanted region. In addition, processor 342 coupled to measurement device 308 may be configured to determine a presence of defects such as foreign material on the specimen prior to, during, and/or subsequent to an implantation process from one or more output signals from detection system 332.


[0474] In an additional embodiment, processor 342 may be coupled to measurement device 308 and ion implanter 310. The processor may be configured to interface with the measurement device and the ion implanter. For example, the processor may receive output signals from the ion implanter during an ion implantation process that may be representative of a parameter of one or more instrument coupled to the ion implanter. The processor may also be configured to receive output signals from the detection system during an ion implantation process. In an additional embodiment, the measurement device may be configured to measure variations in output signals from the detection system during an ion implantation process. For example, the measurement device may be configured to detect the reflected sample beam substantially continuously or at predetermined time intervals during implantation. The processor may, therefore, be configured to receive output signals responsive to the detected light substantially continuously or at predetermined time intervals and to monitor variations in the one or more output signals during the ion implantation process. In this manner, processor 342 may be configured to determine a relationship between the output signals responsive to the detected light and parameters of one or more instruments coupled to an ion implanter. As such, processor 342 may be configured to alter a parameter of one or more instruments in response to the determined relationship. In addition, processor 342 may be configured to determine a parameter of one or more instruments using the relationship and output signals from the measurement device.


[0475] Furthermore, additional controller computer 344 may be coupled to ion implanter 310. Controller computer 344 may be configured to alter a parameter of one or more instruments coupled to the ion implanter. Processor 342 may also be coupled to controller computer 344. In this manner, controller computer 344 may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to one or more output signals from processor 342, which may be responsive to a determined parameter. In addition, controller computer 344 may monitor a parameter of one or more instruments coupled to the ion implanter and may send one or more output signals responsive to the monitored parameters to processor 342.


[0476] Additionally, the processor may be further configured to control the measurement device and the ion implanter. For example, the processor may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to one or more output signals from the measurement device. In this manner, the processor may be configured to alter a parameter of an instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to output signals from the measurement device. For example, the processing device may be configured to alter a sampling frequency of the measurement device in response to output signals from the measurement device.


[0477] By analyzing the variations in output signals from the measurement device during an ion implantation process, the processor may also generate a signature that may be representative of the implantation of the ions into the specimen. The signature may include at least one singularity that may be characteristic of an endpoint of the ion implantation process. For example, an appropriate endpoint for an ion implantation process may be a predetermined concentration of ions in the specimen. In addition, the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen. After the processor has detected the singularity of the signature, the processor may reduce, and even terminate, the implantation of ions into the specimen by altering a parameter of one or more instruments coupled to the ion implanter.


[0478] In an embodiment, the processor may be configured to determine appropriate process conditions for subsequent ion implantation processes of additional specimens using output signals from the measurement device. For example, a depth of implanted ions in the specimen may be determined using the output signals. The determined depth of an implanted region of the specimen may be less than a predetermined depth. The predetermined depth may vary depending on a semiconductor device being fabricated on the specimen. Before processing additional specimens, a parameter of one or more instruments coupled to the ion implanter may be altered such that an implanted depth of the additional specimens may be closer to the predetermined depth than the implanted depth of the measured specimen. For example, the implant energy of the ion implant process may be increased to drive the ions deeper into the additional specimens. In this manner, the processor may be coupled to alter a parameter of one or more instruments coupled to an ion implanter in response to output signals from the measurement device using a feedback control technique.


[0479] In an additional embodiment, the processor may be configured to determine process conditions of additional semiconductor fabrication processes that may be performed subsequent to the ion implantation process using output signals from the measurement device. Additional semiconductor fabrication process may include, but are not limited to, a process to anneal implanted regions of the specimen. For example, a depth of an implanted region of a specimen may be determined using the output signals. The determined depth of the implanted region of the specimen may be greater than a predetermined depth. Current process conditions of a subsequent annealing process, however, may be optimized for the predetermined depth. Therefore, before annealing the implanted specimen, a process condition of the annealing process such as anneal time or anneal temperature may be altered. For example, an anneal time may be increased to ensure substantially complete recrystallization of the amorphous layer formed in the specimen. In this manner, the processor may be configured to alter a parameter of one or more instruments coupled to an anneal tool in response to output signals from the measurement device using a feedforward control technique. In addition, the processor may be further configured according to any of the embodiments as described herein.


[0480] In an embodiment, a method for determining a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process may include disposing the specimen upon a stage. The stage may be disposed within a process chamber of an ion implanter. The stage may also be configured according to any of the embodiments as described herein. A measurement device may be coupled to the ion implanter as described herein. As such, the stage may be coupled to the measurement device. In addition, the measurement device may be configured as described herein.


[0481] The method may include directing an incident beam of light to a region of the specimen to periodically excite a region of the specimen during the ion implantation process. The region of the specimen may be a region of the specimen being implanted during the ion implantation process. The method may also include directing a sample beam of light to the periodically excited region of the specimen during the ion implantation process. In addition, the method may include detecting at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process. The method may further include generating one or more output signals in response to the detected light. Furthermore, the method may include determining one or more characteristics of the implanted region of the specimen using the one or more output signals. The characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof.


[0482] In additional embodiments, the method for determining a characteristic of a specimen during an ion implantation process may include steps of any of the embodiments described herein. For example, the method may include altering a parameter of one or more instruments coupled to the ion implanter in response to the one or more output signals. In this manner, the method may include altering a parameter of one or more instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.


[0483] The method may further include obtaining a signature characterizing the implantation of the ions into a specimen. The signature may include at least one singularity representative of an endpoint of the ion implantation process. For example, an endpoint for an ion implantation process may be a predetermined concentration of ions. In addition, the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the ion implanter to reduce, and even terminate, the ion implantation process.


[0484] In an embodiment, a computer-implemented method may be used to control a system configured to determine a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process. The system may include a measurement device coupled to an ion implanter as described herein. The method may include controlling the measurement device to measure modulated optical reflectance of a region of a specimen during the ion implantation process. For example, controlling the measurement device may include controlling a light source to direct an incident beam of light to a region of the specimen such that the region may be periodically excited during the ion implantation process. Controlling the measurement device may also include controlling an additional light source to direct a sample beam of light to the periodically excited region of the specimen during the ion implantation process.


[0485] In addition, controlling the measurement device may include controlling a detection system to detect at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process. In addition, the method may include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to determine one or more characteristics of the implanted region of the specimen. The characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof.


[0486] In additional embodiments, the computer-implemented method for controlling a system to determine a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process may include steps of any of the embodiments described herein. For example, the method may include controlling an instrument coupled to the ion implanter to alter a parameter of the instrument in response to the one or more output signals. In this manner, the method may include controlling an instrument coupled to the ion implanter to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter the parameter in response to the one or more output signals. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include controlling additional components of the system. For example, the method may include controlling the trackers to control lateral positions of the incident beam and the sample beam with respect to the specimen during use. In this manner, the method may include controlling the trackers to evaluate the ion implantation process at any number of positions on the specimen.


[0487] In an additional example, the method may include controlling the measurement device to obtain a signature characterizing the implantation of the ions into the specimen. The signature may include at least one singularity representative of an endpoint of the ion implantation process. For example, an endpoint for an ion implantation process may be a predetermined concentration of ions. The predetermined concentration of ions may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of an instrument coupled to the ion implanter to alter the parameter of the instrument thereby reducing, and even terminating, implantation of ions into the specimen.


[0488] An additional embodiment relates to a method for fabricating a semiconductor device that may include disposing a specimen upon a stage. The stage may be disposed within a process chamber of an ion implanter. The stage may be configured as described herein. A measurement device may also be coupled to the process chamber of the ion implanter. In this manner, the stage may also be coupled to the measurement device. The method may include forming a portion of the semiconductor device upon the specimen. For example, forming the portion of the semiconductor device may include implanting ions into the specimen. During an ion implantation process, typically, the entire wafer may be scanned with a beam of ions. A masking material may be arranged on the specimen to expose predetermined regions of the specimen to implantation. For example, portions of the masking material may be removed using a lithography process and/or an etch process to expose regions of the specimen to an implantation process. The exposed regions may include regions of the specimen in which features of a semiconductor device are to be formed. Appropriate masking materials may include, but are not limited to, a resist, a dielectric material such as silicon oxide, silicon nitride, and titanium nitride, a conductive material such as polycrystalline silicon, cobalt silicide, and titanium silicide, or any combination thereof.


[0489] The method for fabricating a semiconductor device may also include directing an incident beam of light to a region of the specimen. The directed incident beam of light may periodically excite a region of the specimen during the ion implantation process. The region of the specimen may be a region of the specimen implanted during the ion implantation process. The method may also include directing a sample beam of light to the periodically excited region of the specimen during the ion implantation process. In addition, the method may include detecting at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process. The method may also include generating one or more output signals in response to the detected light. Furthermore, the method may include determining one or more characteristics of the implanted region of the specimen using the one or more output signals. The characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration, a depth, and a distribution profile of implanted ions in the specimen, or any combination thereof.


[0490] In additional embodiments, the method for fabricating a semiconductor device may include steps of any of the embodiments described herein. For example, the method may include altering a parameter of an instrument coupled to the ion implanter in response to the one or more output signals. In this manner, the method may include altering a parameter of an instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.


[0491] Furthermore, the method may include obtaining a signature characteristic of the implantation of the ions into the specimen. The signature may include at least one singularity representative of an endpoint of the ion implantation process. For example, an endpoint for an ion implantation process may be a predetermined concentration of ions. In addition, the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of an instrument coupled to the ion implanter to reduce, and even terminate, the implantation of ions into the specimen.


[0492]
FIG. 26 illustrates an embodiment of a system configured to determine at least one characteristic of micro defects on a surface of a specimen. In an embodiment, such a system may include measurement device 346 coupled to process tool 348. Process tool 348 may be configured as a process chamber of a semiconductor fabrication process tool or a semiconductor fabrication process tool. In this manner, process tool 348 may be configured to perform a step of a semiconductor fabrication process such as lithography, etch, ion implantation, chemical-mechanical polishing, plating, chemical vapor deposition, physical vapor deposition, and cleaning. For example, as shown in FIG. 26, process tool 348 may include a resist apply chamber of a process tool or a develop chamber of a process tool. As such, process tool 348 may be configured to fabricate a portion of a semiconductor device on specimen.


[0493] Measurement device 346 may be coupled to process tool 348 such that the measurement device may be external to the process tool. As such, exposure of the measurement device to chemical and physical conditions within the process tool may be reduced, and even eliminated. Furthermore, the measurement device may be externally coupled to the process tool such that the measurement device may not alter the operation, performance, or control of the process. For example, a process tool may include one or more relatively small sections of a substantially transparent material 350 disposed within walls of the process tool. The configuration of process tool 348, however, may determine an appropriate method to couple measurement device 346 to the process tool. For example, the placement and dimensions of the substantially transparent material sections 350 within the walls of the process tool may be depend on the configuration of the components within the process tool.


[0494] In an alternative embodiment, measurement device 346 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to process tool 348, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of process tool 348. In this manner, a robotic wafer handler of process tool 348, stage 354, or another suitable mechanical device may be configured to move specimen 352 to and from the measurement chamber and process chambers of the process tool. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 352 between process chambers of the process tool and the measurement chamber. Measurement device 346 may be further coupled to process tool 348 as further described with respect to FIG. 17.


[0495] In an embodiment, stage 354 may be disposed within process tool 348. Stage 354 may be configured to support specimen 352 during a process. In addition, stage 354 may also be configured according to any of the embodiments described herein. For example, the stage may include a motorized stage that may be configured to rotate in a direction indicated by vector 356. Illumination system 358 of measurement device 346 may be configured to direct light toward a surface of specimen 352. In addition, illumination system 358 may be configured to direct light toward a surface of the specimen during a process such as fabrication of a portion of a semiconductor device and during rotation of the stage. In addition, a detection system of measurement device 346 may include a first detector 360 and a second detector 362. Detectors 360 and 362 may be configured to detect light propagating from the surface of the specimen during a process such as fabrication of a portion of the semiconductor device and during rotation of the stage.


[0496] As shown in FIG. 26, first detector 360 may be configured to detect dark field light propagating along a dark field path from the surface of specimen 352. In addition, second detector 362 may be configured to detect bright field light propagating along a bright field path from the surface of specimen 352. In this manner, light detected by the measurement device may include dark field light propagating along a dark field path from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen. In addition, the detectors may be configured to substantially simultaneously detect light propagating from a surface of the specimen.


[0497] Furthermore, detected light may include dark field light propagating along multiple dark field paths from the surface of the specimen. For example, as shown in FIG. 27, a detection system of measurement device 365 may include a plurality of detectors 366. The plurality of detectors may be positioned with respect to light source 368 such that each of the plurality of detectors may detect dark field light propagating from the surface of the specimen. In addition, the plurality of detectors may be arranged at a different radial and vertical positions with respect to light source 368. A system that includes measurement device 365 may be commonly referred to as a “pixel-based” inspection system. Examples of pixel-based inspection systems are illustrated in U.S. Pat. No. 5,887,085 to Otsuka, and U.S. Pat. No. 6,081,325 to Leslie et al., and PCT Application No. WO 00/02037 to Smilansky et al., and are incorporated by reference as if fully set forth herein. An example of an optical inspection method and apparatus utilizing a variable angle design is illustrated in PCT Application No. WO 00/77500 A1 to Golberg et al., and is incorporated by reference as if fully set forth herein.


[0498] As shown in FIG. 27, measurement device 365 may be further configured to direct light to multiple surfaces of specimen 370, which may be disposed upon a stage (not shown). The stage may be configured to move laterally and/or rotatably with respect to measurement device 365 as described herein. For example, the stage may be configured to move laterally while light from light source 368 may be configured to scan across the specimen in a direction substantially parallel to a radius of the specimen. Alternatively, the stage may be configured to move in two linear directions, which may be substantially orthogonal to one another, and optical components of measurement device 365 may be substantially stationary. The configuration of the stage with relation to the optical components of the measurement device may vary, however, depending upon, for example, space and mechanical constraints within the system. Light source 368 of measurement device may include any of the light sources as described herein. In addition, fiber optic cable 372 or another suitable light cable may be coupled to light source 368 and illumination system 374 positioned below specimen 370. In this manner, the measurement device may be configured to direct light to multiple surfaces of a specimen. In an alternative embodiment, measurement device 365 may include at least two light sources. Each of the plurality of light sources may be configured to direct light to a different surface of the specimen.


[0499] Measurement device 365 may also include detector 376 coupled to illumination system 374. As shown in FIG. 27, detector 376 may be positioned with respect to illumination system 374 such that the detector may detect dark field light propagating along a dark field path. In an alternative embodiment, however, detector 376 may be positioned with respect to illumination 374 such that the detector may detect bright field light propagating along a bright field path. Measurement device 346 and measurement device 365 may be further configured as according to any of the embodiments described herein.


[0500] The measurement device may be further configured according to any of the embodiments described herein. In addition, the system may include an additional measurement device. The additional measurement device may include any of the measurement device as described herein.


[0501] In an embodiment, processor 364 coupled to measurement device 346 may be configured to determine one or more characteristics of defects on a surface of specimen 352, as shown in FIG. 26. In addition, processor 378 coupled to measurement device 365 may be configured to determine one or more characteristics of defects on one or more surfaces of specimen 370. Processor 364 and processor 378 may be similarly configured. For example, processors 364 and 378 may be configured to receive one or more output signals from detectors 360 and 362 or 366 and 376, respectively, in response to light detected by the detectors. In addition, both processors may be configured to determine at least one characteristic of defects on at least one surface of a specimen. The defects may include macro defects and/or micro defects. For example, processor 264 and processor 378 may be configured to determine at least one characteristics of macro defects on a front side and a back side of a specimen. In addition, one or more characteristics of defects may include, but are not limited to, a presence of defects on a surface of specimen, a type of defects on a surface of a specimen, a number of defects on a surface of a specimen, and a location of defects on a surface of a specimen. In addition, processor 364 and processor 378 may be configured one or more characteristics of defects substantially simultaneously or sequentially. In this manner, further description of processor 364 may be applied equally to processor 378.


[0502] In an additional embodiment, processor 364 may be coupled to measurement device 346 and process tool 348. The process tool may include, for example, a wafer cleaning tool such as a wet or dry cleaning tool, a laser cleaning tool, or a shock wave particle removal tool. An example of a laser cleaning tool is illustrated in “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein. An example of a shock wave particle removal method and apparatus is illustrated in U.S. Pat. No. 5,023,424 to Vaught, which is incorporated by reference as if fully set forth herein. Processor 364 may be configured to interface with measurement device 346 and process tool 348. For example, processor 364 may receive one or more output signals from process tool 348 during a process that may be responsive to a parameter of an instrument coupled to the process tool. Processor 364 may also be configured to receive one or more output signals from measurement device 346, which may be responsive to light detected by detector 360 and detector 362 as described herein.


[0503] In an additional embodiment, the measurement device may be configured to detect light returned from the specimen during a process, as described herein. For example, the measurement device may be configured to detect light propagating from the specimen substantially continuously or at predetermined time intervals during a process. The processor may, therefore, receive output signals from the measurement device in response to the detected light and may monitor variations in the output signals during a process. In this manner, processor 364 may be configured to determine a relationship between the output signals and a parameter of one or more instruments coupled to process tool 348. As such, processor 364 may be configured to alter a parameter of an instrument coupled to the process tool in response to the determined relationship. In addition, the processor may be configured to determine a parameter of an instrument coupled to the process tool using the relationship and one or more output signals from the measurement device.


[0504] Additionally, processor 364 may be further configured to control measurement device 346 and process tool 348. For example, the processor may be configured to alter a parameter of one or more instruments coupled to the process tool in response to output signals from the measurement device. In this manner, the processor may be configured to alter a parameter of one or more instruments coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to one or more output signals from the measurement device. For example, the processor may be configured to alter a sampling frequency of the measurement device in response to the output signals.


[0505] By analyzing the variations in the output signals from the measurement device during a process, the processor may also generate a signature that may be characteristic of the process. The signature may include at least one singularity that may be characteristic of an endpoint of the process. For example, an endpoint for a process may be a predetermined thickness of a layer. A predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. After detecting the singularity, the processor may reduce, and even terminate, processing of the specimen by altering a parameter of one or more instruments coupled to the process tool.


[0506] In an embodiment, the processor may be configured to determine parameters of one or more instruments coupled to the process tool for processing of additional specimens using output signals from the measurement device. For example, a thickness of a layer on the specimen may be determined using output signals from the measurement device. The thickness of the layer on the specimen may be greater than a predetermined thickness. The predetermined thickness may vary depending on, for example, a semiconductor device being fabricated one the specimen. Before processing additional specimens, a parameter of one or more instruments coupled to the process tool may be altered such that a thickness of a layer on the additional specimens may be closer to the predetermined thickness than a thickness of the layer on the measured specimen. For example, the radio frequency power of an etch process may be increased to etch a greater thickness of the layer on the specimen. In this manner, the processor may be used to alter a parameter of one or more instruments coupled to a process tool in response to output signals from the measurement device using a feedback control technique.


[0507] In an additional embodiment, the processor may be configured to determine process conditions of additional semiconductor fabrication processes using output signals from the measurement device. For example, the processor may be configured to alter a parameter of an instrument coupled to a stripping tool in response to output signals from the measurement device using a feedforward control technique. In addition, the processor may be further configured according to the embodiments described herein.


[0508] In an embodiment, a method for determining a characteristic of a specimen during a process may include disposing specimen 352 upon stage 354. Stage 354 may be disposed within process tool 348. The stage may also be configured according to any of the embodiments described herein. Measurement device 346 may be coupled to process tool 348 as described herein. As such, stage 354 may be coupled to measurement device 346. In addition, measurement device 346 may be configured as described herein. The method may include directing light to a surface of the specimen during a process. In addition, the method may include detecting light returned from the surface of the specimen during a process. The method may also include generating one or more output signals in response to the detected light. In this manner, the method may include determining a characteristic of the specimen being processed using the one or more output signals. The characteristic may include a presence, a number, a location, and a type of defects on at least one surface of the specimen, or any combination thereof.


[0509] In additional embodiments, the method for determining a characteristic of a specimen during a process may include steps of any of the embodiments described herein. For example, the method may include altering a parameter of an instrument coupled to the process tool in response to the one or more output signals. In this manner, the method may include altering a parameter of an instrument coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characteristic of the process. The signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the process tool to reduce, and even terminate, the process.


[0510] In an embodiment, a computer-implemented method may be used to control a system configured to determine a characteristic of a specimen during a process. The system may include a measurement device coupled to a process tool as described herein. The method may include controlling the measurement device to detect light returned from a surface of a specimen during a process. For example, controlling the measurement device may include controlling a light source to direct light to a surface of the specimen during the process. In addition, controlling the measurement device may include controlling a detector configured to detect light returned from the surface of the specimen during the process. The method may also include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to determine at least one characteristic of defects on at least one surface of the specimen using the one or more output signals. The characteristics may also include any of the characteristics described herein.


[0511] In additional embodiments, the computer-implemented method for controlling a system to determine a characteristic of a specimen during a process may include any steps of the embodiments described herein. For example, the method may include controlling one or more instruments coupled to the process tool to alter a parameter of the instruments in response to the one or more output signals. In this manner, the method may include controlling one or more instruments coupled to the process tool to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter the parameter in response to the one or more output signals. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals.


[0512] In an additional example, the method may include controlling the measurement device to obtain a signature characteristic of the process. The signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of one or more instruments coupled to the process tool to alter a parameter of an instrument to reduce, and even stop, the process.


[0513] An additional embodiment relates to a method for fabricating a semiconductor device, which may include disposing a specimen upon a stage. The stage may be disposed within a process tool. The stage may be configured as described herein. A measurement device may also be coupled to the process tool. In this manner, the stage may be coupled to the measurement device. The method may further include forming a portion of a semiconductor device upon the specimen. For example, forming a portion of a semiconductor device may include performing at least a step of a semiconductor fabrication process on the specimen. The method for fabricating a semiconductor device may also include directing light to a surface of the specimen. The method may further include detecting light returned from the surface of the specimen during the process. In addition, the method may include generating one or more output signals in response to the detected light. Furthermore, the method may include determining at least one characteristic of the specimen from the one or more output signals. The characteristic may include a presence, a number, a type, or a location of defects on at least one surface of the specimen, or any combination thereof.


[0514] In additional embodiments, the method for fabricating a semiconductor device may include any steps of the embodiments described herein. For example, the method may include altering a parameter of one or more instruments coupled to the process tool in response to the one or more output signals. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characteristic of the process. The signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the process tool to reduce, and even terminate, the process.


[0515] In an embodiment, each of the systems describe above may be coupled to an energy dispersive X-ray spectroscopy (“EDS”) device. Such a device may be configured to direct a beam of electrons to a surface of the specimen. The specimen may emit secondary electrons and a characteristic X-ray in response to the directed beam of electrons. The secondary electrons may be detected by a secondary electron detector and may be converted to electrical signals. The electrical signals may be used for brightness modulation or amplitude modulation of an image of the specimen produced by the system. The characteristic X-ray may be detected by a semiconductor X-ray detector and may be subjected to energy analysis. The X-ray spectrum may be analyzed to determine a composition of material on the specimen such as defects on a surface of the specimen. Examples of EDS systems and methods are illustrated in U.S. Pat. No. 4,559,450 to Robinson et al., U.S. Pat. No. 6,072,178 to Mizuno, and U.S. Pat. No. 6,084,679 to Steffan et al., and are incorporated by reference as if fully set forth herein.


[0516] Further Improvements


[0517] In an embodiment, each of the systems, as described herein, may be used to reduce, and even to minimize, within wafer (“WIW”) variability of critical metrics of a process such as a lithography process. For example, critical metrics of a lithography process may include a property such as, but are not limited to, critical dimensions of features formed by the lithography process and overlay misregistration. Critical metrics of a process, however, may also include any of the properties as described herein including, but not limited to, a presence of defects on the specimen, a thin film characteristic of the specimen, a flatness measurement of the specimen, an implant characteristic of the specimen, an adhesion characteristic of the specimen, a concentration of an elements in the specimen. Such systems, as described herein, may be configured to determine at least one property of a specimen at more than one position on the specimen. For example, the measurement device may be configured to measure at least the one property of the specimen at multiple positions within a field and/or at multiple positions within at least two fields on the specimen. The measured property may be sent to a processor, or a within wafer film processor. The processor may be coupled to the measurement device and may be configured as described herein.


[0518] In addition, because at least one property of the specimen may be measured at various positions across the specimen, at least one property may be determined for each of the various positions. As such, a parameter of one or more instruments coupled to a tool or a process chamber of a process tool may also be altered, as described above, independently from field to field on the specimen. For example, many exposure process tools may be configured such that the exposure dose and focus conditions of the expose process may be varied across the specimen, i.e., from field to field. In this manner, process conditions such as exposure dose and/or post exposure bake temperature may vary across the specimen in subsequent processes in response to variations in at least one measured property from field to field across the specimen. The exposure dose and focus conditions may be determined and/or altered as described herein using a feedback or feedforward control technique. In this manner, critical metrics of a process such as a lithography process may be substantially uniform across the specimen.


[0519] In an addition, a temperature of the post exposure bake plate may be altered across the bake plate by using a number of discrete secondary heating elements disposed within a primary heating element. Secondary heating elements may be independently controlled. As such, a temperature profile across a specimen during a post exposure bake process may be altered such that individual fields on a specimen may be heated at substantially the same temperature or at individually determined temperatures. A pressure of a plating head of a chemical mechanical polishing tool may be similarly altered across the plate head in response to at least the two properties determined at multiple locations on the specimen.


[0520] In addition, at least the one parameter of a process chamber may be altered such that a first portion of a specimen may be processed with a first set of process conditions during a step of the process and such that a second portion of the specimen may be processed with a second set of process conditions during the step. For example, each portion of the specimen may be a field of the specimen. In this manner, each field of the specimen may be subjected to a different process conditions such as, but not limited to, exposure dose and focus conditions and post exposure bake temperatures. As such, because each field of a specimen may be subjected to process conditions that may vary depending upon a measured property of the specimen, within wafer variations in critical metrics of the process may be substantially reduced, or even minimized.


[0521] It is to be understood that all of the measurements described above may be used to alter a parameter of a process chamber using a feedback, a feedforward, or in situ process control technique. In addition, within wafer variations of critical metrics of a process such as a lithography process may be further reduced by using a combination of the above techniques.


[0522] A system configured to evaluate and control a process using field level analysis as described above may provide dramatic improvements over current process control methods. Measuring within wafer variability of critical metrics, or critical dimensions, may provide tighter control of the critical dimension distribution. In addition to improving the manufacturing yield, therefore, the method described above may also enable a manufacturing process to locate the distribution performance of manufactured devices closer to a higher performance level. As such, the high margin product yield may also be improved by using such a method to evaluate and control a process. Furthermore, additional variations in the process may also be minimized. For example, a process may use two different, but substantially similarly configured process chambers, to process one lot of specimens. Two process chambers may be used to perform the same process such that two specimens may be processed simultaneously in order to reduce the overall processing time. Therefore, the above method may be used to evaluate and control each process chamber separately. As such, the overall process spread may also be reduced.


[0523] Data gathered using a system, as described herein, may be analyzed, organized and displayed by any suitable means. For example, the data may be grouped across the specimen as a continuous function of radius, binned by radial range, binned by stepper field, by x-y position (or range of x-y positions, such as on a grid), by nearest die, and/or other suitable methods. The variation in data may be reported by standard deviation from a mean value, a range of values, and/or any other suitable statistical method.


[0524] The extent of the within wafer variation (such as the range, standard deviation, and the like) may be analyzed as a function of specimen, lot and/or process conditions. For example, the within wafer standard deviation of the measured CD may be analyzed for variation from lot to lot, wafer to wafer, and the like. It may also be grouped, reported and/or analyzed as a function of variation in one or more process conditions, such as develop time, photolithographic exposure conditions, resist thickness, post exposure bake time and/or temperature, pre-exposure bake time and/or temperature, and the like. It may also or instead be grouped, reported and/or analyzed as a function of within wafer variation in one or more of such processing conditions.


[0525] Data gathered using a system, as described herein, may be used not just to better control process conditions, but also where desirable to better control in situ endpointing and/or process control techniques. For example, such data may be used in conjunction with an apparatus such as that set forth in U.S. Pat. No. 5,689,614 to Gronet et al. and/or Published European Patent Application No. EP 1 066 925 A2, which are incorporated by reference as if fully set forth herein, to improve the control over localized heating of the substrate or closed loop control algorithms. Within wafer variation data may be fed forward or back to such a tool to optimize the algorithms used in control of local specimen heating or polishing, or even to optimize the tool design. In another example of such localized process control, within wafer variation data may be used to control or optimize a process or tool such as that set forth in one or more of Published PCT Patent Applications No. WO 99/41434 or WO 99/25004 and/or Published European Patent Application No 1065567 A2, which are hereby incorporated by reference as if fully set forth herein. Again, within wafer variation data taken, for example, from stand alone and/or integrated measurement tools, may be used to better control and/or optimize the algorithms, process parameters and integrated process control apparatuses and methods in such tools or processes. Data regarding metal thickness and its within wafer variation may be derived from an x-ray reflectance tool such as that disclosed in U.S. Pat. No. 5,619,548 and/or Published PCT Application No. WO 01/09566, which are hereby incorporated by reference as if fully set forth herein, by eddy current measurements, by e-beam induced x-ray analysis, or by any other suitable method.


[0526] As shown in FIG. 9, an embodiment of system 70 may have a plurality of measurement devices. Each of the measurement devices may be configured as described herein. As described above, each of the measurement devices may be configured to determine a different property of a specimen. As such, system 70 may be configured to determine at least four properties of a specimen. For example, measurement device 72 may be configured to determine a critical dimension of a specimen. In addition, measurement device 74 may be configured to determine overlay misregistration of the specimen. In an alternative embodiment, measurement device 76 may be configured to determine a presence of defects such as macro defects on the specimen. In addition, measurement device 76 may be configured to determine a number, a location, and/or a type of defects on the specimen. Furthermore, measurement device 78 may be configured as to determine one or more thin film characteristics of the specimen and/or a layer on the specimen. Examples of thin film characteristics include, but are not limited to, a thickness, an index of refraction, and an extinction coefficient. In addition, each of the measurement devices may be configured to determine two or more properties of a specimen. For example, measurement device 72 may be configured to determine a critical dimension and a thin film characteristic of a specimen substantially simultaneously or sequentially. In addition, measurement device 72 may be configured to determine a presence of defects on the specimen. As such, system 70 may be configured to determine at least four properties of the specimen simultaneously or sequentially.


[0527] System 70 may be arranged as a cluster tool. An example of a configuration of a cluster tool is illustrated in FIG. 14. For example, each of the measurement device described herein may be disposed in a measurement chamber. Each of the measurement chamber may be disposed proximate one another and/or coupled to each other. In addition, system 70 may include a wafer handler. The wafer handler may include any mechanical device as described herein. The system may be configured to receive a plurality of specimen to be measured and/or inspected such as a cassette of wafers. The wafer handler may be configured to remove a specimen from the cassette prior to measurement and/or inspection and to dispose a specimen into the cassette subsequent to measurement and/or inspection. The wafer handler may also be configured to dispose a specimen within each measurement chamber and to remove a specimen from each measurement chamber. In addition, the system may include a plurality of such wafer handlers. The system may be further configured as described with reference to FIG. 14. In addition, the system may be configured as a stand-alone metrology and/or inspection system. In this manner, the system may not be coupled to a process tool. Such a system may provide advantages over a similarly configured integrated tool. For example, such a system may be designed to be faster and cheaper than a similarly configured integrated tool because there may be less physical and mechanical constraints for a stand-alone system versus an integrated system. System 70 may be further configured as described herein.


[0528] In an embodiment, a system may be configured to determine at least two properties of a specimen including a thickness of a layer formed on the specimen and at least one additional property such as an index of refraction, a velocity of sound, a density, and a critical dimension, which may include a profile, of a layer or a feature formed upon the specimen. The specimen may include a structure such as single layer or multiple layers formed upon the specimen. In addition, the single layer or multiple layers formed on the specimen may include, but are not limited to, any combination of substantially transparent, semi-transparent, and opaque metal films. The specimen may also be a blanket wafer or a patterned wafer. As used herein, the term, “blanket wafer,” generally refers to a wafer having at least an upper layer that may not have been subjected to a lithography process. In contrast, as used herein, the term, “patterned wafer,” generally refers to a wafer having at least an upper layer that may be patterned by, for example, a lithography process and/or an etch process.


[0529] The system may be configured as described herein. For example, the system may include a processor coupled to two or more measurement devices. The processor may be configured to determine at least a thickness of the specimen and/or a layer on the specimen and at least one additional property of the specimen and/or a layer on the specimen from one or more output signals generated by the measurement devices. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include, but is not limited to, a small-spot photo-acoustic device, a grazing X-ray reflectometer, and a broadband small-spot spectroscopic ellipsometer. Examples of photo-acoustic devices are illustrated in U.S. Pat. No. 4,710,030 to Tauc et al., U.S. Pat. No. 5,748,318 to Maris et al., U.S. Pat. No. 5,844,684 to Maris et al., U.S. Pat. No. 5,684,393 to Maris, U.S. Pat. No. 5,959,735 to Maris et al., U.S. Pat. No. 6,008,906 to Maris, U.S. Pat. No. 6,025,918 to Maris, U.S. Pat. No. 6,175,416 to Maris et al., U.S. Pat. No. 6,191855 to Maris, U.S. Pat. No. 6,208,418 to Maris, U.S. Pat. No. 6,208,421 to Maris et al., and U.S. Pat. No. 6,211,961 to Maris, which are incorporated by reference as if fully set forth herein. The system may also include a pattern recognition system that may be used in conjunction with the above devices.


[0530] In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, at least one element of a first measurement device, for example, may also be at least one element of a second measurement device. In addition, it may be advantageous for additional elements such a handling robots, stages, processor, and power supplies of a first measurement device to be used by a second measurement device. The system may also include an autofocus mechanism that may be configured to bring a specimen substantially into focus (i.e., to approximately a correct height) for a first measurement device, and then for a second measurement device. An example of an autofocus mechanism is shown in FIG. 11b, as autofocus sensor 124. An additional example of an autofocusing apparatus is illustrated in U.S. Pat. No. 6,172,349 to Katz et al., which is incorporated by reference as if fully set forth herein. The system, the measurement device, and the processor may be further configured as described herein.


[0531] Appropriate combinations of devices included in the measurement device may include, for example, a small-spot photo-acoustic device and a grazing X-ray reflectometer or a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer. For example, a photo-acoustic device may provide measurements of layers having thickness of less than about a few hundred angstroms while a grazing X-ray reflectometer may provided measurements of layers having thicknesses in a range from about 50 angstroms to about 1000 angstroms. Ellipsometric techniques, especially broadband ellipsometry, may provide measurements of metal and semi-metallic layers having thicknesses of less than about 500 angstroms because at such thicknesses even metal may allow some light to pass through the layer. In addition, ellipsometric techniques may also provide measurements of transparent layers having thicknesses from about 0 angstroms to a few microns. As such, a system, as described herein, may provide measurements of layers having a broad range of thicknesses and materials.


[0532] In addition, such a system may be coupled to a chemical-mechanical polishing tool as described herein. Furthermore, the system may be coupled to or arranged proximate a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen, a layer of a specimen, and/or a feature formed on the specimen subsequent to a chemical-mechanical polishing process. For example, a feature formed on the specimen may include a relatively wide metal line. Such a relatively wide metal line may include, for example, a test structure formed on the specimen. In this manner, one or more of the determined properties of the test structure may be correlated (experimentally or theoretically) to one or more properties of a feature such as a device structure formed on the specimen. In addition, at least a portion of the specimen may include an exposed dielectric layer. Alternatively, the system may be coupled to any other process tools as described herein.


[0533] An appropriate spectroscopic ellipsometer may include a broadband light source, which may include one or a combination of light sources such as a xenon arc lamp, a quartz-halogen lamp, or a deuterium lamp. The ellipsometer may have a relatively high angle of incidence. For example, the angle of incidence may range from approximately 40 degrees to approximately 80 degrees, to the normal to the surface of the specimen. The spectroscopic ellipsometer may include an array detector such as a silicon photodiode array or a CCD array, which may be back thinned.


[0534] It may also be advantageous for the spectroscopic ellipsometer to include one or more fiber optic elements. For example, a first fiber optic element may be configured to transmit light from the light source to a first polarizing element. For example, such a fiber may ensure that the light is randomly polarized or depolarized. The spectroscopic ellipsometer may also include a second fiber optic element configured to transmit light to a spectrometer from an analyzer assembly. In this manner, the fiber optic element may be configured to alter, or “scramble,” a polarization state of light from the analyzer assembly such that the signal may not need correction for the polarization sensitivity of the spectrometer. In addition, or alternatively, the second fiber optic element may be configured to alter the polarization state of the light such that the spectrometer may be conveniently located at some distance from the specimen. The fiber optic element may, preferably, be made of fused silica or sapphire such that the fiber optic element may be transmissive at ultraviolet wavelengths.


[0535] The first polarizer may include a linear polarizing element such as a Rochon prism or a Wollaston prism and, optionally, a retarder (i.e., a compensator). The analyzer assembly may include a linear polarizing element and, optionally, a retarder. At least one of the linear polarizing elements may rotate continuously when making measurements. For calibration, at least two elements will be rotated either continuously or in a series of discrete steps.


[0536] The spectroscopic ellipsometer may further include reflective or refractive optics (or combinations thereof) configured to focus the light to a small spot on the specimen and to collect the light from the specimen. Any refractive components may, preferably, be made from fused SiO2 or CaF2 for relatively good ultraviolet transmission. Any reflective components may, preferably, be coated with Al for relatively good broadband transmission. Typically, a thin overcoat of MgF2 or SiO2 may be formed over the Al to reduce, and even eliminate, oxidation of the Al. The reflective components may be spherical or aspherical. Diamond turning may be a convenient and well-known technique for making aspheric mirrors. For vacuum conditions such as conditions suitable for ultraviolet light having wavelengths in a range of less than about 190 nm, gold or platinum may be a suitable coating material. The spectroscopic ellipsometer may be further configured as described herein.


[0537] In an embodiment, a spectroscopic ellipsometer may be coupled to a lithography track. The lithography track may be configured as illustrated in FIG. 13 and as described herein. The spectroscopic ellipsometer may be configured as in any of the embodiments described herein. A processor may be coupled to the spectroscopic ellipsometer. The processor may be configured to determine at least one property of the specimen including, but not limited to, a critical dimension, a profile, a thickness or other thin film characteristics of the specimen, a layer formed on the specimen, and/or a feature formed on the specimen from one or more output signals generated by the spectroscopic ellipsometer. In addition, the spectroscopic ellipsometer may be coupled to the lithography track as described herein. For example, the spectroscopic ellipsometer may be coupled to a process chamber of the lithography track such that the spectroscopic ellipsometer may direct light toward and detect light returned from a specimen on a support device in the process chamber. In addition, the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen while the support device is spinning. Furthermore, the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen during a process being performed in the process chamber. The process may include, but is not limited to, a resist apply process, a post apply bake process, and a chill process.


[0538] Alternatively, the spectroscopic ellipsometer may be disposed within the lithography track. For example, the spectroscopic ellipsometer may be disposed above a chill chamber, in an integration system, or laterally proximate or vertically proximate to a process chamber of the lithography track. An integration system may be configured to couple a lithography track to an exposure tool. For example, the integration system may be configured to receive a specimen from the lithography track and to send the specimen to the exposure tool. In addition, the integration system may be configured to receive or remove a specimen from the exposure tool and to send the specimen to the lithography track. The integration system may also include one or more chill plates and a handling robot. In this manner, the system may be configured to determine at least one property of the specimen at various points in a lithography process such as prior to an exposure step, subsequent to the exposure step, and subsequent to a develop step of the process.


[0539] The spectroscopic ellipsometer may or may not be disposed within a measurement chamber as described above. For example, in an alternative embodiment, the spectroscopic ellipsometer may be coupled to a robotic wafer handler of the lithography track. In this manner, the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen prior to or subsequent to a process such prior to exposure, subsequent to exposure, or after develop. For example, subsequent to exposure, the spectroscopic ellipsometer may be configured to generate one or more output signals responsive to a critical dimension, a profile, a thickness or other thin film characteristics of a latent image formed on the specimen by the exposure process.


[0540] An environment within the track may be controlled by chemical filtration of atmospheric air or by feeding a supply of sufficiently pure gas. For example, the environment within the track may be controlled such that levels of chemical species including, but not limited to, ammonia and amine-group-containing compounds, water, carbon dioxide, and oxygen may be reduced. In addition, the environment within the track may be controlled by a controller computer such as controller computer 162, as illustrated in FIG. 14 coupled to the ISP system. The controller computer may be further configured to control additional environmental conditions within the track including, but not limited to, relative humidity, particulate count, and temperature.


[0541] The spectroscopic ellipsometer may be configured as described herein. For example, an appropriate spectroscopic ellipsometer may include a broadband light source, which may include one or a combination of light sources such as a xenon arc lamp, a quartz-halogen lamp, or a deuterium lamp. The ellipsometer may have a relatively high angle of incidence. For example, the angle of incidence may range from approximately 40 degrees to approximately 80 degrees, to the normal to the surface of the specimen. The spectroscopic ellipsometer may include an array detector such as a silicon photodiode array or a CCD array, which may be back thinned.


[0542] It may also be advantageous for the spectroscopic ellipsometer to include one or more fiber optic elements. For example, a first fiber optic element may be configured to transmit light from the light source to a first polarizing element. For example, such a fiber may ensure that the light is randomly polarized or depolarized. The spectroscopic ellipsometer may also include a second fiber optic element configured to transmit light to a spectrometer from an analyzer assembly. In this manner, the fiber optic element may be configured to alter, or “scramble,” a polarization state of light from the analyzer assembly such that the signal may not need correction for the polarization sensitivity of the spectrometer. In addition, or alternatively, the second fiber optic element may be configured to alter the polarization state of the light such that the spectrometer may be conveniently located at some distance from the specimen. The fiber optic element may, preferably, be made of fused silica or sapphire such that the fiber optic element may be transmissive at ultraviolet wavelengths.


[0543] The first polarizer may include a linear polarizing element such as a Rochon prism or a Wollaston prism and, optionally, a retarder (i.e., a compensator). The analyzer assembly may include a linear polarizing element and, optionally, a retarder. At least one of the linear polarizing elements may rotate continuously when making measurements. For calibration, at least two elements will be rotated either continuously or in a series of discrete steps.


[0544] The spectroscopic ellipsometer may further include reflective or refractive optics (or combinations thereof) configured to focus the light to a small spot on the specimen and to collect the light from the specimen. Any refractive components may, preferably, be made from fused SiO2 or CaF2 for relatively good ultraviolet transmission. Any reflective components may, preferably, be coated with Al for relatively good broadband transmission. Typically, a thin overcoat of MgF2 or SiO2 may be formed over the Al to reduce, and even eliminate, oxidation of the Al. The reflective components may be spherical or aspherical. Diamond turning may be a convenient and well-known technique for making aspheric mirrors. For vacuum conditions such as conditions suitable for ultraviolet light having wavelengths in a range of less than about 190 nm, gold or platinum may be a suitable coating material. The spectroscopic ellipsometer may be further configured as described herein.


[0545] In addition, the processor may be configured to compare one or more output signals from the spectroscopic ellipsometer with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics and, possibly, interpolated data between the expected output signals versus wavelength. Alternatively, the processor may be configured to perform an iteration using one or more starting guesses through (possibly approximate) equations to converge to a good fit for one or more output signals from the spectroscopic ellipsometer. Suitable equations may include, but are not limited to, any non-linear regression algorithm known in the art.


[0546] Alternatively, the spectroscopic ellipsometer may be configured to image approximately all, or an area of, a specimen onto a one-dimensional or two-dimensional detector. In this manner, multiple locations on the specimen may be measured substantially simultaneously. In addition, the spectroscopic ellipsometer may be configured to measure multiple wavelengths by sequentially changing wavelength with filters, a monochromator, or by dispersing the light. For example, the light may be dispersed with a prism or grating in one dimension on a two-dimensional detector while one dimension of the specimen is being imaged in the other dimension.


[0547] In an embodiment, a system may be configured to determine at least two properties of a specimen including a thickness of the specimen and/or a layer formed on the specimen, a feature formed on the specimen and an additional property such as a lattice constant, residual stress, average grain size, crystallinity, crystal defects, an index of refraction, a velocity of sound, a density, and a critical dimension, which may include a profile, of a layer or a feature formed upon the specimen. The specimen may include a single layer or multiple layers formed upon the specimen. In addition, the single layer or multiple layers formed on the specimen may include, but are not limited to, any combination of transparent, semi-transparent, and opaque metal films. The specimen may also be a blanket wafer or a patterned wafer.


[0548] The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device and configured to determine at least a thickness of the specimen and/or a layer on the specimen and an additional property of a layer on the specimen and/or a feature formed on the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include, but is not limited to, a grazing X-ray reflectometer, an X-ray reflectometer such as a grating X-ray reflectometer, and/or an X-ray diffractometer. The measurement device may also include a pattern recognition system that may be used in conjunction with the above devices.


[0549] An X-ray reflectometer may be configured to perform an X-ray reflectance technique as described herein.


[0550] An X-ray diffractometer may be configured to perform X-ray diffraction. X-ray diffraction involves coherent scattering of x-rays by polycrystalline materials. The x-rays are scattered by each set of lattice planes at a characteristic angle, and the scattered intensity is a function of the atoms which occupy those planes. X-ray diffraction peaks may be produced by constructive interference of a monochromatic beam scattered from each set of lattice planes at specific angles. The peak intensities are determined by atomic arrangement within the lattice planes. In this manner, the scattering from all the different sets of planes results in a pattern, which is unique to a given compound. In addition, distortions in the lattice planes due to stress, solid solution, or other effects may be measure. The scattered x-rays may be detected and one or more output signals responsive to the intensity of the scattered x-rays may be generated. The one or more output signals may be used to obtain one or more properties of a layer on a specimen or a specimen. An advantage of X-ray diffraction is that is a substantially non-destructive technique. Commercially available X-ray diffractometers are available from, for example, Siemens, Madison, Wisconsin and Rigaku USA, Inc., The Woodlands, Tex.


[0551] In an embodiment, an X-ray diffractometer may be coupled to a process tool configured to grow an epitaxial layer of silicon on a specimen such as a wafer. Epitaxy is a process in which a relatively thin crystalline layer is grown on a crystalline substrate. An epitaxial layer of silicon, which may be commonly referred to as “epitaxy” or “epi,” may be a layer of extremely pure silicon or silicon-germanium formed on a silicon containing substrate. The layer may be grown to form a substantially uniform crystalline structure on the wafer. In epitaxial growth, the substrate acts as a seed crystal, and the epitaxial film duplicates the structure (orientation) of the crystal. Epitaxial techniques include, but are not limited to, vapor-phase epitaxy, liquid-phase epitaxy, solid-phase epitaxy, and molecular beam epitaxy. A thickness of the epitaxial layer during an epitaxy process (i.e., a growth rate) may vary over time depending upon, for example, chemical source, deposition temperature, and mole fraction of the reactants. Examples of appropriate chemical sources include, but are not limited to, silicon tetrachloride (“SiCl4”), trichlorosilane (“SiHCl3”), dichlorosilane (“SiH2Cl2”), and silane (“SiH4”). Examples of appropriate temperatures for an epitaxy process may range from about 950° C. to about 1250° C. An appropriate temperature may be higher or lower, however, depending upon, for example, the chemical source used for the epitaxy process. Such process tools are commercially available from Applied Materials, Inc., Santa Clara, Calif. The X-ray diffractometer may be configured as described above.


[0552] The X-ray diffractometer may be coupled to the process tool according to any of the embodiments described herein. For example, an X-ray diffractometer may be coupled to a process chamber of the epitaxial process tool or may be disposed proximate to the process chamber in a measurement chamber. In addition, a processor may be coupled to the X-ray diffractometer and the process tool. The processor may be further configured as described above.


[0553] In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, elements of a first measurement device, for example, may also be elements of a second measurement device. In addition, it may be advantageous for additional elements such a handling robots, stages, processor, and power supplies of a first measurement device to be used by a second measurement device. The measurement device may also include an autofocus mechanism that may be configured to bring a specimen substantially into focus (i.e., to approximately a correct height) for a first measurement device, and then for a second measurement device. The system, the measurement device, the autofocus mechanism, and the processor may be further configured as described herein.


[0554] In addition, such a system may be coupled to a process tool including, but not limited to, a chemical-mechanical polishing tool, a deposition tool such as a physical vapor deposition tool, a plating tool, and an etch tool. The system may be coupled to the process tool as described herein. Furthermore, the system may be coupled to or disposed proximate to a process tool such that the system may determine at least two properties of a specimen, a layer of a specimen, and/or a feature formed on the specimen prior to, during, or subsequent to a process.


[0555] In an embodiment, a system may be configured to determine at least two properties of a specimen including an electrical property such as a capacitance, a dielectric constant, and a resistivity of the specimen and/or a layer on the specimen and a thin film characteristic of the specimen and/or a layer on the specimen. The thin film characteristic may include any of the characteristics as described herein. The specimen may include a wafer or a dielectric material disposed upon a wafer or another substrate. Examples of appropriate dielectric materials include, but are not limited to, gate dielectric materials and low-k dielectric materials. Typically, low-k dielectric materials include materials having a dielectric constant less than about 3.8, and high-k materials include materials having a dielectric constant greater than about 4.5.


[0556] The system may be configured as described herein. For example, the system may include a processor coupled to a first measurement device and a second measurement device and configured to determine at least a thin film characteristic of the specimen and/or a layer on the specimen from one or more output signals of the first measurement device and an electrical property of the specimen and/or a layer on the specimen from an output signal of the second measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. For example, the processor may also be used to determine additional properties of the specimen including, but not limited to, a characteristic of metal contamination on the specimen. In an embodiment, the first measurement device may include, but is not limited to, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer and a system configured to measure an electrical property of the specimen. The system, the first measurement device, and the processor may be further configured as described herein.


[0557] Such a system may be coupled to a process tool such as a deposition tool including, but not limited to, a chemical vapor deposition tool, an atomic layer deposition tool and a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool such as a furnace, a cleaning tool, and an ion implanter, as described herein. Such a system may also be coupled to an etch tool. In this manner, at least the two properties may be used to determine an amount of plasma damage caused to the specimen and/or a layer on the specimen during an etch process performed by the etch tool. For example, plasma damage may include, but is not limited to, roughness and pitting of a specimen or a layer on a specimen generated during an etch process.


[0558] The second measurement device may be configured to measure an electrical property of the specimen as illustrated, for example, in U.S. patent application entitled “A Method Of Detecting Metal Contamination On A Semiconductor Wafer,” by Xu et al., filed May 10, 2001, which is incorporated by reference as if fully set forth herein. For example, a specimen may be placed into a wafer cassette, which may be loaded into the system. The system may include a robotic handler, which may be configured as described herein. The system may also include a pre-aligner that may be configured to alter a position of a specimen. For example, a pre-aligner may be configured to alter a position of the specimens such the orientation of each specimen may be substantially the same during processing. Alternatively, the pre-aligner may be configured to detect an alignment mark formed on a specimen and to alter a position of the specimen such that a position of the alignment mark may be substantially the same as a predetermined position.


[0559] In an embodiment, the second measurement device may also include an oven that may be used to anneal a specimen. The oven may be configured to heat the specimen to a temperature, for example, of less than approximately 1100° C. The oven may also be configured to drive the metal contamination into a dielectric material of the specimen or into a semiconductor substrate of the specimen. The second measurement device may also include a cooling device configured to reduce a temperature of the specimen subsequent to the annealing process. The cooling device may include any such device known in the art such as a chill plate.


[0560] In an embodiment, the second measurement device may include a device configured to deposit a charge on an upper surface of the specimen. The device may include, for example, a non-contact corona charging device such as a needle corona source or a wire corona source. Additional examples of non-contact corona charging devices are illustrated in U.S. Pat. No. 4,599,558 to Castellano et al., U.S. Pat. No. 5,594,247 to Verkuil et al., U.S. Pat. No. 5,644,223 to Verkuil, and U.S. Pat. No. 6,191,605 to Miller et al., which are incorporated by reference as if fully set forth herein. The deposited charge may be positive or negative depending on the parameters of the device used to deposit the charge. The device may be used to deposit a charge on predetermined regions of the specimen or on randomly determined regions of the specimen. In addition, the device may also be used to deposit a charge on a portion of the specimen or on substantially the entire specimen.


[0561] In an embodiment, the second measurement device may also include a sensor configured to measure at least one electrical property of the charged upper surface of the specimen. The sensor may be configured to operate as a non-contact work function sensor or a surface photo-voltage sensor. The non-contact work function sensor may include, e.g., a Kelvin probe sensor or a Monroe sensor. Additional examples of work function sensors, which may be incorporated into the system, are illustrated in U.S. Pat. No. 4,812,756 to Curtis et al., U.S. Pat. No. 5,485,091 to Verkuil, U.S. Pat. No. 5,650,731 to Fung, and U.S. Pat. No. 5,767,693 to Verkuil and are incorporated by reference as if fully set forth herein. The sensor may be used to measure electrical properties, which may include, but are not limited to, a tunneling voltage, a surface voltage, and a surface voltage as a function of time. The second measurement device may also include an illumination system that may be configured to direct a pulse of light toward the specimen and that may be used to generate a surface photo-voltage of the specimen. As such, an electrical property that may be measured by the sensor may also include a surface photo-voltage of the specimen. The system may further include a movable chuck configured to alter a position of the specimen under the device, under the illumination system, and under the sensor. As such, the second measurement device may be used to measure an electrical property of the specimen as a function of time and position of the specimen.


[0562] In an additional embodiment, the system may also include a processor that may be configured as described herein and may be used to monitor and control operation of the oven to heat the specimen to an anneal temperature. The processor may also be configured to monitor and control the operation of the device to deposit a charge on an upper surface of the specimen. Additionally, the processor may be further configured to monitor and control the operation of the sensor to measure an electrical property of the specimen. The measured electrical property may include a surface voltage of a dielectric material formed on the specimen, which may be measured as a function of time. The second measurement device may be configured to generate one or more output signals responsive to the measured electrical property. The processor may be configured to use one or more output signals from the second measurement device to determine at least one property of the specimen such as a resistivity of the dielectric material. The resistivity of the dielectric material may be determined by using the following equation:


ρdielectric=−V/[(dV/dt)·ε·ε0],


[0563] where ρdielectric is the resistivity of the dielectric material, V is the measured surface voltage of the dielectric material, t is the decay time, ε is the dielectric constant of the dielectric material, and ε0 is the vacuum permittivity. A characteristic of metal contamination in the dielectric material may also be a function of the resistivity of the dielectric material.


[0564] Furthermore, the processor may be used to determine a characteristic of the metal contamination in the specimen. The characteristic of the metal contamination in the specimen may be determined as a function of the measured electrical property. In addition, the processor may also be configured to monitor and control an additional device of the operating system including, but not limited to, a robotic wafer handler, a pre-aligner, a wafer chuck, and/or an illumination system.


[0565] In an embodiment, each of the systems described above may be coupled to an secondary electron spectroscopy device. Such a system may be configured to determine material composition of a specimen by analyzing secondary electron emission from the specimen. An example of such a device is illustrated in PCT Application No. WO 00/70646 to Shachal et al., and is incorporated by reference as if fully set forth herein.


[0566] In an additional embodiment, more than one system described herein may be coupled to a semiconductor fabrication process tool. Each of the systems may be configured to determine at least two properties of a specimen during use. Furthermore, each of the systems may be configured to determine at least two substantially similar properties or at least two different properties. In this manner, properties of a plurality of specimens may be determined substantially simultaneously and at multiple points throughout a semiconductor fabrication process.


[0567] In a further embodiment, each of the systems described herein may be coupled to a stand alone metrology and/or inspection system. For example, each of the systems described herein may be coupled to a stand alone metrology and/or inspection system such that signals such as analog or digital signals may be sent between the coupled systems. Each of the systems may be configured as a single tool or a cluster tool that may or may not be coupled to a process tool such as a semiconductor fabrication process tool. The stand alone metrology and/or inspection system may be configured such that the stand alone system may be calibrated with a calibration standard. An appropriate calibration standard may include any calibration standard known in the art. The stand alone metrology and/or inspection system may be configured to calibrate the system coupled to the stand alone system.


[0568] In addition, the stand alone metrology and/or inspection system may be coupled to a plurality of systems as described herein. In this manner, the stand alone metrology and/or inspection system may be configured to calibrate the plurality of systems coupled to the stand alone system. For example, a plurality of systems may include single tools and/or cluster tools incorporated within the same manufacturing and/or research and development facility. Each of the plurality of systems may be configured to determine the same at least two characteristics of a specimen. In addition, each of the plurality of systems may be configured to determine at least two characteristics of substantially the same type of specimen such as specimens upon which a substantially similar type of semiconductor device may be formed. For example, each of the plurality of systems may be incorporated into the same type of product line in a manufacturing facility.


[0569] In addition, the stand alone metrology and/or inspection system may be configured to calibrate each of the plurality of systems using the same calibration standard. As such, a plurality of metrology and/or inspection systems in a manufacturing and/or research and development facility may be calibrated using the same calibration standard. In addition, the stand alone metrology and/or inspection system may be configured to generate a set of data. The set of data may include output signals from a measurement device of a system and characteristics of a specimen determined by a processor of the system using the output signals. The set of data may also include output signals and determined characteristics corresponding to the output signals that may be generated by using a plurality of systems as described herein. Therefore, the set of data may be used to calibrate and/or monitor the performance of a plurality of systems.


[0570] In an additional embodiment, each of the systems, as described herein, may be coupled to a cleaning tool. A cleaning tool may include any tool configured to remove unwanted material from a wafer such as a dry cleaning tool, a wet cleaning tool, a laser cleaning tool, and/or a shock wave cleaning tool. A dry cleaning tool may include a dry etch tool, which may be configured to expose a specimen to a plasma. For example, resist may be stripped from a specimen using an oxygen plasma in a plasma etch tool. An appropriate plasma may vary depending upon, for example, the type of material to be stripped from a specimen. The plasma etch tool may be further configured as described herein. Dry cleaning tools are commercially available from, for example, Applied Materials, Inc., Santa Clara, Calif. A wet cleaning tool may be configured to submerge a specimen in a chemical solution, which may include, but is not limited to, a sulfuric-acid mixture or a hydrofluoric acid mixture. Subsequent to exposure to the chemical solution, the specimen may be rinsed with de-ionized water and dried. Wet cleaning tools are commercially available from, for example, FSI International, Inc., Chaska, Minn. An example of a laser cleaning tool is illustrated in “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein. An example of a shock wave cleaning tool is illustrated in U.S. Pat. No. 5,023,424 to Vaught, which is incorporated by reference as if fully set forth herein.


[0571] In a further embodiment, each of the systems, as described herein, may be coupled to a thermal tool such as a tool configured for rapid thermal processing (“RTP”) of a wafer. A rapid thermal processing tool may be configured to subject a specimen to a relatively brief, yet highly controlled thermal cycle. For example, the RTP tool may be configured to heat a specimen to over approximately 1000° C. in under approximately 10 seconds. RTP may be used mainly for modifying properties of a specimen or a film formed on a specimen formed by other processes. For example, RTP may be commonly used for annealing, which may activate and control the movement of atoms in a specimen after implanting. Another common use is for silicidation, which may form silicon-containing compounds with metals such as tungsten or titanium. A third type of RTP application is oxidation, which may involve growing oxide on a specimen such as a silicon wafer. RTP tools are commercially available from, for example, Applied Materials, Inc., Santa Clara, Calif.


[0572] In an embodiment, each of the processors described above including a local processor, a remote controller computer, or a remote controller computer coupled to a local processor may be configured to perform a computer integrated manufacturing technique as illustrated in European Patent Application EP 1 072 967 A2 to Arackaparambil et al., which is incorporated by reference as if fully set forth herein.


[0573] In a further embodiment, each of the processors as described herein may be configured to automatically generate a schedule for wafer processing within a multichamber semiconductor wafer processing tool as illustrated in U.S. Pat. No. 6,201,999 to Jevtic, U.S. Pat. No. 6,224,638 to Jevtic, and PCT Application No. WO 98/57358 to Jevtic, which are incorporated by reference as if fully set forth herein. In addition, each of the systems as described herein may include a multiple blade wafer handler. A processor as described herein may be configured to control the multiple blade wafer handler. Each of the processors as described herein may be configured to assign a priority value to process chambers and/or measurement chambers of a cluster tool such as a process tool or a measurement and/or inspection system. One or more measurement chambers may be coupled to a process tool according to any of the embodiments as described herein. Each of the processors as described herein may also be configured to assign a priority to measurement chambers of a cluster tool such as a metrology and/or inspection system.


[0574] The processor may be configured to control the multiple blade wafer handler such that the multiple blade wafer handler may be configured to move a specimen from chamber to chamber according to the assigned priorities. The processor may also be configured to determine an amount of time available before a priority move is to be performed. If the determined amount of time is sufficient before a priority move is to be performed, the processor may control the multiple blade wafer handler to perform a non-priority move while waiting. For example, if the determined amount of time is sufficient before a process step is to be performed on a specimen, then the multiple blade wafer handler may move the specimen to a measurement chamber. In this manner, a system as described herein may be configured to determine at least two properties of a specimen while the specimen is waiting between process steps. The processor may also be configured to dynamically vary assigned priorities depending upon, for example, the availability of process and/or measurement chambers. Furthermore, the processor may assign priorities to the process and/or measurement chambers based upon, for example, a time required for a wafer handler to move the wafer in a particular sequence.


[0575] In addition, each of the processors as described herein may be configured to use “options,” which may correspond to optional components of a process tool, and which may be selected by a user according to the optional components that the user desires to have as part of the process tool as illustrated in U.S. Pat. No. 6,199,157 to Dov et al., which is incorporated by reference as if fully set forth herein.


[0576] A process tool as described herein may also include multiple chill process chambers or a multi-slot chill process chamber. Such multiple or multi-slot chill process chambers allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. In addition, each of the processors as described herein may be configured to assign a priority level to each wafer in a processing sequence depending on its processing stage, and this priority level may be used to sequence the movement of wafers between chambers as illustrated in U.S. Pat. No. 6,201,998 to Lin et al., which is incorporated by reference as if fully set forth herein. In this manner, a system as described herein may increase an efficiency at which wafers are transferred among different processing chambers in a wafer processing facility.


[0577] In a further embodiment, each of the processors, as described herein, may be configured to determine at least a roughness of a specimen, a layer on a specimen, and/or a feature of a specimen. For example, a processor may be configured to determine a roughness from one or more output signals of a measurement device using mathematical modeling. For example, the one or more output signals may be generated by a measurement device such as a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, and a non-imaging Linnik microscope. Appropriate mathematical models may include any mathematical models known in the art such as mathematical models that may be used to determine a critical dimension of a feature. The mathematical models may be configured to process data of multiple wavelengths or data of a single wavelength.


[0578] A system, including such a processor, may be coupled to a process tool such as a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool. For example, a develop process step in a lithography process may cause a significant amount of roughness to a patterned resist. In addition, a layer of material formed by atomic layer deposition may have a significant amount of roughness, particularly on sidewalls of features on a specimen. Furthermore, wet cleaning tools may tend to etch a specimen, a layer on a specimen, and/or features on a specimen, which may cause roughness on the specimen, the layer, and/or the features, respectively. The system may also be coupled to any process tool configured to perform a process that may cause roughness on a surface of a specimen. The system may be coupled to the process tool according to any of the embodiments described herein. For example, a measurement device of such a system may be coupled to a process chamber of a process tool such that the system may determine at least a roughness of a specimen, a layer on a specimen, and/or a feature on a specimen prior to and subsequent to a process. For example, the measurement device may be coupled to a process tool such that a robotic wafer handler may move below or above the measurement device. The system may be further configured as described herein.


[0579] The following references, to the extent that they provide exemplary procedural or other information or details supplementary to those set forth herein, are specifically incorporated herein by reference: U.S. patent application Ser. No. 09/310,017 filed on May 11, 1999, Ser. No. 09/396,143 filed on Sep. 15, 1999, Ser. No. 09/556,238 filed on Apr. 24, 2000, and Ser. No. 09/695,726 filed on Oct. 23, 2000.


[0580] Further modifications and alternative embodiments of various aspects of the invention may be apparent to those skilled in the art in view of this description. For example, the system may also include a stage configured to tilt in a number of angles and directions with respect to a measurement device. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims.


Claims
  • 1. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 2. The system of claim 1, wherein the stage is further configured to move laterally during use.
  • 3. The system of claim 1, wherein the stage is further configured to move rotatably during use.
  • 4. The system of claim 1, wherein the stage is further configured to move laterally and rotatably during use.
  • 5. The system of claim 1, wherein the illumination system comprises a single energy source.
  • 6. The system of claim 1, wherein the illumination system comprises more than one energy sources.
  • 7. The system of claim 1, wherein the detection system comprises a single energy sensitive device.
  • 8. The system of claim 1, wherein the detection system comprises more than one energy sensitive devices.
  • 9. The system of claim 1, wherein the measurement device further comprises a non-imaging scatterometer.
  • 10. The system of claim 1, wherein the measurement device further comprises a scatterometer.
  • 11. The system of claim 1, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 12. The system of claim 1, wherein the measurement device further comprises a reflectometer.
  • 13. The system of claim 1, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 14. The system of claim 1, wherein the measurement device further comprises an ellipsometer.
  • 15. The system of claim 1, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 16. The system of claim 1, wherein the measurement device further comprises a bright field imaging device.
  • 17. The system of claim 1, wherein the measurement device further comprises a dark field imaging device.
  • 18. The system of claim 1, wherein the measurement device further comprises a bright field and a dark field imaging device.
  • 19. The system of claim 1, wherein the measurement device further comprises a bright field non-imaging device.
  • 20. The system of claim 1, wherein the measurement device further comprises a dark field non-imaging device.
  • 21. The system of claim 1, wherein the measurement device further comprises a bright field and a dark field non-imaging device.
  • 22. The system of claim 1, wherein the measurement device further comprises a coherence probe microscope.
  • 23. The system of claim 1, wherein the measurement device further comprises an interference microscope.
  • 24. The system of claim 1, wherein the measurement device further comprises an optical profilometer.
  • 25. The system of claim 1, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and a dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and a dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 26. The system of claim 1, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 27. The system of claim 1, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property comprises a presence of defects on the specimen.
  • 28. The system of claim 27, wherein the defects comprise micro defects and macro defects.
  • 29. The system of claim 27, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
  • 30. The system of claim 29, wherein the defects comprise macro defects.
  • 31. The system of claim 1, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property comprises a flatness measurement of the specimen.
  • 32. The system of claim 1, wherein the processor is further configured to determine a third property and a fourth property of the specimen from the one or more output signals during use, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
  • 33. The system of claim 1, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 34. The system of claim 33, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 35. The system of claim 1, wherein the system is further configured to determine at least two properties of the specimen simultaneously during use.
  • 36. The system of claim 1, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 37. The system of claim 1, wherein the system is coupled to a process tool.
  • 38. The system of claim 1, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 39. The system of claim 1, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 40. The system of claim 1, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 41. The system of claim 1, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 42. The system of claim 1, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 43. The system of claim 1, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 44. The system of claim 1, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 45. The system of claim 1, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 46. The system of claim 1, wherein the system is coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 47. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 48. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 49. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 50. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 51. The system of claim 1, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 52. The system of claim 51, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 53. The system of claim 51, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 54. The system of claim 51, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
  • 55. The system of claim 1, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 56. The system of claim 1, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the processor is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 57. The system of claim 1, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, wherein the processor is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber, and wherein the process tool comprises a lithography tool.
  • 58. The system of claim 57, wherein the first process chamber is configured to chill the specimen during use, and wherein the second process chamber is configured to apply resist to the specimen during use.
  • 59. The system of claim 57, wherein the first process chamber is configured to chill the specimen subsequent to a post apply bake process step during use, and wherein the second process chamber is configured to expose the specimen during use.
  • 60. The system of claim 57, wherein the first process chamber is configured to expose the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to exposure of the specimen during use.
  • 61. The system of claim 57, wherein the first process chamber is configured to chill the specimen subsequent to a post exposure bake process step during use, and wherein the second process chamber is configured to develop the specimen during use.
  • 62. The system of claim 57, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to a develop process step during use.
  • 63. The system of claim 57, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to receive the specimen in a wafer cassette during use.
  • 64. The system of claim 1, wherein the processor is further configured to compare the determined properties of the specimen and properties of a plurality of specimens during use.
  • 65. The system of claim 1, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property.
  • 66. The system of claim 1, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property, and wherein the processor is further configured to generate an output signal if the determined property of the specimen is outside of the predetermined range during use.
  • 67. The system of claim 1, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
  • 68. The system of claim 1, wherein the processor is further configured to alter a parameter of an instrument coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
  • 69. The system of claim 1, wherein the processor is further configured to alter a parameter of an instrument coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
  • 70. The system of claim 1, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
  • 71. The system of claim 70, wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 72. The system of claim 70, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 73. The system of claim 70, wherein the database further comprises first and second properties of a plurality of specimens.
  • 74. The system of claim 73, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
  • 75. The system of claim 73, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 76. The system of claim 75, wherein the processor is further coupled to the plurality of measurement devices.
  • 77. The system of claim 76, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 78. The system of claim 76, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 79. The system of claim 1, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 80. The system of claim 1, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 81. The system of claim 1, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 82. The system of claim 1, wherein the processor is further coupled to a process tool.
  • 83. The system of claim 82, wherein the process tool comprises a lithography tool.
  • 84. The system of claim 82, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
  • 85. The system of claim 82, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
  • 86. The system of claim 82, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 87. The system of claim 86, wherein the processor is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
  • 88. The system of claim 87, wherein the processor is further configured to alter the parameter of the one or more instruments in response to the determined relationship during use.
  • 89. The system of claim 1, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 90. The system of claim 1, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 91. The system of claim 90, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 92. The system of claim 90, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 93. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 94. The method of claim 93, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 95. The method of claim 93, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 96. The method of claim 93, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 97. The method of claim 93, wherein the illumination system comprises a single energy source.
  • 98. The method of claim 93, wherein the illumination system comprises more than one energy source.
  • 99. The method of claim 93, wherein the detection system comprises a single energy sensitive device.
  • 100. The method of claim 93, wherein the detection system comprises more than one energy sensitive devices.
  • 101. The method of claim 93, wherein the measurement device further comprises a non-imaging scatterometer.
  • 102. The method of claim 93, wherein the measurement device further comprises a scatterometer.
  • 103. The method of claim 93, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 104. The method of claim 93, wherein the measurement device further comprises a reflectometer.
  • 105. The method of claim 93, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 106. The method of claim 93, wherein the measurement device further comprises an ellipsometer.
  • 107. The method of claim 93, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 108. The method of claim 93, wherein the measurement device further comprises a bright field imaging device.
  • 109. The method of claim 93, wherein the measurement device further comprises a dark field imaging device.
  • 110. The method of claim 93, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 111. The method of claim 93, wherein the measurement device further comprises a bright field non-imaging device.
  • 112. The method of claim 93, wherein the measurement device further comprises a dark field non-imaging device.
  • 113. The method of claim 93, wherein the measurement device further comprises a bright field and dark field non-imaging device
  • 114. The method of claim 93, wherein the measurement device further comprises a coherence probe microscope.
  • 115. The method of claim 93, wherein the measurement device further comprises an interference microscope.
  • 116. The method of claim 93, wherein the measurement device further comprises an optical profilometer.
  • 117. The method of claim 93, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 118. The method of claim 93, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 119. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
  • 120. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the defects comprise micro defects and macro defects.
  • 121. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen, the method further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the third property further comprises-a presence of defects on the bottom surface of the specimen.
  • 122. The method of claim 121, wherein the defects comprise macro defects.
  • 123. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
  • 124. The method of claim 93, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
  • 125. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 126. The method of claim 125, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 127. The method of claim 93, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 128. The method of claim 93, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 129. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool.
  • 130. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 131. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 132. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 133. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 134. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 135. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 136. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 137. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 138. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 139. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 140. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 141. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 142. The method of claim 93, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 143. The method of claim 142, further comprising performing said directing and said detecting during the process step.
  • 144. The method of claim 143, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 145. The method of claim 143, further comprising altering a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
  • 146. The method of claim 93, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 147. The method of claim 146, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 148. The method of claim 146, wherein the process tool comprises a lithography tool.
  • 149. The method of claim 148, further comprising: chilling the specimen in the first process chamber; and applying resist to the specimen in the second process chamber.
  • 150. The method of claim 148, further comprising: chilling the specimen in the first process chamber subsequent to a post apply bake process step; and exposing the specimen in the second process chamber.
  • 151. The method of claim 148, further comprising: exposing the specimen in the first process chamber; and baking the specimen subsequent to exposure of the specimen in the second process chamber.
  • 152. The method of claim 148, further comprising: chilling the specimen in the first process chamber subsequent to a post exposure bake process step; and developing the specimen in the second process chamber.
  • 153. The method of claim 148, further comprising: developing the specimen in the first process chamber; and baking the specimen in the second process chamber subsequent to a develop process step.
  • 154. The method of claim 148, further comprising: developing the specimen in the first process chamber; and receiving the specimen in a wafer cassette in the second process chamber.
  • 155. The method of claim 93, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 156. The method of claim 93, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 157. The method of claim 93, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property and generating an output signal if the determined property of the specimen is outside of the predetermined range.
  • 158. The method of claim 93, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
  • 159. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
  • 160. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
  • 161. The method of claim 93, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 162. The method of claim 161, further comprising calibrating the measurement device using the database.
  • 163. The method of claim 161, further comprising monitoring output signals generated by the measurement device using the database.
  • 164. The method of claim 161, wherein the database further comprises first and second properties of a plurality of specimens.
  • 165. The method of claim 164, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 166. The method of claim 165, further comprising calibrating the plurality of measurement devices using the database.
  • 167. The method of claim 165, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 168. The method of claim 93, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 169. The method of claim 93, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 170. The method of claim 93, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 171. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen.
  • 172. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
  • 173. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
  • 174. The method of claim 93, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 175. The method of claim 93, further comprising monitoring a parameter of one or more instruments coupled to a process tool and determining a relationship between the determined properties and at least one of the monitored parameters.
  • 176. The method of claim 93, further comprising monitoring a parameter of one or more instruments coupled to a process tool, determining a relationship between the determined properties and at least one of the monitored parameters, and altering the parameter of the one or more instruments in response to the relationship.
  • 177. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the determined first or second property of the specimen.
  • 178. The method of claim 93, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 179. The method of claim 178, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 180. The method of claim 178, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 181. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 182. The method of claim 181, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 183. The method of claim 181, further comprising controlling the stage to move laterally during said directing energy and said detecting energy.
  • 184. The method of claim 181, further comprising controlling the stage to move rotatably during said directing energy and said detecting energy.
  • 185. The method of claim 181, further comprising controlling the stage to move laterally and rotatably during said directing energy and said detecting energy.
  • 186. The method of claim 181, wherein the illumination system comprises a single energy source.
  • 187. The method of claim 181, wherein the illumination system comprises more than one energy source.
  • 188. The method of claim 181, wherein the detection system comprises a single energy sensitive device.
  • 189. The method of claim 181, wherein the detection system comprises more than one energy sensitive devices.
  • 190. The method of claim 181, wherein the measurement device further comprises a non-imaging scatterometer.
  • 191. The method of claim 181, wherein the measurement device further comprises a scatterometer.
  • 192. The method of claim 181, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 193. The method of claim 181, wherein the measurement device further comprises a reflectometer.
  • 194. The method of claim 181, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 195. The method of claim 181, wherein the measurement device further comprises an ellipsometer.
  • 196. The method of claim 181, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 197. The method of claim 181, wherein the measurement device further comprises a bright field imaging device.
  • 198. The method of claim 181, wherein the measurement device further comprises a dark field imaging device.
  • 199. The method of claim 181, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 200. The method of claim 181, wherein the measurement device further comprises a bright field non-imaging device.
  • 201. The method of claim 181, wherein the measurement device further comprises a dark field non-imaging device.
  • 202. The method of claim 181, wherein the measurement device further comprises a bright field and dark field non-imaging device.
  • 203. The method of claim 181, wherein the measurement device further comprises a coherence probe microscope.
  • 204. The method of claim 181, wherein the measurement device further comprises an interference microscope.
  • 205. The method of claim 181, wherein the measurement device further comprises an optical profilometer.
  • 206. The method of claim 181, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 207. The method of claim 181, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 208. The method of claim 181, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
  • 209. The method of claim 208, wherein the defects comprise micro defects and macro defects.
  • 210. The method of claim 208, further comprising: controlling the illumination system to direct energy toward a bottom surface of the specimen; and controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
  • 211. The method of claim 210, wherein the defects comprise macro defects.
  • 212. The method of claim 181, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
  • 213. The method of claim 181, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
  • 214. The method of claim 181, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 215. The method of claim 214, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 216. The method of claim 181, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 217. The method of claim 181, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 218. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool.
  • 219. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 220. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 221. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 222. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 223. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 224. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 225. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 226. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 227. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 228. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 229. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 230. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 231. The method of claim 181, wherein the stage comprises a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 232. The method of claim 231, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 233. The method of claim 231, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 234. The method of claim 231, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
  • 235. The method of claim 181, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 236. The method of claim 235, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 237. The method of claim 235, wherein the process tool comprises a lithography tool.
  • 238. The method of claim 237, further comprising: chilling the specimen in the first process chamber; and applying resist to the specimen in the second process chamber.
  • 239. The method of claim 237, further comprising: chilling the specimen in the first process chamber subsequent to a post apply bake process step; and exposing the specimen in the second process chamber.
  • 240. The method of claim 237, further comprising: exposing the specimen in the first process chamber; and baking the specimen subsequent to exposure of the specimen in the second process chamber.
  • 241. The method of claim 237, further comprising: chilling the specimen in the first process chamber subsequent to a post exposure bake process step; and developing the specimen in the second process chamber.
  • 242. The method of claim 237, further comprising: developing the specimen in the first process chamber; and baking the specimen in the second process chamber subsequent to a develop process step.
  • 243. The method of claim 237, further comprising: developing the specimen in the first process chamber; and receiving the specimen in a wafer cassette in the second process chamber.
  • 244. The method of claim 181, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 245. The method of claim 181, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 246. The method of claim 245, further comprising generating an output signal if the determined property of the specimen is outside of the predetermined range.
  • 247. The method of claim 181, further comprising altering a sampling frequency of the measurement device in response to the determined first or second properties of the specimen.
  • 248. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
  • 249. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
  • 250. The method of claim 181, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 251. The method of claim 250, further comprising calibrating the measurement device using the database.
  • 252. The method of claim 250, further comprising monitoring output signals of the measurement device using the database.
  • 253. The method of claim 250, wherein the database further comprises first and second properties of a plurality of specimens.
  • 254. The method of claim 253, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 255. The method of claim 254, further comprising calibrating the plurality of measurement devices using the database.
  • 256. The method of claim 254, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 257. The method of claim 181, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 258. The method of claim 181, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 259. The method of claim 181, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 260. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen.
  • 261. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
  • 262. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
  • 263. The method of claim 181, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 264. The method of claim 181, further comprising monitoring a parameter of one or more instruments coupled to the process tool and determining a relationship between the determined properties and at least one of the monitored parameters.
  • 265. The method of claim 181, further comprising monitoring a parameter of one or more instruments coupled to the process tool, determining a relationship between the determined properties and at least one of the monitored parameters, and altering the parameter of at least one of the instruments in response to the relationship.
  • 266. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the determined first or second property of the specimen.
  • 267. The method of claim 181, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 268. The method of claim 267, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 269. The method of claim 267, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 270. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the portion of the semiconductor device, wherein the first property comprises a critical dimension of the portion of the semiconductor device, and wherein the second property comprises overlay misregistration of the portion of the semiconductor device.
  • 271. The device of claim 270, wherein the illumination system comprises a single energy source.
  • 272. The device of claim 270, wherein the illumination system comprises more than one energy source.
  • 273. The device of claim 270, wherein the detection system comprises a single energy sensitive device.
  • 274. The device of claim 270, wherein the detection system comprises more than one energy sensitive devices.
  • 275. The device of claim 270, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 276. The device of claim 270, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 277. The device of claim 270, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 278. The device of claim 270, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
  • 279. The device of claim 278, wherein the defects comprise micro defects and macro defects.
  • 280. The device of claim 278, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
  • 281. The device of claim 280, wherein the defects comprise macro defects.
  • 282. The device of claim 270, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
  • 283. The device of claim 270, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
  • 284. The device of claim 270, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 285. The device of claim 284, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 286. The device of claim 270, wherein the stage and the measurement device are coupled to a process tool.
  • 287. The device of claim 270, wherein the stage and the measurement device are coupled to a lithography tool.
  • 288. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the portion of the semiconductor device, wherein the first property comprises a critical dimension of the portion of the semiconductor device, and wherein the second property comprises overlay misregistration of the portion of the semiconductor device.
  • 289. The method of claim 288, wherein the illumination system comprises a single energy source.
  • 290. The method of claim 288, wherein the illumination system comprises more than one energy source.
  • 291. The method of claim 288, wherein the detection system comprises a single energy sensitive device.
  • 292. The method of claim 288, wherein the detection system comprises more than one energy sensitive devices.
  • 293. The method of claim 288, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 294. The method of claim 288, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 295. The method of claim 288, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 296. The method of claim 288, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
  • 297. The method of claim 296, wherein the defects comprise micro defects and macro defects.
  • 298. The method of claim 296, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
  • 299. The method of claim 298, wherein the defects comprise macro defects.
  • 300. The method of claim 288, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
  • 301. The method of claim 288, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
  • 302. The method of claim 288, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 303. The method of claim 302, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 304. The method of claim 288, wherein the stage and the measurement device are coupled to a process tool.
  • 305. The method of claim 288, wherein the stage and the measurement device are coupled to a lithography tool.
  • 306. A sys t em configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 307. The system of claim 306, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 308. The system of claim 306, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 309. The system of claim 306, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the illumination system of the first measurement device comprises the illumination system of the second measurement device.
  • 310. The system of claim 306, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the detection system of the first measurement device comprises the detection system of the second measurement device.
  • 311. The system of claim 306, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property comprises a presence of defects on the specimen.
  • 312. The system of claim 311, wherein the defects comprise micro defects and macro defects.
  • 313. The system of claim 311, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
  • 314. The system of claim 313, wherein the defects comprise macro defects.
  • 315. The system of claim 306, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property comprises a flatness measurement of the specimen.
  • 316. The system of claim 306, wherein the remote controller computer is further configured to determine a third property and a fourth property of the specimen from the at least partially processed one or more output signals during use, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
  • 317. The system of claim 306, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 318. The system of claim 317, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 319. The system of claim 306, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 320. The system of claim 306, wherein the remote controller computer is coupled to a process tool.
  • 321. The system of claim 320, wherein the process tool comprises a lithography tool.
  • 322. The system of claim 320, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
  • 323. The system of claim 320, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
  • 324. The system of claim 320, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 325. The system of claim 324, wherein the remote controller computer is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
  • 326. The system of claim 325, wherein the remote controller computer is further configured to alter the parameter of at least one of the instruments in response to the relationship during use.
  • 327. The system of claim 320, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 328. The system of claim 327, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 329. The system of claim 327, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using an in situ control technique during use.
  • 330. The system of claim 306, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 331. The system of claim 330, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 332. The system of claim 306, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 333. The system of claim 306, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 334. The system of claim 333, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 335. The system of claim 306, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
  • 336. The system of claim 306, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
  • 337. The system of claim 306, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
  • 338. The system of claim 306, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
  • 339. The system of claim 338, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 340. The system of claim 338, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 341. The system of claim 338, wherein the database further comprises first and second properties of a plurality of specimens.
  • 342. The system of claim 341, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 343. The system of claim 342, wherein the remote controller computer is further coupled to the plurality of measurement devices.
  • 344. The system of claim 343, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 345. The system of claim 343, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 346. The system of claim 343, wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 347. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 348. The method of claim 347, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 349. The method of claim 347, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • 350. The method of claim 347, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein an illumination system of the first measurement device comprises an illumination system of the second measurement device.
  • 351. The method of claim 347, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein a detection system of the first measurement device comprises a detection system of the second measurement device.
  • 352. The method of claim 347, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
  • 353. The method of claim 352, wherein the defects comprise micro defects and macro defects.
  • 354. The method of claim 352, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
  • 355. The method of claim 354, wherein the defects comprise macro defects.
  • 356. The method of claim 347, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
  • 357. The method of claim 347, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
  • 358. The method of claim 347, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 359. The method of claim 358, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 360. The method of claim 347, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 361. The method of claim 347, wherein the remote controller computer is coupled to a process tool.
  • 362. The method of claim 361, wherein the process tool comprises a lithography tool.
  • 363. The method of claim 361, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedback control technique.
  • 364. The method of claim 361, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedforward control technique.
  • 365. The method of claim 361, further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 366. The method of claim 365, further comprising determining a relationship between the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 367. The method of claim 366, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 368. The method of claim 361, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, further comprising performing said directing and said detecting during a process step.
  • 369. The method of claim 368, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 370. The method of claim 368, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property using an in situ control technique.
  • 371. The method of claim 347, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; performing said directing and said detecting during said moving the specimen.
  • 372. The method of claim 347, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 373. The method of claim 347, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 374. The method of claim 373, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 375. The method of claim 347, wherein the remote controller computer is coupled to the measurement device.
  • 376. The method of claim 375, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to the determined first or second property of the specimen.
  • 377. The method of claim 375, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedback control technique.
  • 378. The method of claim 375, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedforward control technique.
  • 379. The method of claim 347, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
  • 380. The method of claim 379, further comprising calibrating the measurement device using the database and the remote controller computer.
  • 381. The method of claim 379, further comprising monitoring output signals of the measurement device using the remote controller computer.
  • 382. The method of claim 379, wherein the database further comprises first and second properties of a plurality of specimens.
  • 383. The method of claim 382, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 384. The method of claim 383, further comprising calibrating the plurality of measurement devices using the remote controller computer.
  • 385. The method of claim 383, further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer.
  • 386. The method of claim 347, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 387. The method of claim 386, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to the determined first or second property of the specimen.
  • 388. The method of claim 386, wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 389. The method of claim 388, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to the determined first or second property of the specimen.
  • 390. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 391. The system of claim 390, wherein the stage is further configured to move laterally during use.
  • 392. The system of claim 390, wherein the stage is further configured to move rotatably during use.
  • 393. The system of claim 390, wherein the stage is further configured to move laterally and rotatably during use.
  • 394. The system of claim 390, wherein the illumination system comprises a single energy source.
  • 395. The system of claim 390, wherein the illumination system comprises more than one energy source.
  • 396. The system of claim 390, wherein the detection system comprises a single energy sensitive device.
  • 397. The system of claim 390, wherein the detection system comprises more than one energy sensitive device.
  • 398. The system of claim 390, wherein the measurement device further comprises a non-imaging dark field device.
  • 399. The system of claim 390, wherein the measurement device further comprises a non-imaging bright field device.
  • 400. The system of claim 390, wherein the measurement device further comprises a non-imaging dark field and bright field device.
  • 401. The system of claim 390, wherein the measurement device further comprises a double dark field device.
  • 402. The system of claim 390, wherein the measurement device further comprises a dark field imaging device.
  • 403. The system of claim 390, wherein the measurement device further comprises a bright field imaging device.
  • 404. The system of claim 390, wherein the measurement device further comprises a dark field and bright field imaging device.
  • 405. The system of claim 390, wherein the measurement device further comprises a scatterometer.
  • 406. The system of claim 390, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 407. The system of claim 390, wherein the measurement device further comprises an ellipsometer.
  • 408. The system of claim 390, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 409. The system of claim 390, wherein the measurement device further comprises a reflectometer.
  • 410. The system of claim 390, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 411. The system of claim 390, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 412. The system of claim 390, wherein the measurement device further comprises a beam profile ellipsometer.
  • 413. The system of claim 390, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 414. The system of claim 390, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 415. The system of claim 390, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 416. The system of claim 390, wherein the defects comprise micro defects and macro defects.
  • 417. The system of claim 390, wherein the defects comprise micro defects or macro defects.
  • 418. The system of claim 390, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 419. The system of claim 390, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 420. The system of claim 390, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 421. The system of claim 420, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 422. The system of claim 390, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 423. The system of claim 390, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 424. The system of claim 390, wherein the system is coupled to a process tool.
  • 425. The system of claim 390, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 426. The system of claim 390, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 427. The system of claim 390, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 428. The system of claim 390, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen from the system to the process tool during use.
  • 429. The system of claim 390, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 430. The system of claim 390, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 431. The system of claim 390, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 432. The system of claim 390, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 433. The system of claim 390, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 434. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 435. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 436. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 437. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 438. The system of claim 390, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 439. The system of claim 438, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 440. The system of claim 439, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 441. The system of claim 439, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
  • 442. The system of claim 390, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 443. The system of claim 390, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 444. The system of claim 390, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 445. The system of claim 390, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 446. The system of claim 445, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 447. The system of claim 390, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
  • 448. The system of claim 390, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
  • 449. The system of claim 390, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
  • 450. The system of claim 390, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
  • 451. The system of claim 390, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 452. The system of claim 390, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 453. The system of claim 390, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 454. The system of claim 453, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
  • 455. The system of claim 453, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 456. The system of claim 455, wherein the processor is further coupled to the plurality of measurement devices.
  • 457. The system of claim 456, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 458. The system of claim 456, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 459. The system of claim 390, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 460. The system of claim 390, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 461. The system of claim 390, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 462. The system of claim 390, wherein the processor is further coupled to a process tool.
  • 463. The system of claim 390, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
  • 464. The system of claim 390, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
  • 465. The system of claim 390, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 466. The system of claim 465, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 467. The system of claim 466, wherein the processor is further configured to alter the parameter of at least one of the instruments in response to the relationship during use.
  • 468. The system of claim 390, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 469. The system of claim 390, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 470. The system of claim 469, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 471. The system of claim 469, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 472. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 473. The method of claim 472, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 474. The method of claim 472, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 475. The method of claim 472, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 476. The method of claim 472, wherein the illumination system comprises a single energy source.
  • 477. The method of claim 472, wherein the illumination system comprises more than one energy source.
  • 478. The method of claim 472, wherein the detection system comprises a single energy sensitive device.
  • 479. The method of claim 472, wherein the detection system comprises more than one energy sensitive device.
  • 480. The method of claim 472, wherein the measurement device further comprises a non-imaging dark field device.
  • 481. The method of claim 472, wherein the measurement device further comprises a non-imaging bright field device.
  • 482. The method of claim 472, wherein the measurement device further comprises a non-imaging dark field and bright field device.
  • 483. The method of claim 472, wherein the measurement device further comprises a double dark field device.
  • 484. The method of claim 472, wherein the measurement device further comprises a dark field imaging device.
  • 485. The method of claim 472, wherein the measurement device further comprises a bright field imaging device.
  • 486. The method of claim 472, wherein the measurement device further comprises a dark field and bright field imaging device.
  • 487. The method of claim 472, wherein the measurement device further comprises a scatterometer.
  • 488. The method of claim 472, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 489. The method of claim 472, wherein the measurement device further comprises an ellipsometer.
  • 490. The method of claim 472, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 491. The method of claim 472, wherein the measurement device further comprises a reflectometer.
  • 492. The method of claim 472, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 493. The method of claim 472, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 494. The method of claim 472, wherein the measurement device further comprises a beam profile ellipsometer.
  • 495. The method of claim 472, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 496. The method of claim 472, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 497. The method of claim 472, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 498. The method of claim 472, wherein the defects comprise micro defects and macro defects.
  • 499. The method of claim 472, wherein the defects comprise micro defects or macro defects.
  • 500. The method of claim 472, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 501. The method of claim 472, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 502. The method of claim 472, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 503. The method of claim 502, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 504. The method of claim 472, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 505. The method of claim 472, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 506. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool.
  • 507. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 508. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 509. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 510. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 511. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 512. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 513. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 514. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 515. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 516. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 517. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 518. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 519. The method of claim 472, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 520. The method of claim 472, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising performing said directing and said detecting during the process step.
  • 521. The method of claim 520, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 522. The method of claim 520, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 523. The method of claim 472, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 524. The method of claim 472, further comprising moving the specimen from a first process chamber to a second process chamber using the stage and performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 525. The method of claim 472, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 526. The method of claim 472, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 527. The method of claim 526, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 528. The method of claim 472, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 529. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
  • 530. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
  • 531. The method of claim 472, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 532. The method of claim 472, further comprising calibrating the measurement device using the database.
  • 533. The method of claim 472, further comprising monitoring output signals generated by the measurement device using the database.
  • 534. The method of claim 472, wherein the database further comprises first and second properties of a plurality of specimens.
  • 535. The method of claim 534, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 536. The method of claim 535, further comprising calibrating the plurality of measurement devices using the database.
  • 537. The method of claim 535, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 538. The method of claim 472, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 539. The method of claim 472, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 540. The method of claim 472, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 541. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 542. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 543. The method of claim 472, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 544. The method of claim 543, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 545. The method of claim 544, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 546. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 547. The method of claim 472, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 548. The method of claim 547, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 549. The method of claim 547, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 550. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 551. The method of claim 550, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 552. The method of claim 550, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 553. The method of claim 550, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 554. The method of claim 550, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 555. The method of claim 550, wherein the illumination system comprises a single energy source.
  • 556. The method of claim 550, wherein the illumination system comprises more than one energy source.
  • 557. The method of claim 550, wherein the detection system comprises a single energy sensitive device.
  • 558. The method of claim 550, wherein the detection system comprises more than one energy sensitive devices.
  • 559. The method of claim 550, wherein the measurement device further comprises a non-imaging dark field device.
  • 560. The method of claim 550, wherein the measurement device further comprises a non-imaging bright field device.
  • 561. The method of claim 550, wherein the measurement device further comprises a non-imaging dark field and bright field device.
  • 562. The method of claim 550, wherein the measurement device further comprises a double dark field device.
  • 563. The method of claim 550, wherein the measurement device further comprises a dark field imaging device.
  • 564. The method of claim 550, wherein the measurement device further comprises a bright field imaging device.
  • 565. The method of claim 550, wherein the measurement device further comprises a dark field and bright field imaging device.
  • 566. The method of claim 550, wherein the measurement device further comprises a scatterometer.
  • 567. The method of claim 550, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 568. The method of claim 550, wherein the measurement device further comprises an ellipsometer.
  • 569. The method of claim 550, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 570. The method of claim 550, wherein the measurement device further comprises a reflectometer.
  • 571. The method of claim 550, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 572. The method of claim 550, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 573. The method of claim 550, wherein the measurement device further comprises a beam profile ellipsometer.
  • 574. The method of claim 550, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 575. The method of claim 550, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 576. The method of claim 550, wherein the measurement device comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the surface of the specimen.
  • 577. The method of claim 550, wherein the defects comprise micro defects and macro defects.
  • 578. The method of claim 550, wherein the defects comprise micro defects or macro defects.
  • 579. The method of claim 550, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 580. The method of claim 550, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 581. The method of claim 550, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 582. The method of claim 581, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 583. The method of claim 550, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 584. The method of claim 550, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 585. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool.
  • 586. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 587. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 588. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 589. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 590. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 591. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 592. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 593. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 594. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 595. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 596. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 597. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 598. The method of claim 550, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, wherein the support device is configured to support the specimen during a process step.
  • 599. The method of claim 598, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 600. The method of claim 598, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 601. The method of claim 598, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
  • 602. The method of claim 550, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 603. The method of claim 602, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 604. The method of claim 550, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 605. The method of claim 550, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 606. The method of claim 605, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 607. The method of claim 550, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties.
  • 608. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 609. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 610. The method of claim 550, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 611. The method of claim 610, further comprising calibrating the measurement device using the database.
  • 612. The method of claim 610, further comprising monitoring output signals of measurement device using the database.
  • 613. The method of claim 610, wherein the database further comprises first and second properties of a plurality of specimens.
  • 614. The method of claim 613, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 615. The method of claim 613, further comprising calibrating the plurality of measurement devices using the database.
  • 616. The method of claim 613, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 617. The method of claim 550, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 618. The method of claim 550, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 619. The method of claim 550, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 620. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 621. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 622. The method of claim 550, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 623. The method of claim 622, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 624. The method of claim 623, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 625. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 626. The method of claim 550, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 627. The method of claim 626, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 628. The method of claim 626, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 629. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 630. The device of claim 629, wherein the illumination system comprises a single energy source.
  • 631. The device of claim 629, wherein the illumination system comprises more than one energy source.
  • 632. The device of claim 629, wherein the detection system comprises a single energy sensitive device.
  • 633. The device of claim 629, wherein the detection system comprises more than one energy sensitive devices.
  • 634. The device of claim 629, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 635. The device of claim 629, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 636. The device of claim 629, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 637. The device of claim 629, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 638. The device of claim 629, wherein the defects comprise micro defects and macro defects.
  • 639. The device of claim 629, wherein the defects comprise micro defects or macro defects.
  • 640. The device of claim 629, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 641. The device of claim 629, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 642. The device of claim 629, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 643. The device of claim 642, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 644. The device of claim 629, wherein the stage and the measurement device are coupled to a process tool.
  • 645. The device of claim 629, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 646. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 647. The method of claim 646, wherein the illumination system comprises a single energy source.
  • 648. The method of claim 646, wherein the illumination system comprises more than one energy source.
  • 649. The method of claim 646, wherein the detection system comprises a single energy sensitive device.
  • 650. The method of claim 646, wherein the detection system comprises more than one energy sensitive devices.
  • 651. The method of claim 646, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 652. The method of claim 646, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 653. The method of claim 646, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 654. The method of claim 646, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 655. The method of claim 646, wherein the defects comprise micro defects and macro defects.
  • 656. The method of claim 646, wherein the defects comprise micro defects or macro defects.
  • 657. The method of claim 646, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 658. The method of claim 646, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 659. The method of claim 646, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 660. The method of claim 659, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 661. The method of claim 646, wherein the stage and the measurement device are coupled to a process tool.
  • 662. The method of claim 646, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 663. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 664. The system of claim 663, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 665. The system of claim 663, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 666. The system of claim 663, wherein the illumination system and the detection system comprise non-optical components, and the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 667. The system of claim 663, wherein the defects comprise micro defects and macro defects.
  • 668. The system of claim 663, wherein the defects comprise micro defects or macro defects.
  • 669. The system of claim 663, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 670. The system of claim 663, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 671. The system of claim 663, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 672. The system of claim 671, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 673. The system of claim 663, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 674. The system of claim 663, wherein the stage and the measurement device are coupled to a process tool.
  • 675. The system of claim 663, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 676. The system of claim 663, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 677. The system of claim 663, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 678. The system of claim 663, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 679. The system of claim 678, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 680. The system of claim 679, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 681. The system of claim 663, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 682. The system of claim 681, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 683. The system of claim 681, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 684. The system of claim 663, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 685. The system of claim 684, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 686. The system of claim 663, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 687. The system of claim 663, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 688. The system of claim 687, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 689. The system of claim 663, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 690. The system of claim 663, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 691. The system of claim 663, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 692. The system of claim 663, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
  • 693. The system of claim 692, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 694. The system of claim 692, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 695. The system of claim 692, wherein the database further comprises first and second properties of a plurality of specimens.
  • 696. The system of claim 695, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 697. The system of claim 696, wherein the remote controller computer is further coupled to the plurality of measurement devices.
  • 698. The system of claim 697, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 699. The system of claim 697, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 700. The system of claim 663, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 701. The system of claim 663, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 702. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 703. The method of claim 702, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 704. The method of claim 702, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 705. The method of claim 702, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 706. The method of claim 702, wherein the defects comprise micro defects and macro defects.
  • 707. The method of claim 702, wherein the defects comprise micro defects or macro defects.
  • 708. The method of claim 702, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 709. The method of claim 702, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 710. The method of claim 702, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 711. The method of claim 710, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 712. The method of claim 702, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 713. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool.
  • 714. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 715. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 716. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 717. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 718. The method of claim 717, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 719. The method of claim 718, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 720. The method of claim 702, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
  • 721. The method of claim 720, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 722. The method of claim 720, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 723. The method of claim 702, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; performing said directing and said detecting during said moving the specimen.
  • 724. The method of claim 702, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 725. The method of claim 702, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 726. The method of claim 725, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 727. The method of claim 702, wherein the remote controller computer is coupled to the measurement device.
  • 728. The method of claim 727, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 729. The method of claim 727, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 730. The method of claim 727, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 731. The method of claim 702, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
  • 732. The method of claim 731, further comprising calibrating the measurement device using the remote controller computer and the database.
  • 733. The method of claim 731, further comprising monitoring output signals from the measurement device using the remote controller computer and the database.
  • 734. The method of claim 731, wherein the database further comprises first and second properties of a plurality of specimens.
  • 735. The method of claim 734, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 736. The method of claim 735, further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 737. The method of claim 735, further comprising monitoring the plurality of measurement devices using the remote controller computer and the database.
  • 738. The method of claim 702, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 739. The method of claim 738, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 740. The method of claim 738, wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
  • 741. The method of claim 740, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 742. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 743. The system of claim 742, wherein the stage is further configured to move laterally during use.
  • 744. The system of claim 742, wherein the stage is further configured to move rotatably during use.
  • 745. The system of claim 742, wherein the stage is further configured to move laterally and rotatably during use.
  • 746. The system of claim 742, wherein the illumination system comprises a single energy source.
  • 747. The system of claim 742, wherein the illumination system comprises more than one energy source.
  • 748. The system of claim 742, wherein the detection system comprises a single energy sensitive device.
  • 749. The system of claim 742, wherein the detection system comprises more than one energy sensitive devices.
  • 750. The system of claim 742, wherein the measurement device further comprises a non-imaging scatterometer.
  • 751. The system of claim 742, wherein the measurement device further comprises a scatterometer.
  • 752. The system of claim 742, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 753. The system of claim 742, wherein the measurement device further comprises a reflectometer.
  • 754. The system of claim 742, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 755. The system of claim 742, wherein the measurement device further comprises a coherence probe microscope.
  • 756. The system of claim 742, wherein the measurement device further comprises an ellipsometer.
  • 757. The system of claim 742, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 758. The system of claim 742, wherein the measurement device further comprises a bright field imaging device.
  • 759. The system of claim 742, wherein the measurement device further comprises a dark field imaging device.
  • 760. The system of claim 742, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 761. The system of claim 742, wherein the measurement device further comprises a non-imaging bright field device.
  • 762. The system of claim 742, wherein the measurement device further comprises a non-imaging dark field device.
  • 763. The system of claim 742, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 764. The system of claim 742, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 765. The system of claim 742, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 766. The system of claim 742, wherein the defects comprise micro defects and macro defects.
  • 767. The system of claim 742, wherein the defects comprises micro defects or macro defects.
  • 768. The system of claim 742, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 769. The system of claim 768, wherein the defects comprise macro defects.
  • 770. The system of claim 742, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 771. The system of claim 770, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 772. The system of claim 742, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 773. The system of claim 742, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 774. The system of claim 742, wherein the system is coupled to a process tool.
  • 775. The system of claim 742, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 776. The system of claim 742, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 777. The system of claim 742, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 778. The system of claim 742, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 779. The system of claim 742, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 780. The system of claim 742, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 781. The system of claim 742, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 782. The system of claim 742, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 783. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 784. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within the process tool.
  • 785. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 786. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 787. The system of claim 742, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 788. The system of claim 787, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 789. The system of claim 788, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 790. The system of claim 788, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
  • 791. The system of claim 742, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 792. The system of claim 742, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 793. The system of claim 742, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 794. The system of claim 742, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 795. The system of claim 794, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 796. The system of claim 742, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
  • 797. The system of claim 742, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
  • 798. The system of claim 742, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
  • 799. The system of claim 742, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
  • 800. The system of claim 799, wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 801. The system of claim 799, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 802. The system of claim 799, wherein the database further comprises first and second properties of a plurality of specimens.
  • 803. The system of claim 802, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 804. The system of claim 803, wherein the processor is further coupled to the plurality of measurement devices.
  • 805. The system of claim 804, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 806. The system of claim 804, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 807. The system of claim 742, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 808. The system of claim 742, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 809. The system of claim 742, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 810. The system of claim 742, wherein the processor is further coupled to a process tool.
  • 811. The system of claim 742, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
  • 812. The system of claim 742, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
  • 813. The system of claim 742, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 814. The system of claim 813, wherein the processor is further configured to determine a relationship between the determined properties and at least one of the monitored parameter during use.
  • 815. The system of claim 814, wherein the processor is further configured to alter the parameter of at least one of the instruments in response to the relationship during use.
  • 816. The system of claim 742, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 817. The system of claim 742, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 818. The system of claim 817, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 819. The system of claim 817, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 820. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 821. The method of claim 820, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 822. The method of claim 820, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 823. The method of claim 820, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 824. The method of claim 820, wherein the illumination system comprises a single energy source.
  • 825. The method of claim 820, wherein the illumination system comprises more than one energy source.
  • 826. The method of claim 820, wherein the detection system comprises a single energy sensitive device.
  • 827. The method of claim 820, wherein the detection system comprises more than one energy sensitive devices.
  • 828. The method of claim 820, wherein the measurement device further comprises a non-imaging scatterometer.
  • 829. The method of claim 820, wherein the measurement device further comprises a scatterometer.
  • 830. The method of claim 820, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 831. The method of claim 820, wherein the measurement device further comprises a reflectometer.
  • 832. The method of claim 820, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 833. The method of claim 820, wherein the measurement device further comprises a coherence probe microscope.
  • 834. The method of claim 820, wherein the measurement device further comprises an ellipsometer.
  • 835. The method of claim 820, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 836. The method of claim 820, wherein the measurement device further comprises a bright field imaging device.
  • 837. The method of claim 820, wherein the measurement device further comprises a dark field imaging device.
  • 838. The method of claim 820, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 839. The method of claim 820, wherein the measurement device further comprises a non-imaging bright field device.
  • 840. The method of claim 820, wherein the measurement device further comprises a non-imaging dark field device.
  • 841. The method of claim 820, wherein the measurement device further comprises and a non-imaging bright field and dark field device.
  • 842. The method of claim 820, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 843. The method of claim 820, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 844. The method of claim 820,-wherein the defects comprise micro defects and macro defects.
  • 845. The method of claim 820, wherein the defects comprises micro defects or macro defects.
  • 846. The method of claim 820, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 847. The method of claim 846, wherein the defects comprise macro defects.
  • 848. The method of claim 820, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 849. The method of claim 848, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 850. The method of claim 820, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 851. The method of claim 820, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 852. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool.
  • 853. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 854. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 855. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 856. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 857. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 858. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 859. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 860. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 861. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 862. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
  • 863. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 864. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 865. The method of claim 820, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 866. The method of claim 865, further comprising performing said directing and said detecting during the process step.
  • 867. The method of claim 866, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 868. The method of claim 866, further comprising altering a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
  • 869. The method of claim 820, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 870. The method of claim 869, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 871. The method of claim 820, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 872. The method of claim 820, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 873. The method of claim 872, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 874. The method of claim 820, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
  • 875. The method of claim 820, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
  • 876. The method of claim 820, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
  • 877. The method of claim 820, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 878. The method of claim 877, further comprising calibrating the measurement device using the database.
  • 879. The method of claim 877, further comprising monitoring output signals of the measurement device using the database.
  • 880. The method of claim 877, wherein the database further comprises first and second properties of a plurality of specimens.
  • 881. The method of claim 880, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 882. The method of claim 881, further comprising calibrating the plurality of measurement devices using the database.
  • 883. The method of claim 881, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 884. The method of claim 820, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 885. The method of claim 820, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 886. The method of claim 820, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 887. The method of claim 820, further comprising altering a parameter of one or more instrument coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
  • 888. The method of claim 820, further comprising altering a parameter of one or more instrument coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
  • 889. The method of claim 820, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 890. The method of claim 889, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
  • 891. The method of claim 890, further comprising altering the parameter of at least one of the instruments in response to the relationship.
  • 892. The method of claim 820, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to the determined first or second property of the specimen.
  • 893. The method of claim 820, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 894. The method of claim 893, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 895. The method of claim 893, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 896. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 897. The method of claim 896, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 898. The method of claim 896, further comprising controlling the stage to move laterally during said directing energy and said detecting energy.
  • 899. The method of claim 896, further comprising controlling the stage to move rotatably during said directing energy and said detecting energy.
  • 900. The method of claim 896, further comprising controlling the stage to move laterally and rotatably during said directing energy and said detecting energy.
  • 901. The method of claim 896, wherein the illumination system comprises a single energy source.
  • 902. The method of claim 896, wherein the illumination system comprises more than one energy source.
  • 903. The method of claim 896, wherein the detection system comprises a single energy sensitive device.
  • 904. The method of claim 896, wherein the detection system comprises more than one energy sensitive devices.
  • 905. The method of claim 896, wherein the measurement device further comprises a non-imaging scatterometer.
  • 906. The method of claim 896, wherein the measurement device further comprises a scatterometer.
  • 907. The method of claim 896, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 908. The method of claim 896, wherein the measurement device further comprises a reflectometer.
  • 909. The method of claim 896, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 910. The method of claim 896, wherein the measurement device further comprises a coherence probe microscope.
  • 911. The method of claim 896, wherein the measurement device further comprises an ellipsometer.
  • 912. The method of claim 896, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 913. The method of claim 896, wherein the measurement device further comprises a bright field imaging device.
  • 914. The method of claim 896, wherein the measurement device further comprises a dark field imaging device.
  • 915. The method of claim 896, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 916. The method of claim 896, wherein the measurement device further comprises a non-imaging bright field device.
  • 917. The method of claim 896, wherein the measurement device further comprises a non-imaging dark field device.
  • 918. The method of claim 896, wherein the measurement device further comprises and a non-imaging bright field and dark field device.
  • 919. The method of claim 896, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 920. The method of claim 896, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 921. The method of claim 896, wherein the defects comprise micro defects and macro defects.
  • 922. The method of claim 896, wherein the defects comprises micro defects or macro defects.
  • 923. The method of claim 896, further comprising: controlling the illumination system to direct energy toward a bottom surface of the specimen; and controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 924. The method of claim 923, wherein the defects comprise macro defects.
  • 925. The method of claim 896, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 926. The method of claim 925, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool., an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 927. The method of claim 896, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 928. The method of claim 896, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 929. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool.
  • 930. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 931. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 932. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 933. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 934. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 935. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 936. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 937. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 938. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 939. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 940. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 941. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber. and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 942. The method of claim 896, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 943. The method of claim 942, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 944. The method of claim 943, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 945. The method of claim 943, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
  • 946. The method of claim 896, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 947. The method of claim 946, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 948. The method of claim 896, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 949. The method of claim 896, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 950. The method of claim 949, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 951. The method of claim 896, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
  • 952. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
  • 953. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
  • 954. The method of claim 896, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 955. The method of claim 954, further comprising calibrating the measurement device using the database.
  • 956. The method of claim 954, further comprising monitoring output signals of the measurement device using the database.
  • 957. The method of claim 954, wherein the database further comprises first and second properties of a plurality of specimens.
  • 958. The method of claim 957, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 959. The method of claim 958, further comprising calibrating the plurality of measurement devices using the database.
  • 960. The method of claim 958, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 961. The method of claim 896, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 962. The method of claim 896, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 963. The method of claim 896, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 964. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
  • 965. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
  • 966. The method of claim 896, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 967. The method of claim 966, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
  • 968. The method of claim 967, further comprising altering a parameter of one or more of the instruments in response to the relationship.
  • 969. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to the determined first or second property of the specimen.
  • 970. The method of claim 896, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 971. The method of claim 970, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 972. The method of claim 970, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 973. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the portion of the specimen, and wherein the second property comprises a presence of defects on the portion of the specimen.
  • 974. The device of claim 973, wherein the illumination system comprises a single energy source.
  • 975. The device of claim 973, wherein the illumination system comprises more than one energy source.
  • 976. The device of claim 973, wherein the detection system comprises a single energy sensitive device.
  • 977. The device of claim 973, wherein the detection system comprises more than one energy sensitive devices.
  • 978. The device of claim 973, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 979. The device of claim 973, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 980. The device of claim 973, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 981. The device of claim 973, wherein the defects comprise micro defects and macro defects.
  • 982. The device of claim 973, wherein the defects comprises micro defects or macro defects.
  • 983. The device of claim 973, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 984. The device of claim 983, wherein the defects comprise macro defects.
  • 985. The device of claim 973, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 986. The device of claim 973, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 987. The device of claim 973, wherein the stage and the measurement device are coupled to a process tool.
  • 988. The device of claim 973, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 989. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the portion of the specimen.
  • 990. The method of claim 989, wherein the illumination system comprises a single energy source.
  • 991. The method of claim 989, wherein the illumination system comprises more than one energy source.
  • 992. The method of claim 989, wherein the detection system comprises a single energy sensitive device.
  • 993. The method of claim 989, wherein the detection system comprises more than one energy sensitive devices.
  • 994. The method of claim 989, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 995. The method of claim 989, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 996. The method of claim 989, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 997. The method of claim 989, wherein the defects comprise micro defects and macro defects.
  • 998. The method of claim 989, wherein the defects comprises micro defects or macro defects.
  • 999. The method of claim 989, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 1000. The method of claim 999, wherein the defects comprise macro defects.
  • 1001. The method of claim 989, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1002. The method of claim 1001, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1003. The method of claim 989, wherein the stage and the measurement device are coupled to a process tool.
  • 1004. The method of claim 989, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool and an etch tool.
  • 1005. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 1006. The system of claim 1005, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a spectroscopic ellipsometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 1007. The system of claim 1005, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 1008. The system of claim 1005, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1009. The system of claim 1005, wherein the defects comprise micro defects and macro defects.
  • 1010. The system of claim 1005, wherein the defects comprises micro defects or macro defects.
  • 1011. The system of claim 1005, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 1012. The system of claim 1011, wherein the defects comprise macro defects.
  • 1013. The system of claim 1005, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1014. The system of claim 1013, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1015. The system of claim 1005, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1016. The system of claim 1005, wherein the remote controller computer is coupled to a process tool.
  • 1017. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1018. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
  • 1019. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
  • 1020. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instrument coupled to the process tool during use.
  • 1021. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, and wherein the remote controller computer is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
  • 1022. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, wherein the remote controller computer is further configured to determine a relationship between the determined properties and the at least one of the monitored parameters during use, and wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 1023. The system of claim 1005, wherein the system and the remote controller computer are coupled to a process tool, wherein the process tool is configured to perform a step of a process. wherein the illumination system is further configured to direct energy toward the surface of the specimen during the process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 1024. The system of claim 1023, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1025. The system of claim 1023, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using an in situ control technique during use.
  • 1026. The system of claim 1005, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 1027. The system of claim 1026, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 1028. The system of claim 1005, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 1029. The system of claim 1005, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 1030. The system of claim 1029, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 1031. The system of claim 1005, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
  • 1032. The system of claim 1005, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
  • 1033. The system of claim 1005, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
  • 1034. The system of claim 1005, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
  • 1035. The system of claim 1034, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 1036. The system of claim 1034, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 1037. The system of claim 1034, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1038. The system of claim 1037, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 1039. The system of claim 1038, wherein the remote controller computer is further coupled to the plurality of measurement devices.
  • 1040. The system of claim 1039, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 1041. The system of claim 1039, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 1042. The system of claim 1005, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 1043. The system of claim 1042, wherein the remote controller computer is further coupled to at least one of the plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 1044. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1045. The method of claim 1044, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 1046. The method of claim 1044, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
  • 1047. The method of claim 1044, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1048. The method of claim 1044, wherein the defects comprise micro defects and macro defects.
  • 1049. The method of claim 1044, wherein the defects comprises micro defects or macro defects.
  • 1050. The method of claim 1044, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 1051. The method of claim 1050, wherein the defects comprise macro defects.
  • 1052. The method of claim 1044, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1053. The method of claim 1052, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1054. The method of claim 1044, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1055. The method of claim 1044, wherein the remote controller computer is coupled to a process tool.
  • 1056. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1057. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedback control technique.
  • 1058. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedforward control technique.
  • 1059. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 1060. The method of claim 1059, further comprising determining a relationship between the determined properties and the monitored parameters using the remote controller computer.
  • 1061. The method of claim 1060, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 1062. The method of claim 1044, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
  • 1063. The method of claim 1062, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1064. The method of claim 1062, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property using an in situ control technique.
  • 1065. The method of claim 1044, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; performing said directing and said detecting during said moving the specimen.
  • 1066. The method of claim 1044, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 1067. The method of claim 1044, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 1068. The method of claim 1067, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1069. The method of claim 1044, wherein the remote controller computer is coupled to the measurement device.
  • 1070. The method of claim 1069, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to the determined first or second property of the specimen.
  • 1071. The method of claim 1069, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedback control technique.
  • 1072. The method of claim 1069, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedforward control technique.
  • 1073. The method of claim 1044, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
  • 1074. The method of claim 1073, further comprising calibrating the measurement device using the database and the remote controller computer.
  • 1075. The method of claim 1073, further comprising monitoring output signals of the measurement device using the database and the remote controller computer.
  • 1076. The method of claim 1073, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1077. The method of claim 1076, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1078. The method of claim 1077, further comprising calibrating the plurality of measurement devices using the database and the remote controller computer.
  • 1079. The method of claim 1077, further comprising monitoring output signals of the plurality of measurement devices using the database and the remote controller computer.
  • 1080. The method of claim 1044, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 1081. The method of claim 1080, wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 1082. The method of claim 1081, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to the determined first or second property of the specimen.
  • 1083. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 1084. The system of claim 1083, wherein the stage is further configured to move laterally during use.
  • 1085. The system of claim 1083, wherein the stage is further configured to move rotatably during use.
  • 1086. The system of claim 1083, wherein the stage is further configured to move laterally and rotatably during use.
  • 1087. The system of claim 1083, wherein the illumination system comprises a single energy source.
  • 1088. The system of claim 1083, wherein the illumination system comprises more than one energy source.
  • 1089. The system of claim 1083, wherein the detection system comprises a single energy sensitive device.
  • 1090. The system of claim 1083, wherein the detection system comprises more than one energy sensitive devices.
  • 1091. The system of claim 1083, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1092. The system of claim 1083, wherein the measurement device further comprises a scatterometer.
  • 1093. The system of claim 1083, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1094. The system of claim 1083, wherein the measurement device further comprises a reflectometer.
  • 1095. The system of claim 1083, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1096. The system of claim 1083, wherein the measurement device further comprises a coherence probe microscope.
  • 1097. The system of claim 1083, wherein the measurement device further comprises a bright field imaging device.
  • 1098. The system of claim 1083, wherein the measurement device further comprises a dark field imaging device.
  • 1099. The system of claim 1083, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1100. The system of claim 1083, wherein the measurement device further comprises an ellipsometer.
  • 1101. The system of claim 1083, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1102. The system of claim 1083, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 1103. The system of claim 1083, wherein the measurement device further comprises a beam profile ellipsometer.
  • 1104. The system of claim 1083, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grating X-ray reflectometer.
  • 1105. The system of claim 1083, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1106. The system of claim 1083, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 1107. The system of claim 1083, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1108. The system of claim 1083, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
  • 1109. The system of claim 1083, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1110. The system of claim 1109, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1111. The system of claim 1083, wherein the system is further configured to determine at least the two properties of the specimen substantially simultaneously during use.
  • 1112. The system of claim 1083, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1113. The system of claim 1083, wherein the system is coupled to a process tool.
  • 1114. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 1115. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 1116. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 1117. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 1118. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 1119. The system of claim 1083, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1120. The system of claim 1083, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1121. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1122. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1123. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 1124. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 1125. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of is process tool.
  • 1126. The system of claim 1083, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 1127. The system of claim 1126, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 1128. The system of claim 1127, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1129. The system of claim 1127, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
  • 1130. The system of claim 1083, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 1131. The system of claim 1130, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 1132. The system of claim 1083, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 1133. The system of claim 1083, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 1134. The system of claim 1133, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 1135. The system of claim 1083, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
  • 1136. The system of claim 1083, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
  • 1137. The system of claim 1083, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
  • 1138. The system of claim 1083, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
  • 1139. The system of claim 1138, wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 1140. The system of claim 1139, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 1141. The system of claim 1139, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1142. The system of claim 1141, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
  • 1143. The system of claim 1141, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 1144. The system of claim 1143, wherein the processor is further coupled to the plurality of measurement devices.
  • 1145. The system of claim 1144, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 1146. The system of claim 1144, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 1147. The system of claim 1083, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 1148. The system of claim 1083, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 1149. The system of claim 1083, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1150. The system of claim 1083, wherein the processor is further coupled to a process tool.
  • 1151. The system of claim 1150, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
  • 1152. The system of claim 1150, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
  • 1153. The system of claim 1150, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 1154. The system of claim 1153, wherein the processor is further configured to determine a relationship between the determined properties and the monitored parameters during use.
  • 1155. The system of claim 1154, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the relationship during use.
  • 1156. The system of claim 1083, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 1157. The system of claim 1083, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 1158. The system of claim 1157, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 1159. The system of claim 1157, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 1160. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 1161. The method of claim 1160, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 1162. The method of claim 1160, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 1163. The method of claim 1160, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 1164. The method of claim 1160, wherein the illumination system comprises a single energy source.
  • 1165. The method of claim 1160, wherein the illumination system comprises more than one energy source.
  • 1166. The method of claim 1160, wherein the detection system comprises a single energy sensitive device.
  • 1167. The method of claim 1160, wherein the detection system comprises more than one energy sensitive devices.
  • 1168. The method of claim 1160, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1169. The method of claim 1160, wherein the measurement device further comprises a scatterometer.
  • 1170. The method of claim 1160, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1171. The method of claim 1160, wherein the measurement device further comprises a reflectometer.
  • 1172. The method of claim 1160, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1173. The method of claim 1160, wherein the measurement device further comprises a coherence probe microscope.
  • 1174. The method of claim 1160, wherein the measurement device further comprises a bright field imaging device.
  • 1175. The method of claim 1160, wherein the measurement device further comprises a dark field imaging device.
  • 1176. The method of claim 1160, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1177. The method of claim 1160, wherein the measurement device further comprises an ellipsometer.
  • 1178. The method of claim 1160, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1179. The method of claim 1160, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 1180. The method of claim 1160, wherein the measurement device further comprises a beam profile ellipsometer.
  • 1181. The method of claim 1160, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grating X-ray reflectometer.
  • 1182. The method of claim 1160, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1183. The method of claim 1160, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 1184. The method of claim 1160, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1185. The method of claim 1160, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1186. The method of claim 1160, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1187. The method of claim 1186, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1188. The method of claim 1160, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 1189. The method of claim 1160, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1190. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool.
  • 1191. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 1192. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 1193. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1194. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 1195. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 1196. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 1197. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1198. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1199. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1200. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
  • 1201. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 1202. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 1203. The method of claim 1160, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 1204. The method of claim 1203, further comprising performing said directing and said detecting during the process step.
  • 1205. The method of claim 1204, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1206. The method of claim 1204, further comprising altering a parameter of one or more instrument coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 1207. The method of claim 1160, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 1208. The method of claim 1207, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 1209. The method of claim 1160, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 1210. The method of claim 1160, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 1211. The method of claim 1210, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1212. The method of claim 1160, further comprising altering a sampling frequency of the measurement device in response to the determined first or second properties of the specimen.
  • 1213. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
  • 1214. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
  • 1215. The method of claim 1160, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 1216. The method of claim 1215, further comprising calibrating the measurement device using the database.
  • 1217. The method of claim 1215, further comprising monitoring output signals of the measurement device using the database.
  • 1218. The method of claim 1215, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1219. The method of claim 1218, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1220. The method of claim 1219, further comprising calibrating the plurality of measurement devices using the database.
  • 1221. The method of claim 1219, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 1222. The method of claim 1160, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 1223. The method of claim 1160, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 1224. The method of claim 1160, further comprising determining at least the o properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1225. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
  • 1226. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
  • 1227. The method of claim 1160, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 1228. The method of claim 1227, further comprising determining a relationship between the determined properties and the monitored parameters.
  • 1229. The method of claim 1228, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 1230. The method of claim 1160, further comprising altering a parameter of one or more instrument coupled to a plurality of process tools in response to the determined first or second property of the specimen.
  • 1231. The method of claim 1160, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1232. The method of claim 1231, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 1233. The method of claim 1231, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 1234. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 1235. The method of claim 1234, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 1236. The method of claim 1234, further comprising controlling the stage to move laterally during said directing energy and said detecting energy.
  • 1237. The method of claim 1234, further comprising controlling the stage to move rotatably during said directing energy and said detecting energy.
  • 1238. The method of claim 1234, further comprising controlling the stage to move laterally and rotatably during said directing energy and said detecting energy.
  • 1239. The method of claim 1234, wherein the illumination system comprises a single energy source.
  • 1240. The method of claim 1234, wherein the illumination system comprises more than one energy source.
  • 1241. The method of claim 1234, wherein the detection system comprises a single energy sensitive device.
  • 1242. The method of claim 1234, wherein the detection system comprises more than one energy sensitive devices.
  • 1243. The method of claim 1234, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1244. The method of claim 1234, wherein the measurement device further comprises a scatterometer.
  • 1245. The method of claim 1234, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1246. The method of claim 1234, wherein the measurement device further comprises a reflectometer.
  • 1247. The method of claim 1234, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1248. The method of claim 1234, wherein the measurement device further comprises a coherence probe microscope.
  • 1249. The method of claim 1234, wherein the measurement device further comprises a bright field imaging device.
  • 1250. The method of claim 1234, wherein the measurement device further comprises a dark field imaging device.
  • 1251. The method of claim 1234, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1252. The method of claim 1234, wherein the measurement device further comprises an ellipsometer.
  • 1253. The method of claim 1234, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1254. The method of claim 1234, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 1255. The method of claim 1234, wherein the measurement device further comprises a beam profile ellipsometer.
  • 1256. The method of claim 1234, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
  • 1257. The method of claim 1234, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1258. The method of claim 1234, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the surface of the specimen.
  • 1259. The method of claim 1234, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1260. The method of claim 1234, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
  • 1261. The method of claim 1234, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1262. The method of claim 1261, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1263. The method of claim 1234, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 1264. The method of claim 1234, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1265. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool.
  • 1266. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 1267. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 1268. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1269. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 1270. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 1271. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 1272. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1273. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1274. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1275. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
  • 1276. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 1277. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 1278. The method of claim 1234, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 1279. The method of claim 1278, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 1280. The method of claim 1279, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1281. The method of claim 1279, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
  • 1282. The method of claim 1234, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 1283. The method of claim 1282, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 1284. The method of claim 1234, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 1285. The method of claim 1234, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 1286. The method of claim 1285, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1287. The method of claim 1234, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
  • 1288. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
  • 1289. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
  • 1290. The method of claim 1234, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 1291. The method of claim 1290, further comprising calibrating the measurement device using the database.
  • 1292. The method of claim 1290, further comprising monitoring output signals of the measurement device using the database.
  • 1293. The method of claim 1290, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1294. The method of claim 1293, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1295. The method of claim 1294, further comprising calibrating the plurality of measurement devices using the database.
  • 1296. The method of claim 1294, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 1297. The method of claim 1234, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 1298. The method of claim 1234, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 1299. The method of claim 1234, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1300. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
  • 1301. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
  • 1302. The method of claim 1234, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 1303. The method of claim 1302, further comprising determining a relationship between the determined properties and the monitored parameters.
  • 1304. The method of claim 1303, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 1305. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the determined first or second property of the specimen.
  • 1306. The method of claim 1234, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1307. The method of claim 1306, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 1308. The method of claim 1306, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 1309. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 1310. The device of claim 1309, wherein the illumination system comprises a single energy source.
  • 1311. The device of claim 1309, wherein the illumination system comprises more than one energy source.
  • 1312. The device of claim 1309, wherein the detection system comprises a single energy sensitive device.
  • 1313. The device of claim 1309, wherein the detection system comprises more than one energy sensitive devices.
  • 1314. The device of claim 1309, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing Xray reflectometer.
  • 1315. The device of claim 1309, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
  • 1316. The device of claim 1309, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1317. The device of claim 1309, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 1318. The device of claim 1309, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1319. The device of claim 1309, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1320. The device of claim 1309, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1321. The device of claim 1320, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1322. The device of claim 1309, wherein the stage and the measurement device are coupled to a process tool.
  • 1323. The device of claim 1309, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1324. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 1325. The method of claim 1324, wherein the illumination system comprises a single energy source.
  • 1326. The method of claim 1324, wherein the illumination system comprises more than one energy source.
  • 1327. The method of claim 1324, wherein the detection system comprises a single energy sensitive device.
  • 1328. The method of claim 1324, wherein the detection system comprises more than one energy sensitive devices.
  • 1329. The method of claim 1324, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
  • 1330. The method of claim 1324, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
  • 1331. The method of claim 1324, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1332. The method of claim 1324, wherein the measurement device further comprises non-optical components, and wherein measuring a non-optical characteristic of the surface of the specimen.
  • 1333. The method of claim 11324, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1334. The method of claim 1324, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1335. The method of claim 1324, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1336. The method of claim 1335, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1337. The method of claim 1324, wherein the stage and the measurement device are coupled to a process tool.
  • 1338. The method of claim 1324, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1339. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
  • 1340. The system of claim 1339, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing Xray reflectometer.
  • 1341. The system of claim 1339, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
  • 1342. The system of claim 1339, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1343. The system of claim 1339, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 1344. The system of claim 1339, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1345. The system of claim 1339, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
  • 1346. The system of claim 1339, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1347. The system of claim 1339, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1348. The system of claim 1339, wherein the remote controller computer is coupled to a process tool.
  • 1349. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1350. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
  • 1351. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
  • 1352. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 1353. The system of claim 1352, wherein the remote controller computer is further configured to determine a relationship between the determined properties and the monitored parameters during use.
  • 1354. The system of claim 1353, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 1355. The system of claim 1339, wherein the system is coupled to a process tool, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 1356. The system of claim 1355, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1357. The system of claim 1355, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using an in situ control technique during use.
  • 1358. The system of claim 1339, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 1359. The system of claim 1358, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 1360. The system of claim 1339, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 1361. The system of claim 1339, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 1362. The system of claim 1361, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 1363. The system of claim 1339, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
  • 1364. The system of claim 1339, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
  • 1365. The system of claim 1339, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
  • 1366. The system of claim 1339, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
  • 1367. The system of claim 1366, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 1368. The system of claim 1366, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 1369. The system of claim 1366, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1370. The system of claim 1369, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 1371. The system of claim 1370, wherein the remote controller computer is further coupled to the plurality of measurement devices.
  • 1372. The system of claim 1371, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 1373. The system of claim 1371, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 1374. The system of claim 1339, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 1375. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system: generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1376. The method of claim 1375, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
  • 1377. The method of claim 1375, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
  • 1378. The method of claim 1375, wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1379. The method of claim 1375, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 1380. The method of claim 1375, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1381. The method of claim 1375, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1382. The method of claim 1375, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1383. The method of claim 1382, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1384. The method of claim 1375, wherein the remote controller computer is coupled to a process tool.
  • 1385. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1386. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedback control technique.
  • 1387. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedforward control technique.
  • 1388. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 1389. The method of claim 1388, further comprising determining a relationship between the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 1390. The method of claim 1375, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 1391. The method of claim 1375, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, further comprising performing said directing and said detecting during a process step.
  • 1392. The method of claim 1391, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1393. The method of claim 1391, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property using an in situ control technique.
  • 1394. The method of claim 1375, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; performing said directing and said detecting during said moving the specimen.
  • 1395. The method of claim 1375, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 1396. The method of claim 1375, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 1397. The method of claim 1396, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1398. The method of claim 1375, wherein the remote controller computer is coupled to the measurement device.
  • 1399. The method of claim 1398, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to the determined first or second property of the specimen.
  • 1400. The method of claim 1398, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedback control technique.
  • 1401. The method of claim 1398, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedforward control technique.
  • 1402. The method of claim 1375, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
  • 1403. The method of claim 1402, further comprising calibrating the measurement device using the database and the remote controller computer.
  • 1404. The method of claim 1402, further comprising monitoring output signals generating by the measurement device using the database and the remote controller computer.
  • 1405. The method of claim 1402, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1406. The method of claim 1405, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1407. The method of claim 1406, further comprising calibrating the plurality of measurement devices using the database and the remote controller computer.
  • 1408. The method of claim 1406, further comprising monitoring output signals generated by the plurality of measurement devices using the database and the remote controller computer.
  • 1409. The method of claim 1375, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 1410. The method of claim 1409, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to the determined first or second property of the specimen.
  • 1411. The method of claim 1410, wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
  • 1412. The method of claim 1411, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to the determined first or second property of the specimen.
  • 1413. A system configured to determine at least three properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property, a second property, and a third property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 1414. The system of claim 1413, wherein the stage is further configured to move laterally during use.
  • 1415. The system of claim 1413, wherein the stage is further configured to move rotatably during use.
  • 1416. The system of claim 1413, wherein the stage is further configured to move laterally and rotatably during use.
  • 1417. The system of claim 1413, wherein the illumination system comprises a single energy source.
  • 1418. The system of claim 1413, wherein the illumination system comprises more than one energy source.
  • 1419. The system of claim 1413, wherein the detection system comprises a single energy sensitive device.
  • 1420. The system of claim 1413, wherein the detection system comprises more than one energy sensitive devices.
  • 1421. The system of claim 1413, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1422. The system of claim 1413, wherein the measurement device further comprises a scatterometer.
  • 1423. The system of claim 1413, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1424. The system of claim 1413, wherein the measurement device further comprises a reflectometer.
  • 1425. The system of claim 1413, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1426. The system of claim 1413, wherein the measurement device further comprises a coherence probe microscope.
  • 1427. The system of claim 1413, wherein the measurement device further comprises a bright field imaging device.
  • 1428. The system of claim 1413, wherein the measurement device further comprises a dark field imaging device.
  • 1429. The system of claim 1413, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1430. The system of claim 1413, wherein the measurement device further comprises a non-imaging bright field device.
  • 1431. The system of claim 1413, wherein the measurement device further comprises a non-imaging dark field device.
  • 1432. The system of claim 1413, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 1433. The system of claim 1413, wherein the measurement device further comprises an ellipsometer.
  • 1434. The system of claim 1413, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1435. The system of claim 1413, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 1436. The system of claim 1413, wherein the measurement device further comprises a beam profile ellipsometer.
  • 1437. The system of claim 1413, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1438. The system of claim 1413, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1439. The system of claim 1413, wherein the defects comprise micro defects and macro defects.
  • 1440. The system of claim 1413, wherein the defects comprises micro defects or macro defects.
  • 1441. The system of claim 1413, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 1442. The system of claim 1413, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 1443. The system of claim 1413, wherein the processor is further configured to determine a fourth property of the specimen from the one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1444. The system of claim 1443, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1445. The system of claim 1413, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 1446. The system of claim 1445, wherein the defects comprise macro defects.
  • 1447. The system of claim 1413, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 1448. The system of claim 1413, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1449. The system of claim 1413, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
  • 1450. The system of claim 1413, wherein the system is further configured to determine at least three properties of the specimen substantially simultaneously during use.
  • 1451. The system of claim 1413, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
  • 1452. The system of claim 1413, wherein the system is coupled to a process tool.
  • 1453. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 1454. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 1455. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 1456. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 1457. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 1458. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 1459. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1460. The system of claim 1413, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1461. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1462. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1463. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 1464. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 1465. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 1466. The system of claim 1413, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 1467. The system of claim 1466, wherein the processor is further configured to determine at least the three properties of the specimen during the process step.
  • 1468. The system of claim 1467, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1469. The system of claim 1467, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
  • 1470. The system of claim 1413, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 1471. The system of claim 1470, wherein the system is further configured to determine at least the three properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 1472. The system of claim 1413, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 1473. The system of claim 1413, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 1474. The system of claim 1473, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 1475. The system of claim 1413, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 1476. The system of claim 1413, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 1477. The system of claim 1413, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 1478. The system of claim 1413, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen.
  • 1479. The system of claim 1478, wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 1480. The system of claim 1478, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 1481. The system of claim 1478, wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 1482. The system of claim 1481, wherein the first, second, and third properties of the plurality of specimens are determined using the measurement device.
  • 1483. The system of claim 1481, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 1484. The system of claim 1483, wherein the processor is further coupled to the plurality of measurement devices.
  • 1485. The system of claim 1484, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 1486. The system of claim 1485, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 1487. The system of claim 1413, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 1488. The system of claim 1413, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 1489. The system of claim 1413, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1490. The system of claim 1413, wherein the processor is further coupled to a process tool.
  • 1491. The system of claim 1490, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 1492. The system of claim 1490, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 1493. The system of claim 1490, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 1494. The system of claim 1493, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 1495. The system of claim 1494, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 1496. The system of claim 1413, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 1497. The system of claim 1413, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 1498. The system of claim 1497, wherein the local processor is further configured to determine the first, second, and third properties of the specimen during use.
  • 1499. The system of claim 1497, wherein the remote controller computer is further configured to determine the first, second, and properties of the specimen during use.
  • 1500. A method for determining at least three properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 1501. The method of claim 1500, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 1502. The method of claim 1500, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 1503. The method of claim 1500, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 1504. The method of claim 1500, wherein the illumination system comprises a single energy source.
  • 1505. The method of claim 1500, wherein the illumination system comprises more than one energy source.
  • 1506. The method of claim 1500, wherein the detection system comprises a single energy sensitive device.
  • 1507. The method of claim 1500, wherein the detection system comprises more than one energy sensitive devices.
  • 1508. The method of claim 1500, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1509. The method of claim 1500, wherein the measurement device further comprises a scatterometer.
  • 1510. The method of claim 1500, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1511. The method of claim 1500, wherein the measurement device further comprises a reflectometer.
  • 1512. The method of claim 1500, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1513. The method of claim 1500, wherein the measurement device further comprises a coherence probe microscope.
  • 1514. The method of claim 1500, wherein the measurement device further comprises a bright field imaging device.
  • 1515. The method of claim 1500, wherein the measurement device further comprises a dark field imaging device.
  • 1516. The method of claim 1500, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1517. The method of claim 1500, wherein the measurement device further comprises a non-imaging bright field device.
  • 1518. The method of claim 1500, wherein the measurement device further comprises a non-imaging dark field device.
  • 1519. The method of claim 1500, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 1520. The method of claim 1500, wherein the measurement device further comprises an ellipsometer.
  • 1521. The method of claim 1500, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1522. The method of claim 1500, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 1523. The method of claim 1500, wherein the measurement device further comprises a beam profile ellipsometer.
  • 1524. The method of claim 1500, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1525. The method of claim 1500, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1526. The method of claim 1500, wherein the defects comprise micro defects and macro defects.
  • 1527. The method of claim 1500, wherein the defects comprises micro defects or macro defects.
  • 1528. The method of claim 1500, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 1529. The method of claim 1500, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 1530. The method of claim 1500, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1531. The method of claim 1530, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1532. The method of claim 1500, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 1533. The method of claim 1532, wherein the defects comprise macro defects.
  • 1534. The method of claim 1500, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 1535. The method of claim 1500, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1536. The method of claim 1500, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1537. The method of claim 1500, wherein processing the detected energy to determine the first, second, and third properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
  • 1538. The method of claim 1500, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
  • 1539. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool.
  • 1540. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 1541. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 1542. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1543. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 1544. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 1545. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 1546. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1547. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during, a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1548. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1549. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 1550. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 1551. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 1552. The method of claim 1500, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 1553. The method of claim 1552, further comprising performing said directing and said detecting during the process step.
  • 1554. The method of claim 1553, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1555. The method of claim 1553, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 1556. The method of claim 1500, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 1557. The method of claim 1556, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 1558. The method of claim 1500, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 1559. The method of claim 1500, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 1560. The method of claim 1559, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1561. The method of claim 1500, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 1562. The method of claim 1500, further comprising altering a parameter of an instrument coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 1563. The method of claim 1500, further comprising altering a parameter of an instrument coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 1564. The method of claim 1500, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen.
  • 1565. The method of claim 1564, further comprising calibrating the measurement device using the database.
  • 1566. The method of claim 1564, further comprising monitoring output signals of the measurement device using the database.
  • 1567. The method of claim 1564, wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 1568. The method of claim 1567, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1569. The method of claim 1568, further comprising calibrating the plurality of measurement devices using the database.
  • 1570. The method of claim 1568, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 1571. The method of claim 1500, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 1572. The method of claim 1500, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 1573. The method of claim 1500, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1574. The method of claim 1500, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 1575. The method of claim 1500, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 1576. The method of claim 1500, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 1577. The method of claim 1576, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
  • 1578. The method of claim 1577, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 1579. The method of claim 1500, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the at least one of the determined properties of the specimen.
  • 1580. The method of claim 1500, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1581. The method of claim 1580, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 1582. The method of claim 1580, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 1583. A computer-implemented method for controlling a system configured to determine at least three properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 1584. The method of claim 1583, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 1585. The method of claim 1583, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 1586. The method of claim 1583, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 1587. The method of claim 1583, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 1588. The method of claim 1583, wherein the illumination system comprises a single energy source.
  • 1589. The method of claim 1583, wherein the illumination system comprises more than one energy source.
  • 1590. The method of claim 1583, wherein the detection system comprises a single energy sensitive device.
  • 1591. The method of claim 1583, wherein the detection system comprises more than one energy sensitive devices.
  • 1592. The method of claim 1583, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1593. The method of claim 1583, wherein the measurement device further comprises a scatterometer.
  • 1594. The method of claim 1583, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1595. The method of claim 1583, wherein the measurement device further comprises a reflectometer.
  • 1596. The method of claim 1583, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1597. The method of claim 1583, wherein the measurement device further comprises a coherence probe microscope.
  • 1598. The method of claim 1583, wherein the measurement device further comprises a bright field imaging device.
  • 1599. The method of claim 1583, wherein the measurement device further comprises a dark field imaging device.
  • 1600. The method of claim 1583, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1601. The method of claim 1583, wherein the measurement device further comprises a non-imaging bright field device.
  • 1602. The method of claim 1583, wherein the measurement device further comprises a non-imaging dark field device.
  • 1603. The method of claim 1583, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 1604. The method of claim 1583, wherein the measurement device further comprises an ellipsometer.
  • 1605. The method of claim 1583, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1606. The method of claim 1583, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 1607. The method of claim 1583, wherein the measurement device further comprises a beam profile ellipsometer.
  • 1608. The method of claim 1583, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1609. The method of claim 1583, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1610. The method of claim 1583, wherein the defects comprise micro defects and macro defects.
  • 1611. The method of claim 1583, wherein the defects comprises micro defects or macro defects.
  • 1612. The method of claim 1583, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 1613. The method of claim 1583, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 1614. The method of claim 1583, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1615. The method of claim 1614, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1616. The method of claim 1583, further comprising: controlling the illumination system to direct energy toward a bottom surface of the specimen; and controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 1617. The method of claim 1616, wherein the defects comprise macro defects.
  • 1618. The method of claim 1583, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system comprises controlling the detection system to measure a non-optical characteristic of the surface of the specimen.
  • 1619. The method of claim 1583, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1620. The method of claim 1583, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
  • 1621. The method of claim 1583, wherein processing the one or more output signals to determine the first, second, and third properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
  • 1622. The method of claim 1583, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
  • 1623. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool.
  • 1624. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 1625. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 1626. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1627. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 1628. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 1629. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 1630. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1631. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1632. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1633. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 1634. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 1635. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 1636. The method of claim 1583, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 1637. The method of claim 1636, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 1638. The method of claim 1637, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1639. The method of claim 1637, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 1640. The method of claim 1583, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 1641. The method of claim 1640, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 1642. The method of claim 1583, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 1643. The method of claim 1583, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 1644. The method of claim 1643, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1645. The method of claim 1583, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 1646. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 1647. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 1648. The method of claim 1583, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen.
  • 1649. The method of claim 1648, further comprising calibrating the measurement device using the database.
  • 1650. The method of claim 1648, further comprising monitoring output signals of the measurement device using the database.
  • 1651. The method of claim 1648, wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 1652. The method of claim 1648, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1653. The method of claim 1652, further comprising calibrating the plurality of measurement devices using the database.
  • 1654. The method of claim 1652, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 1655. The method of claim 1583, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 1656. The method of claim 1583, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 1657. The method of claim 1583, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1658. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 1659. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 1660. The method of claim 1583, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 1661. The method of claim 1660, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
  • 1662. The method of claim 1661, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 1663. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 1664. The method of claim 1583, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1665. The method of claim 1664, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 1666. The method of claim 1664, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 1667. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 1668. The device of claim 1667, wherein the illumination system comprises a single energy source.
  • 1669. The device of claim 1667, wherein the illumination system comprises more than one energy source.
  • 1670. The device of claim 1667, wherein the detection system comprises a single energy sensitive device.
  • 1671. The device of claim 1667, wherein the detection system comprises more than one energy sensitive devices.
  • 1672. The device of claim 1667, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1673. The device of claim 1667, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1674. The device of claim 1667, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1675. The device of claim 1667, wherein the defects comprise micro defects and macro defects.
  • 1676. The device of claim 1667, wherein the defects comprises micro defects or macro defects.
  • 1677. The device of claim 1667, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 1678. The device of claim 1667, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 1679. The device of claim 1667, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1680. The device of claim 1679, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1681. The device of claim 1667, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 1682. The device of claim 1681, wherein the defects comprise macro defects.
  • 1683. The device of claim 1667, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 1684. The device of claim 1667, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1685. The device of claim 1667, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1686. The device of claim 1667, wherein the stage and the measurement device are coupled to a process tool.
  • 1687. The device of claim 1667, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1688. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the portion of the specimen.
  • 1689. The method of claim 1688, wherein the illumination system comprises a single energy source.
  • 1690. The method of claim 1688, wherein the illumination system comprises more than one energy source.
  • 1691. The method of claim 1688, wherein the detection system comprises a single energy sensitive device.
  • 1692. The method of claim 1688, wherein the detection system comprises more than one energy sensitive devices.
  • 1693. The method of claim 1688, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1694. The method of claim 1688, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1695. The method of claim 1688, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1696. The method of claim 1688, wherein the defects comprise micro defects and macro defects.
  • 1697. The method of claim 1688, wherein the defects comprises micro defects or macro defects.
  • 1698. The method of claim 1688, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 1699. The method of claim 1688, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 1700. The method of claim 1688, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1701. The method of claim 1700, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1702. The method of claim 1688, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 1703. The method of claim 1702, wherein the defects comprise macro defects.
  • 1704. The method of claim 1688, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 1705. The method of claim 1688, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1706. The method of claim 1688, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1707. The method of claim 1688, wherein the stage and the measurement device are coupled to a process tool.
  • 1708. The method of claim 1688, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1709. A system configured to determine at least three properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property, a second property, and a third property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 1710. The system of claim 1709, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1711. The system of claim 1709, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1712. The system of claim 1709, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1713. The system of claim 1709, wherein the defects comprise micro defects and macro defects.
  • 1714. The system of claim 1709, wherein the defects comprises micro defects or macro defects.
  • 1715. The system of claim 1709, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 1716. The system of claim 1709, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 1717. The system of claim 1709, wherein the remote controller computer is further configured to determine a fourth property of the specimen from the at least partially processed one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1718. The system of claim 1717, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1719. The system of claim 1709, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 1720. The system of claim 1719, wherein the defects comprise macro defects.
  • 1721. The system of claim 1709, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 1722. The system of claim 1709, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1723. The system of claim 1709, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
  • 1724. The system of claim 1709, wherein the remote controller computer is coupled to a process tool.
  • 1725. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1726. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 1727. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 1728. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 1729. The system of claim 1728, wherein the remote controller computer is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
  • 1730. The system of claim 1729, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 1731. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during the process step.
  • 1732. The system of claim 1731, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1733. The system of claim 1731, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 1734. The system of claim 1709, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 1735. The system of claim 1734, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during said moving.
  • 1736. The system of claim 1709, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 1737. The system of claim 1709, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 1738. The system of claim 1737, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 1739. The system of claim 1709, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 1740. The system of claim 1709, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 1741. The system of claim 1709, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 1742. The system of claim 1709, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first. second, and third properties of the specimen.
  • 1743. The system of claim 1742, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 1744. The system of claim 1742, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 1745. The system of claim 1742, wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 1746. The system of claim 1745, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 1747. The system of claim 1746, wherein the remote controller computer is further coupled to the plurality of measurement devices.
  • 1748. The system of claim 1747, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 1749. The system of claim 1747, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 1750. The system of claim 1709, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
  • 1751. A method for determining at least three properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1752. The method of claim 1751, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1753. The method of claim 1751, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • 1754. The method of claim 1751, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1755. The method of claim 1751, wherein the defects comprise micro defects and macro defects.
  • 1756. The method of claim 1751, wherein the defects comprises micro defects or macro defects.
  • 1757. The method of claim 1751, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 1758. The method of claim 1751, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
  • 1759. The method of claim 1751, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1760. The method of claim 1759, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1761. The method of claim 1751, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 1762. The method of claim 1761, wherein the defects comprise macro defects.
  • 1763. The method of claim 1751, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprising measuring a non-optical characteristic of the specimen.
  • 1764. The method of claim 1751, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
  • 1765. The method of claim 1751, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
  • 1766. The method of claim 1751, wherein the remote controller computer is coupled to a process tool.
  • 1767. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
  • 1768. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 1769. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 1770. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 1771. The method of claim 1770, further comprising determining a relationship between the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 1772. The method of claim 1771, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 1773. The method of claim 1751, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
  • 1774. The method of claim 1773, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1775. The method of claim 1773, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 1776. The method of claim 1751, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 1777. The method of claim 1751, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 1778. The method of claim 1751, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 1779. The method of claim 1778, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1780. The method of claim 1751, wherein the remote controller computer is coupled to the measurement device.
  • 1781. The method of claim 1780, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 1782. The method of claim 1780, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 1783. The method of claim 1780, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 1784. The method of claim 1751, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second, and third properties of the specimen.
  • 1785. The method of claim 1784, further comprising calibrating the measurement device using the database and the remote controller computer.
  • 1786. The method of claim 1784, further comprising monitoring output signals of the measurement device using the database and the remote controller computer.
  • 1787. The method of claim 1784, wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 1788. The method of claim 1787, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1789. The method of claim 1788, further comprising calibrating the plurality of measurement devices using the database and the remote controller computer.
  • 1790. The method of claim 1788, further comprising monitoring output signals of the plurality of measurement devices using the database and the remote controller computer.
  • 1791. The method of claim 1751, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 1792. The method of claim 1751, further comprising altering a parameter of one or more instruments coupled to at least one of a plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 1793. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
  • 1794. The system of claim 1793, wherein the stage is further configured to move laterally during use.
  • 1795. The system of claim 1793, wherein the stage is further configured to move rotatably during use.
  • 1796. The system of claim 1793, wherein the stage is further configured to move laterally and rotatably during use.
  • 1797. The system of claim 1793, wherein the illumination system comprises a single energy source.
  • 1798. The system of claim 1793, wherein the illumination system comprises more than one energy source.
  • 1799. The system of claim 1793, wherein the detection system comprises a single energy sensitive device.
  • 1800. The system of claim 1793, wherein the detection system comprises more than one energy sensitive devices.
  • 1801 The system of claim 1793, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1802. The system of claim 1793, wherein the measurement device further comprises a scatterometer.
  • 1803. The system of claim 1793, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1804. The system of claim 1793, wherein the measurement device further comprises a reflectometer.
  • 1805. The system of claim 1793, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1806. The system of claim 1793, wherein the measurement device further comprises an ellipsometer.
  • 1807. The system of claim 1793, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1808. The system of claim 1793, wherein the measurement device further comprises a bright field imaging device.
  • 1809. The system of claim 1793, wherein the measurement device further comprises a dark field imaging device.
  • 1810. The system of claim 1793, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1811. The system of claim 1793, wherein the measurement device further comprises a non-imaging bright field device.
  • 1812. The system of claim 1793, wherein the measurement device further comprises a non-imaging dark field device.
  • 1813. The system of claim 1793, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 1814. The system of claim 1793, wherein the measurement device further comprises a double dark field device.
  • 1815. The system of claim 1793, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 1816. The system of claim 1793, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1817. The system of claim 1793, wherein the processor is further configured to determine a third property from the one or more output signals during use, wherein the third property comprises a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
  • 1818. The system of claim 1793, wherein the macro defects comprise copper contamination on a back side of the specimen.
  • 1819. The system of claim 1793, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1820. The system of claim 1819, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1821. The system of claim 1793, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 1822. The system of claim 1793, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 1823. The system of claim 1793, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1824. The system of claim 1793, wherein the system is coupled to a process tool.
  • 1825. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 1826. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 1827. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 1828. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 1829. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 1830. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 1831. The system of claim 1793, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1832. The system of claim 1793, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1833. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 1834. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1835. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 1836. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 1837. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 1838. The system of claim 1793, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 1839. The system of claim 1838, wherein the processor is further configured to determine at least the first and second properties of the specimen during the process step.
  • 1840. The system of claim 1839, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1841. The system of claim 1839, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 1842. The system of claim 1793, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 1843. The system of claim 1842, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 1844. The system of claim 1793, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 1845. The system of claim 1793, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 1846. The system of claim 1845, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 1847. The system of claim 1793, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 1848. The system of claim 1793, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 1849. The system of claim 1793, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 1850. The system of claim 1793, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
  • 1851. The system of claim 1850, wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 1852. The system of claim 1850, wherein the processor is further configured to monitor the determined properties generated by measurement device using the database during use.
  • 1853. The system of claim 1850, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1854. The system of claim 1853, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
  • 1855. The system of claim 1853, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 1856. The system of claim 1855, wherein the processor is further coupled to the plurality of measurement devices.
  • 1857. The system of claim 1856, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 1858. The system of claim 1856, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 1859. The system of claim 1793, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 1860. The system of claim 1793, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 1861. The system of claim 1793, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1862. The system of claim 1793, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 1863. The system of claim 1793, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 1864. The system of claim 1793, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 1865. The system of claim 1864, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 1866. The system of claim 1864, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 1867. The system of claim 1793, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 1868. The system of claim 1793, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 1869. The system of claim 1793, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 1870. The system of claim 1869, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 1871. The system of claim 1869, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 1872. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
  • 1873. The method of claim 1872, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 1874. The method of claim 1872, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 1875. The method of claim 1872, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 1876. The method of claim 1872, wherein the illumination system comprises a single energy source.
  • 1877. The method of claim 1872, wherein the illumination system comprises more than one energy source.
  • 1878. The method of claim 1872, wherein the detection system comprises a single energy sensitive device.
  • 1879. The method of claim 1872, wherein the detection system comprises more than one energy sensitive devices.
  • 1880. The method of claim 1872, wherein detecting light comprises detecting dark field light propagating along a dark field path from the surface of the specimen.
  • 1881. The method of claim 1872, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1882. The method of claim 1872, wherein the measurement device further comprises a scatterometer.
  • 1883. The method of claim 1872, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1884. The method of claim 1872, wherein the measurement device further comprises a reflectometer.
  • 1885. The method of claim 1872, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 1886. The method of claim 1872, wherein the measurement device further comprises an ellipsometer.
  • 1887. The method of claim 1872, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1888. The method of claim 1872, wherein the measurement device further comprises a bright field imaging device.
  • 1889. The method of claim 1872, wherein the measurement device further comprises a dark field imaging device.
  • 1890. The method of claim 1872, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1891. The method of claim 1872, wherein the measurement device further comprises a non-imaging bright field device.
  • 1892. The method of claim 1872, wherein the measurement device further comprises a non-imaging dark field device.
  • 1893. The method of claim 1872, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 1894. The method of claim 1872, wherein the measurement device further comprises a double dark field device.
  • 1895. The method of claim 1872, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 1896. The method of claim 1872, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1897. The method of claim 1872, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 1898. The method of claim 1872, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
  • 1899. The method of claim 1872, wherein the macro defects comprise copper contamination on a back side of the specimen.
  • 1900. The method of claim 1872, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1901. The method of claim 1900, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1902. The method of claim 1872, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 1903. The method of claim 1872, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1904. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool.
  • 1905. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 1906. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 1907. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 1908. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 1909. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 1910. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 1911. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1912. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1913. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1914. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 1915. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 1916. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 1917. The method of claim 1872, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 1918. The method of claim 1917, further comprising performing said directing and said detecting during the process step.
  • 1919. The method of claim 1917, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1920. The method of claim 1917, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 1921. The method of claim 1872, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 1922. The method of claim 1921, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 1923. The method of claim 1872, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 1924. The method of claim 1872, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 1925. The method of claim 1924, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 1926. The method of claim 1872, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 1927. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 1928. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 1929. The method of claim 1872, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 1930. The method of claim 1929, further comprising calibrating the measurement device using the database.
  • 1931. The method of claim 1929, further comprising monitoring output signals generated by the measurement device using the database.
  • 1932. The method of claim 1929, wherein the database further comprises first and second properties of a plurality of specimens.
  • 1933. The method of claim 1932, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 1934. The method of claim 1933, further comprising calibrating the plurality of measurement devices using the database.
  • 1935. The method of claim 1933, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 1936. The method of claim 1872, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 1937. The method of claim 1872, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 1938. The method of claim 1872, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 1939. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 1940. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 1941. The method of claim 1872, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 1942. The method of claim 1941, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 1943. The method of claim 1942, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 1944. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 1945. The method of claim 1872, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 1946. The method of claim 1872, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 1947. The method of claim 1946, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 1948. The method of claim 1946, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 1949. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
  • 1950. The method of claim 1949, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 1951. The method of claim 1949, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 1952. The method of claim 1949, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 1953. The method of claim 1949, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 1954. The method of claim 1949, wherein the illumination system comprises a single energy source.
  • 1955. The method of claim 1949, wherein the illumination system comprises more than one energy source.
  • 1956. The method of claim 1949, wherein the detection system comprises a single energy sensitive device.
  • 1957. The method of claim 1949, wherein the detection system comprises more than one energy sensitive devices.
  • 1958. The method of claim 1949, wherein the measurement device further comprises a non-imaging scatterometer.
  • 1959. The method of claim 1949, wherein the measurement device further comprises a scatterometer.
  • 1960. The method of claim 1949, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 1961. The method of claim 1949, wherein the measurement device further comprises a reflectometer.
  • 1962. The method of claim 1949, wherein the measurement device further comprises a spectroscopic reflectometer
  • 1963. The method of claim 1949, wherein the measurement device further comprises an ellipsometer.
  • 1964. The method of claim 1949, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 1965. The method of claim 1949, wherein the measurement device further comprises a bright field imaging device.
  • 1966. The method of claim 1949, wherein the measurement device further comprises a dark field imaging device.
  • 1967. The method of claim 1949, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 1968. The method of claim 1949, wherein the measurement device further comprises a non-imaging bright field device.
  • 1969. The method of claim 1949, wherein the measurement device further comprises a non-imaging dark field device.
  • 1970. The method of claim 1949, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 1971. The method of claim 1949, wherein the measurement device further comprises a double dark field device.
  • 1972. The method of claim 1949, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 1973. The method of claim 1949, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 1974. The method of claim 1949, further comprising: controlling the illumination system to direct energy toward a bottom surface of the specimen; and controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the first property comprises a presence of defects on the bottom surface of the specimen.
  • 1975. The method of claim 1949, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
  • 1976. The method of claim 1949, wherein the macro defects comprise copper contamination on a back side of the specimen.
  • 1977. The method of claim 1949, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 1978. The method of claim 1977, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 1979. The method of claim 1949, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 1980. The method of claim 1949, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 1981. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool.
  • 1982. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 1983. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 1984. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 1985. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 1986. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 1987. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 1988. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 1989. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 1990. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 1991. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 1992. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 1993. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of s process tool.
  • 1994. The method of claim 1949, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 1995. The method of claim 1994, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 1996. The method of claim 1995, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 1997. The method of claim 1995, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 1998. The method of claim 1949, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 1999. The method of claim 1998, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 2000. The method of claim 1949, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 2001. The method of claim 1949, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 2002. The method of claim 2001, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2003. The method of claim 1949, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties.
  • 2004. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 2005. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 2006. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 2007. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the database.
  • 2008. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2009. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens generated using a plurality of measurement devices.
  • 2010. The method of claim 2009, further comprising calibrating the plurality of measurement devices using the database.
  • 2011. The method of claim 2009, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 2012. The method of claim 1949, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 2013. The method of claim 1949, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 2014. The method of claim 1949, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2015. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 2016. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 2017. The method of claim 1949, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 2018. The method of claim 1949, further comprising monitoring a parameter of one or more instruments coupled to a process tool and determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 2019. The method of claim 1949, further comprising monitoring a parameter of one or more instruments coupled to a process tool, determining a relationship between at least one of the determined properties and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 2020. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 2021. The method of claim 1949, wherein the measurement device comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the surface of the specimen.
  • 2022. The method of claim 1949, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2023. The method of claim 2022, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 2024. The method of claim 2022, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 2025. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
  • 2026. The device of claim 2025, wherein the illumination system comprises a single energy source.
  • 2027. The device of claim 2025, wherein the illumination system comprises more than one energy source.
  • 2028. The device of claim 2025, wherein the detection system comprises a single energy sensitive device.
  • 2029. The device of claim 2025, wherein the detection system comprises more than one energy sensitive devices.
  • 2030. The device of claim 2025, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2031. The device of claim 2025, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2032. The device of claim 2025, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2033. The device of claim 2025, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 2034. The device of claim 2025, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
  • 2035. The device of claim 2025, wherein the macro defects comprise copper contamination on a back side of the specimen.
  • 2036. The device of claim 2025, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2037. The device of claim 2036, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2038. The device of claim 2025, wherein the stage and the measurement device are coupled to a process tool.
  • 2039. The device of claim 2025, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 2040. The device of claim 2025, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 2041. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
  • 2042. The method of claim 2041, wherein the illumination system comprises a single energy source.
  • 2043. The method of claim 2041, wherein the illumination system comprises more than one energy source.
  • 2044. The method of claim 2041, wherein the detection system comprises a single energy sensitive device.
  • 2045. The method of claim 2041, wherein the detection system comprises more than one energy sensitive devices.
  • 2046. The method of claim 2041, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2047. The method of claim 2041, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2048. The method of claim 2041, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2049. The method of claim 2041, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 2050. The method of claim 2041, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
  • 2051. The method of claim 2041, wherein the macro defects comprise copper contamination on a back side of the specimen.
  • 2052. The method of claim 2041, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2053. The method of claim 2052, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2054. The method of claim 2041, wherein the stage and the measurement device are coupled to a process tool.
  • 2055. The method of claim 2041, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 2056. The method of claim 2041, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 2057. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
  • 2058. The system of claim 2057, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2059. The system of claim 2057, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2060. The system of claim 2057, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2061. The system of claim 2057, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 2062. The system of claim 2057, wherein the remote controller computer is configured to determine a third property from the at least partially processed one or more output signals during use, wherein the third property comprises a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
  • 2063. The system of claim 2057, wherein the macro defects comprise copper contamination on a back side of the specimen.
  • 2064. The system of claim 2057, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2065. The system of claim 2064, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2066. The system of claim 2057, wherein the remote controller computer is coupled to a process tool.
  • 2067. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 2068. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 2069. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 2070. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 2071. The system of claim 2070, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 2072. The system of claim 2071, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 2073. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 2074. The system of claim 2073, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2075. The system of claim 2073, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 2076. The system of claim 2057, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 2077. The system of claim 2076, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 2078. The system of claim 2057, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 2079. The system of claim 2057, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 2080. The system of claim 2079, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 2081. The system of claim 2057, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 2082. The system of claim 2057, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 2083. The system of claim 2057, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 2084. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 2085. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 2086. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2087. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens determined using a plurality of measurement devices.
  • 2088. The system of claim 2087, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 2089. The system of claim 2087, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 2090. The system of claim 2057, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 2091. The system of claim 2057, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 2092. The system of claim 2057, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
  • 2093. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2094. The method of claim 2093, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2095. The method of claim 2093, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
  • 2096. The method of claim 2093, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2097. The method of claim 2093, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 2098. The method of claim 2093, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
  • 2099. The method of claim 2093, wherein the macro defects comprise copper contamination on a back side of the specimen.
  • 2100. The method of claim 2093, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2101. The method of claim 2100, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2102. The method of claim 2093, wherein the remote controller computer is coupled to a process tool.
  • 2103. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 2104. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 2105. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 2106. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 2107. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer and determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 2108. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer, determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer, and altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 2109. The method of claim 2093, wherein the illumination system and the detection system are coupled to a process chamber of a process tool, the method further comprising performing said directing and said detecting during a process step.
  • 2110. The method of claim 2109, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2111. The method of claim 2109, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 2112. The method of claim 2093, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 2113. The method of claim 2093, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 2114. The method of claim 2093, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 2115. The method of claim 2114, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2116. The method of claim 2093, wherein the remote controller computer is coupled to the measurement device.
  • 2117. The method of claim 2116, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 2118. The method of claim 2116, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 2119. The method of claim 2116, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 2120. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
  • 2121. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
  • 2122. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the remote controller computer and the database.
  • 2123. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2124. The method of claim 2123, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
  • 2125. The method of claim 2124, further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 2126. The method of claim 2124, further comprising monitoring output signals generated by the plurality of measurement devices using the remote controller computer and the database.
  • 2127. The method of claim 2093, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to a measurement device.
  • 2128. The method of claim 2127, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 2129. The method of claim 2127, wherein at least one of the plurality of measurement devices is coupled to one of a plurality of process tools.
  • 2130. The method of claim 2129, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 2131. The method of claim 2093, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
  • 2132. A system configured to determine at least three properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property, a second property, and a third property of the specimen from the one or more output signals during use, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 2133. The system of claim 2132, wherein the stage is further configured to move laterally during use.
  • 2134. The system of claim 2132, wherein the stage is further configured to move rotatably during use.
  • 2135. The system of claim 2132, wherein the stage is further configured to move laterally and rotatably during use.
  • 2136. The system of claim 2132, wherein the illumination system comprises a single energy source.
  • 2137. The system of claim 2132, wherein the illumination system comprises more than one energy source.
  • 2138. The system of claim 2132, wherein the detection system comprises a single energy sensitive device.
  • 2139. The system of claim 2132, wherein the detection system comprises more than one energy sensitive devices.
  • 2140. The system of claim 2132, wherein the measurement device further comprises an optical profilometer.
  • 2141. The system of claim 2132, wherein the measurement device further comprises an interferometer.
  • 2142. The system of claim 2132, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2143. The system of claim 2132, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2144. The system of claim 2132, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2145. The system of claim 2132, wherein the measurement device further comprises a beam profile ellipsometer.
  • 2146. The system of claim 2132, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2147. The system of claim 2132, wherein the measurement device further comprises a scatterometer.
  • 2148. The system of claim 2132, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2149. The system of claim 2132, wherein the measurement device further comprises a reflectometer.
  • 2150. The system of claim 2132, wherein the measurement device further comprises an ellipsometer.
  • 2151. The system of claim 2132, wherein the measurement device further comprises a bright field imaging device.
  • 2152. The system of claim 2132, wherein the measurement device further comprises a dark field imaging device.
  • 2153. The system of claim 2132, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2154. The system of claim 2132, wherein the measurement device further comprises a non-imaging bright field device.
  • 2155. The system of claim 2132, wherein the measurement device further comprises a non-imaging dark field device.
  • 2156. The system of claim 2132, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 2157. The system of claim 2132, wherein the measurement device further comprises a double dark field device.
  • 2158. The system of claim 2132, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2159. The system of claim 2132, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2160. The system of claim 2132, wherein the defects comprise micro defects and macro defects.
  • 2161. The system of claim 2132, wherein the defects comprises micro defects or macro defects.
  • 2162. The system of claim 2132, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 2163. The system of claim 2132, wherein the defects comprise copper contamination on a back side of the specimen.
  • 2164. The system of claim 2132, wherein the processor is further configured to determine a fourth property of the specimen from the one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2165. The system of claim 2164, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2166. The system of claim 2132, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2167. The system of claim 2166, wherein the defects comprise macro defects.
  • 2168. The system of claim 2132, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the specimen.
  • 2169. The system of claim 2132, wherein the system is further configured to determine at least the three properties of the specimen substantially simultaneously during use.
  • 2170. The system of claim 2132, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
  • 2171. The system of claim 2132, wherein the system is coupled to a semiconductor fabrication process tool.
  • 2172. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 2173. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 2174. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 2175. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 2176. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 2177. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 2178. The system of claim 2132, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2179. The system of claim 2132, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2180. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
  • 2181. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2182. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2183. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2184. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2185. The system of claim 2132, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 2186. The system of claim 2185, wherein the processor is further configured to determine at least the three properties of the specimen during the process step.
  • 2187. The system of claim 2186, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2188. The system of claim 2186, wherein the processor is further coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 2189. The system of claim 2132, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 2190. The system of claim 2189, wherein the system is further configured to determine at least the three properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 2191. The system of claim 2132, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 2192. The system of claim 212, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 2193. The system of claim 2192, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 2194. The system of claim 2132, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 2195. The system of claim 2132, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 2196. The system of claim 2132, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 2197. The system of claim 2132, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 2198. The system of claim 2132, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 2199. The system of claim 2132, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined properties of the specimen, and wherein the database further comp rises first, second, and third properties of a plurality of specimens.
  • 2200. The system of claim 2199, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 2201. The system of claim 2199, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 2202. The system of claim 2132, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 2203. The system of claim 2132, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 2204. The system of claim 2132, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2205. The system of claim 2132, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 2206. The system of claim 2132, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 2207. The system of claim 2132, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 2208. The system of claim 2207, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 2209. The system of claim 2208, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 2210. The system of claim 2132, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to one of a plurality of process tools.
  • 2211. The system of claim 2132, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 2212. The system of claim 2132, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 2213. The system of claim 2212, wherein the local processor is further configured to determine the first, second, and third properties of the specimen during use.
  • 2214. The system of claim 2212, wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during use.
  • 2215. A method for determining at least three properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 2216. The method of claim 2215, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 2217. The method of claim 2215, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 2218. The method of claim 2215, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 2219. The method of claim 2215, wherein the illumination system comprises a single energy source.
  • 2220. The method of claim 2215, wherein the illumination system comprises more than one energy source.
  • 2221. The method of claim 2215, wherein the detection system comprises a single energy sensitive device.
  • 2222. The method of claim 2215, wherein the detection system comprises more than one energy sensitive devices.
  • 2223. The method of claim 2215, wherein the measurement device further comprises an optical profilometer.
  • 2224. The method of claim 2215, wherein the measurement device further comprises an interferometer.
  • 2225. The method of claim 2215, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2226. The method of claim 2215, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2227. The method of claim 2215, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2228. The method of claim 2215, wherein the measurement device further comprises a beam profile ellipsometer.
  • 2229. The method of claim 2215, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2230. The method of claim 2215, wherein the measurement device further comprises a scatterometer.
  • 2231. The method of claim 2215, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2232. The method of claim 2215, wherein the measurement device further comprises a reflectometer.
  • 2233. The method of claim 2215, wherein the measurement device further comprises an ellipsometer.
  • 2234. The method of claim 2215, wherein the measurement device further comprises a bright field imaging device.
  • 2235. The method of claim 2215, wherein the measurement device further comprises a dark field imaging device.
  • 2236. The method of claim 2215, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2237. The method of claim 2215, wherein the measurement device further comprises a non-imaging bright field device.
  • 2238. The method of claim 2215, wherein the measurement device further comprises a non-imaging dark field device.
  • 2239. The method of claim 2215, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 2240. The method of claim 2215, wherein the measurement device further comprises a double dark field device.
  • 2241. The method of claim 2215, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2242. The method of claim 2215, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2243. The method of claim 2215, wherein the defects comprise micro defects and macro defects.
  • 2244. The method of claim 2215, wherein the defects comprises micro defects or macro defects.
  • 2245. The method of claim 2215, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 2246. The method of claim 2215, wherein the defects comprise copper contamination on a back side of the specimen.
  • 2247. The method of claim 2215, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2248. The method of claim 2247, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2249. The method of claim 2215, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
  • 2250. The method of claim 2249, wherein the defects comprise macro defects.
  • 2251. The method of claim 2215, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 2252. The method of claim 2215, wherein processing the one or more output signals to determine the first, second, and properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
  • 2253. The method of claim 2215, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
  • 2254. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool.
  • 2255. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 2256. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 2257. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, and wherein the semiconductor fabrication process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
  • 2258. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 2259. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 2260. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 2261. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2262. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2263. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2264. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2265. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2266. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2267. The method of claim 2215, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 2268. The method of claim 2267, further comprising performing said directing and said detecting during the process step.
  • 2269. The method of claim 2268, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2270. The method of claim 2268, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 2271. The method of claim 2215, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 2272. The method of claim 2271, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 2273. The method of claim 2215, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 2274. The method of claim 2215, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 2275. The method of claim 2274, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2276. The method of claim 2215, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 2277. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 2278. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 2279. The method of claim 2215, further comprising generating a database, wherein the database comprises the determined properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 2280. The method of claim 2215, further comprising generating a database, wherein the database comprises the determined properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the database.
  • 2281. The method of claim 2215, further comprising generating a database, wherein the database comprises the determined properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 2282. The method of claim 2281, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 2283. The method of claim 2281, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 2284. The method of claim 2215, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 2285. The method of claim 2215, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 2286. The method of claim 2215, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2287. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 2288. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 2289. The method of claim 2215, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 2290. The method of claim 2215, further comprising monitoring a parameter of an instrument coupled to a process tool and determining a relationship between the at least one of the determined properties and at least one of the monitored parameters.
  • 2291. The method of claim 2215, further comprising monitoring a parameter of an instrument coupled to a process tool, determining a relationship between the at least one of the determined properties and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 2292. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 2293. The method of claim 2215, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2294. The method of claim 2293, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 2295. The method of claim 2293, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 2296. A computer-implemented method for controlling a system configured to determine at least three properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 2297. The method of claim 2296, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 2298. The method of claim 2296, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 2299. The method of claim 2296, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 2300. The method of claim 2296, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 2301. The method of claim 2296, wherein the illumination system comprises a single energy source.
  • 2302. The method of claim 2296, wherein the illumination system comprises more than one energy source.
  • 2303. The method of claim 2296, wherein the detection system comprises a single energy sensitive device.
  • 2304. The method of claim 2296, wherein the detection system comprises more than one energy sensitive devices.
  • 2305. The method of claim 2296, wherein the measurement device comprises an optical profilometer.
  • 2306. The method of claim 2296, wherein the measurement device further comprises an interferometer.
  • 2307. The method of claim 2296, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2308. The method of claim 2296, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2309. The method of claim 2296, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2310. The method of claim 2296, wherein the measurement device further comprises a beam profile ellipsometer.
  • 2311. The method of claim 2296, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2312. The method of claim 2296, wherein the measurement device further comprises a scatterometer.
  • 2313. The method of claim 2296, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2314. The method of claim 2296, wherein the measurement device further comprises a reflectometer.
  • 2315. The method of claim 2296, wherein the measurement device further comprises an ellipsometer.
  • 2316. The method of claim 2296, wherein the measurement device further comprises a bright field imaging device.
  • 2317. The method of claim 2296, wherein the measurement device further comprises a dark field imaging device.
  • 2318. The method of claim 2296, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2319. The method of claim 2296, wherein the measurement device further comprises a non-imaging bright field device.
  • 2320. The method of claim 2296, wherein the measurement device further comprises a non-imaging dark field device.
  • 2321. The method of claim 2296, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 2322. The method of claim 2296, wherein the measurement device further comprises a double dark field device.
  • 2323. The method of claim 2296, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2324. The method of claim 2296, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2325. The method of claim 2296, wherein the defects comprise micro defects and macro defects.
  • 2326. The method of claim 2296, wherein the defects comprises micro defects or macro defects.
  • 2327. The method of claim 2296, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 2328. The method of claim 2296, wherein the defects comprise copper contamination on a back side of the specimen.
  • 2329. The method of claim 2296, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2330. The method of claim 2329, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2331. The method of claim 2296, further comprising: controlling the illumination system to direct energy toward a bottom surface of the specimen; and controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2332. The method of claim 2331, wherein the defects comprise macro defects.
  • 2333. The method of claim 2296, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the specimen.
  • 2334. The method of claim 2296, wherein processing the one or more output signals to determine the first. second, and third properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
  • 2335. The method of claim 2296, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
  • 2336. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool.
  • 2337. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 2338. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 2339. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, and etch tool, a chemical-mechanical polishing tool, and a thermal tool.
  • 2340. The method of claim 2296, further comprising controlling a wafer handler to move the specimen from a process tool to the stage, wherein the wafer handler is coupled to the process tool.
  • 2341. The method of claim 2296, further comprising controlling the stage to move the specimen from the system to a process tool.
  • 2342. The method of claim 2296, where in the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 2343. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2344. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2345. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2346. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2347. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2348. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2349. The method of claim 2296, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 2350. The method of claim 2349, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 2351. The method of claim 2350, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2352. The method of claim 2350, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 2353. The method of claim 2296, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 2354. The method of claim 2353, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 2355. The method of claim 2296, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 2356. The method of claim 2296, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 2357. The method of claim 2356, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2358. The method of claim 2296, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 2359. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 2360. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 2361. The method of claim 2296, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 2362. The method of claim 2296, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the database.
  • 2363. The method of claim 2296, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 2364. The method of claim 2363, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 2365. The method of claim 2363, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 2366. The method of claim 2296, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 2367. The method of claim 2296, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 2368. The method of claim 2296, wherein th e system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2369. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 2370. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 2371. The method of claim 2296, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 2372. The method of claim 2371, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 2373. The method of claim 2372, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 2374. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 2375. The method of claim 2296, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2376. The method of claim 2375, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 2377. The method of claim 2375, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
  • 2378. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 2379. The device of claim 2378, wherein the illumination system comprises a single energy source.
  • 2380. The device of claim 2378, wherein the illumination system comprises more than one energy source.
  • 2381. The device of claim 2378, wherein the detection system comprises a single energy sensitive device.
  • 2382. The device of claim 2378, wherein the detection system comprises more than one energy sensitive devices.
  • 2383. The device of claim 2378, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2384. The device of claim 2378, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2385. The device of claim 2378, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2386. The device of claim 2378, wherein the defects comprise micro defects and macro defects.
  • 2387. The device of claim 2378, wherein the defects comprises micro defects or macro defects.
  • 2388. The device of claim 2378, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 2389. The device of claim 2378, wherein the defects comprise copper contamination on a back side of the specimen.
  • 2390. The device of claim 2378, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2391. The device of claim 2390, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2392. The device of claim 2378, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2393. The device of claim 2392, wherein the defects comprise macro defects.
  • 2394. The device of claim 2378, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 2395. The device of claim 2378, wherein the stage and the measurement device are coupled to a process tool.
  • 2396. The device of claim 2378, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
  • 2397. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 2398. The method of claim 2397, wherein the illumination system comprises a single energy source.
  • 2399. The method of claim 2397, wherein the illumination system comprises more than one energy source.
  • 2400. The method of claim 2397, wherein the detection system comprises a single energy sensitive device.
  • 2401. The method of claim 2397, wherein the detection system comprises more than one energy sensitive devices.
  • 2402. The method of claim 2397, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device. a non-imaging bright field and dark field device, and a double dark field device.
  • 2403. The method of claim 2397, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2404. The method of claim 2397, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2405. The method of claim 2397, wherein the defects comprise micro defects and macro defects.
  • 2406. The method of claim 2397, wherein the defects comprises micro defects or macro defects.
  • 2407. The method of claim 2397, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 2408. The method of claim 2397, wherein the defects comprise copper contamination on a back side of the specimen.
  • 2409. The method of claim 2397, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2410. The method of claim 2409, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2411. The method of claim 2397, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2412. The method of claim 2411, wherein the defects comprise macro defects.
  • 2413. The method of claim 2397, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 2414. The method of claim 2397, wherein the stage and the measurement device are coupled to a process tool.
  • 2415. The method of claim 2397, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
  • 2416. A system configured to determine at least three properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property, a second property, and a third property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
  • 2417. The system of claim 2416, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2418. The system of claim 2416, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2419. The system of claim 2416, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2420. The system of claim 2416, wherein the defects comprise micro defects and macro defects.
  • 2421. The system of claim 2416, wherein the defects comprises micro defects or macro defects.
  • 2422. The system of claim 2416, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 2423. The system of claim 2416, wherein the defects comprise copper contamination on a back side of the specimen.
  • 2424. The system of claim 2416, wherein the remote controller computer is further configured to determine a fourth property of the specimen from the at least partially processed one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2425. The system of claim 2424, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2426. The system of claim 2416, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2427. The system of claim 2426, wherein the defects comprise macro defects.
  • 2428. The system of claim 2416, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the specimen.
  • 2429. The system of claim 2416, wherein the remote controller computer is coupled to a process tool.
  • 2430. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
  • 2431. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 2432. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 2433. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 2434. The system of claim 2433, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 2435. The system of claim 2434, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 2436. The system of claim 2416, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during the process step.
  • 2437. The system of claim 2436, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2438. The system of claim 2436, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 2439. The system of claim 2416, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 2440. The system of claim 2439, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during said moving.
  • 2441. The system of claim 2416, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 2442. The system of claim 2416, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 2443. The system of claim 2442, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 2444. The system of claim 2416, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 2445. The system of claim 2416, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 2446. The system of claim 2416, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 2447. The system of claim 2416, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 2448. The system of claim 2416, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 2449. The system of claim 2416, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 2450. The system of claim 2449, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 2451. The system of claim 2449, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 2452. The system of claim 2416, wherein the remote controller computer is further coupled to a plurality of measurement devices, wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
  • 2453. The system of claim 2416, wherein the remote controller computer is coupled to at least one of a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 2454. A method for determining at least three properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2455. The method of claim 2454, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2456. The method of claim 2454, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
  • 2457. The method of claim 2454, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2458. The method of claim 2454, wherein the defects comprise micro defects and macro defects.
  • 2459. The method of claim 2454, wherein the defects comprises micro defects or macro defects.
  • 2460. The method of claim 2454, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
  • 2461. The method of claim 2454, wherein the defects comprise copper contamination on a back side of the specimen.
  • 2462. The method of claim 2454, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 2463. The method of claim 2462, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 2464. The method of claim 2454, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2465. The method of claim 2464, wherein the defects comprise macro defects.
  • 2466. The method of claim 2454, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 2467. The method of claim 2454, wherein the remote controller computer is coupled to a process tool.
  • 2468. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
  • 2469. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen comprises using a feedback control technique.
  • 2470. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen comprises using a feedforward control technique.
  • 2471. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 2472. The method of claim 2471, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 2473. The method of claim 2472, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 2474. The method of claim 2454, wherein the illumination system and the detection system are coupled to a process chamber of a process tool, the method further comprising performing said directing and said detecting during a process step.
  • 2475. The method of claim 2474, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2476. The method of claim 2474, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 2477. The method of claim 2454, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 2478. The method of claim 2454, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 2479. The method of claim 2454, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 2480. The method of claim 2479, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2481. The method of claim 2454, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 2482. The method of claim 2454, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 2483. The method of claim 2454, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 2484. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen.
  • 2485. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer.
  • 2486. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the remote controller computer.
  • 2487. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
  • 2488. The method of claim 2487, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer.
  • 2489. The method of claim 2487, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the remote controller computer.
  • 2490. The method of claim 2454, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 2491. The method of claim 2490, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 2492. The method of claim 2490, wherein at least one of the plurality of measurement devices is coupled to one of a plurality of process tools.
  • 2493. The method of claim 2492, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 2494. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
  • 2495. The system of claim 2494, wherein the stage is further configured to move laterally during use.
  • 2496. The system of claim 2494, wherein the stage is further configured to move rotatably during use.
  • 2497. The system of claim 2494, wherein the stage is further configured to move laterally and rotatably during use.
  • 2498. The system of claim 2494, wherein the illumination system comprises a single energy source.
  • 2499. The system of claim 2494, wherein the illumination system comprises more than one energy source.
  • 2500. The system of claim 2494, wherein the detection system comprises a single energy sensitive device.
  • 2501. The system of claim 2494, wherein the detection system comprises more than one energy sensitive devices.
  • 2502. The system of claim 2494, wherein the measurement device further comprises a coherence probe microscope.
  • 2503. The system of claim 2494, wherein the measurement device further comprises an interferometer.
  • 2504. The system of claim 2494, wherein the measurement device further comprises an optical profilometer.
  • 2505. The system of claim 2494, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2506. The system of claim 2494, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2507. The system of claim 2494, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2508. The system of claim 2494, wherein the measurement device further comprises a beam profile ellipsometer.
  • 2509. The system of claim 2494, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2510. The system of claim 2494, wherein the measurement device further comprises a scatterometer.
  • 2511. The system of claim 2494, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2512. The system of claim 2494, wherein the measurement device further comprises a reflectometer.
  • 2513. The system of claim 2494, wherein the measurement device further comprises a bright field imaging device.
  • 2514. The system of claim 2494, wherein the measurement device further comprises a dark field imaging device.
  • 2515. The system of claim 2494, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2516. The system of claim 2494, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2517. The system of claim 2494, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2518. The system of claim 2494, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 2519. The system of claim 2494, wherein the system is coupled to a process tool.
  • 2520. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 2521. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 2522. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 2523. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 2524. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 2525. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 2526. The system of claim 2494, wherein the system is coupled to a lithography tool, wherein the system is configured to determine the flatness measurement of the specimen prior to an exposure step of the lithography process, and wherein the system is configured to determine the overlay misregistration subsequent to the exposure step of the lithography process.
  • 2527. The system of claim 2494, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2528. The system of claim 2494, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2529. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 2530. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2531. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2532. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2533. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2534. The system of claim 2494, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 2535. The system of claim 2534, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 2536. The system of claim 2535, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2537. The system of claim 2535, wherein the processor is further coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 2538. The system of claim 2494, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 2539. The system of claim 2538, wherein the system is further configured to determine at least one of the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 2540. The system of claim 2494, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 2541. The system of claim 2494, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 2542. The system of claim 2541, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 2543. The system of claim 2494, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 2544. The system of claim 2494, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 2545. The system of claim 2494, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 2546. The system of claim 2494, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 2547. The system of claim 2494, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 2548. The system of claim 2494, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens determined using a plurality of measurement devices.
  • 2549. The system of claim 2548, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 2550. The system of claim 2548, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 2551. The system of claim 2494, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 2552. The system of claim 2494, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 2553. The system of claim 2494, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2554. The system of claim 2494, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 2555. The system of claim 2494, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 2556. The system of claim 2494, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 2557. The system of claim 2556, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 2558. The system of claim 2557, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 2559. The system of claim 2494, wherein the processor is further coupled to a plurality of measurement devices, and wherein the plurality of measurement devices is coupled to a plurality of process tools.
  • 2560. The system of claim 2494, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 2561. The system of claim 2494, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 2562. The system of claim 2561, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 2563. The system of claim 2561, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 2564. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
  • 2565. The method of claim 2564, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 2566. The method of claim 2564, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 2567. The method of claim 2564, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 2568. The method of claim 2564, wherein the illumination system comprises a single energy source.
  • 2569. The method of claim 2564, wherein the illumination system comprises more than one energy source.
  • 2570. The method of claim 2564, wherein the detection system comprises a single energy sensitive device.
  • 2571. The method of claim 2564, wherein the detection system comprises more than one energy sensitive devices.
  • 2572. The method of claim 2564, wherein the measurement device further comprises a coherence probe microscope.
  • 2573. The method of claim 2564, wherein the measurement device further comprises an interferometer.
  • 2574. The method of claim 2564, wherein the measurement device further comprises an optical profilometer.
  • 2575. The method of claim 2564, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2576. The method of claim 2564, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2577. The method of claim 2564, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2578. The method of claim 2564, wherein the measurement device further comprises a beam profile ellipsometer.
  • 2579. The method of claim 2564, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2580. The method of claim 2564, wherein the measurement device further comprises a scatterometer.
  • 2581. The method of claim 2564, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2582. The method of claim 2564, wherein the measurement device further comprises a reflectometer.
  • 2583. The method of claim 2564, wherein the measurement device further comprises a bright field imaging device.
  • 2584. The method of claim 2564, wherein the measurement device further comprises a dark field imaging device.
  • 2585. The method of claim 2564, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2586. The method of claim 2564, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2587. The method of claim 2564, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2588. The method of claim 2564, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 2589. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool.
  • 2590. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 2591. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 2592. The method of claim 2564, wherein the stage and the measurement device are coupled to a lithography tool.
  • 2593. The method of claim 2564, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
  • 2594. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 2595. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 2596. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 2597. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2598. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2599. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2600. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2601. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2602. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2603. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 2604. The method of claim 2603, further comprising performing said directing and said detecting during the process step.
  • 2605. The method of claim 2604, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2606. The method of claim 2604, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 2607. The method of claim 2564, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 2608. The method of claim 2607, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 2609. The method of claim 2564, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 2610. The method of claim 2564, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 2611. The method of claim 2610, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2612. The method of claim 2564, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 2613. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 2614. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 2615. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 2616. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 2617. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 2618. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2619. The method of claim 2618, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 2620. The method of claim 2618, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 2621. The method of claim 2564, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 2622. The method of claim 2564, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at l east the one additional measurement device with the stand a lone system.
  • 2623. The method of claim 2564, where comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein th e specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2624. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
  • 2625. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
  • 2626. The method of claim 2564, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 2627. The method of claim 2626, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 2628. The method of claim 2627, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 2629. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 2630. The method of claim 2564, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2631. The method of claim 2630, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 2632. The method of claim 2630, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 2633. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, the method comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
  • 2634. The method of claim 2633, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 2635. The method of claim 2633, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 2636. The method of claim 2633, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 2637. The method of claim 2633, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 2638. The method of claim 2633, wherein the illumination system comprises a single energy source.
  • 2639. The method of claim 2633, wherein the illumination system comprises more than one energy source.
  • 2640. The method of claim 2633, wherein the detection system comprises a single energy sensitive device.
  • 2641. The method of claim 2633, wherein the detection system comprises more than one energy sensitive devices.
  • 2642. The method of claim 2633, wherein the measurement device further comprises a coherence probe microscope.
  • 2643. The method of claim 2633, wherein the measurement device further comprises an interferometer.
  • 2644. The method of claim 2633, wherein the measurement device further comprises an optical profilometer.
  • 2645. The method of claim 2633, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2646. The method of claim 2633, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2647. The method of claim 2633, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2648. The method of claim 2633, wherein the measurement device further comprises a beam profile ellipsometer.
  • 2649. The method of claim 2633, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2650. The method of claim 2633, wherein the measurement device further comprises a scatterometer.
  • 2651. The method of claim 2633, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2652. The method of claim 2633, wherein the measurement device further comprises a reflectometer.
  • 2653. The method of claim 2633, wherein the measurement device further comprises a bright field imaging device.
  • 2654. The method of claim 2633, wherein the measurement device further comprises a dark field imaging device.
  • 2655. The method of claim 2633, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2656. The method of claim 2633, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2657. The method of claim 2633, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2658. The method of claim 2633, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 2659. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool.
  • 2660. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 2661. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 2662. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 2663. The method of claim 2633, wherein the system is coupled to a lithography tool, the method further comprising controlling the system to determine the flatness measurement of the specimen prior to an exposure step of the lithography process and controlling the system to determine the overlay misregistration subsequent to the exposure step of the lithography process.
  • 2664. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 2665. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 2666. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 2667. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2668. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2669. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2670. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2671. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2672. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2673. The method of claim 2633, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 2674. The method of claim 2673, further comprising controlling the illumination system and controlling the detection system during the process step to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2675. The method of claim 2673, further comprising controlling the illumination system and controlling the detection system during the process step to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 2676. The method of claim 2633, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 2677. The method of claim 2676, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 2678. The method of claim 2633, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 2679. The method of claim 2633, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 2680. The method of claim 2679, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2681. The method of claim 2633, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 2682. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 2683. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 2684. The method of claim 2633, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 2685. The method of claim 2633, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 2686. The method of claim 2633, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises determined first and second properties of a plurality of specimens.
  • 2687. The method of claim 2686, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 2688. The method of claim 2686, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 2689. The method of claim 2633, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 2690. The method of claim 2633, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 2691. The method of claim 2633, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2692. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
  • 2693. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
  • 2694. The method of claim 2633, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 2695. The method of claim 2694, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 2696. The method of claim 2695, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 2697. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 2698. The method of claim 2633, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2699. The method of claim 2698, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 2700. The method of claim 2698, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 2701. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
  • 2702. The device of claim 2701, wherein the illumination system comprises a single energy source.
  • 2703. The device of claim 2701, wherein the illumination system comprises more than one energy source.
  • 2704. The device of claim 2701, wherein the detection system comprises a single energy sensitive device.
  • 2705. The device of claim 2701, wherein the detection system comprises more than one energy sensitive devices.
  • 2706. The device of claim 2701, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2707. The device of claim 2701, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2708. The device of claim 2701, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2709. The device of claim 2701, wherein the stage and the measurement device are coupled to a process tool.
  • 2710. The device of claim 2701, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 2711. The device of claim 2701, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
  • 2712. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
  • 2713. The method of claim 2712, wherein the illumination system comprises a single energy source.
  • 2714. The method of claim 2712, wherein the illumination system comprises more than one energy source.
  • 2715. The method of claim 2712, wherein the detection system comprises a single energy sensitive device.
  • 2716. The method of claim 2712, wherein the detection system comprises more than one energy sensitive devices.
  • 2717. The method of claim 2712, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2718. The method of claim 2712, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2719. The method of claim 2712, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2720. The method of claim 2712, wherein the stage and the measurement device are coupled to a process tool.
  • 2721. The method of claim 2712, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 2722. The method of claim 2712, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
  • 2723. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
  • 2724. The system of claim 2723, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2725. The system of claim 2723, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2726. The system of claim 2723, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2727. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool.
  • 2728. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 2729. The system of claim 2723, wherein the system is coupled to a lithography tool, wherein the system is configured to determine the flatness measurement of the specimen prior to an exposure step of the lithography process, and wherein the system is configured to determine the overlay misregistration subsequent to the exposure step of the lithography process.
  • 2730. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 2731. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 2732. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 2733. The system of claim 2732, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 2734. The system of claim 2733, wherein the remote controller computer is further configured to alter a parameter of one or more instruments in response to the relationship during use.
  • 2735. The system of claim 2723, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 2736. The system of claim 2735, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2737. The system of claim 2735, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 2738. The system of claim 2723, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 2739. The system of claim 2723, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 2740. The system of claim 2723, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 2741. The system of claim 2723, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 2742. The system of claim 2741, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 2743. The system of claim 2723, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 2744. The system of claim 2723, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 2745. The system of claim 2723, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 2746. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
  • 2747. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 2748. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 2749. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2750. The system of claim 2749, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 2751. The system of claim 2749, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 2752. The system of claim 2723, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 2753. The system of claim 2723, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the plurality of process tools during use.
  • 2754. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2755. The method of claim 2754, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2756. The method of claim 2754, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2757. The method of claim 2754, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2758. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool.
  • 2759. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool is comprises a lithography tool.
  • 2760. The method of claim 2754, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
  • 2761. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 2762. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 2763. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 2764. The method of claim 2763, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 2765. The method of claim 2764, further comprising altering a parameter of one or more instruments coupled to the process tool in response to the relationship using the remote controller computer.
  • 2766. The method of claim 2754, wherein the illumination system and the detection system are coupled to a process chamber of a process tool, the method further comprising performing said directing and said detecting during a process step.
  • 2767. The method of claim 2766, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2768. The method of claim 2766, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 2769. The method of claim 2754, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 2770. The method of claim 2754, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 2771. The method of claim 2754, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 2772. The method of claim 2771, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 2773. The method of claim 2754, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 2774. The method of claim 2754, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 2775. The method of claim 2754, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 2776. The method of claim 2754, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
  • 2777. The method of claim 2754, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring the measurement device using the remote controller computer and the database.
  • 2778. The method of claim 2754, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2779. The method of claim 2778, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 2780. The method of claim 2778, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
  • 2781. The method of claim 2754, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 2782. The method of claim 2781, wherein at least one of the plurality of measurement devices is coupled to a process tool.
  • 2783. The method of claim 2782, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 2784. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 2785. The system of claim 2784, wherein the stage is further configured to move laterally during use.
  • 2786. The system of claim 2784, wherein the stage is further configured to move rotatably during use.
  • 2787. The system of claim 2784, wherein the stage is further configured to move laterally and rotatably during use.
  • 2788. The system of claim 2784, wherein the illumination system comprises a single energy source.
  • 2789. The system of claim 2784, wherein the illumination system comprises more than one energy source.
  • 2790. The system of claim 2784, wherein the detection system comprises a single energy sensitive device.
  • 2791. The system of claim 2784, wherein the detection system comprises more than one energy sensitive devices.
  • 2792. The system of claim 2784, wherein the measurement device further comprises a modulated optical reflectometer.
  • 2793. The system of claim 2784, wherein the measurement device further comprises an X-ray reflectance device.
  • 2794. The system of claim 2784, wherein the measurement device further comprises an eddy current device.
  • 2795. The system of claim 2784, wherein the measurement device further comprises a photo-acoustic device.
  • 2796. The system of claim 2784, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2797. The system of claim 2784, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2798. The system of claim 2784, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2799. The system of claim 2784, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2800. The system of claim 2784, wherein the measurement device further comprises a scatterometer.
  • 2801. The system of claim 2784, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2802. The system of claim 2784, wherein the measurement device further comprises a reflectometer.
  • 2803. The system of claim 2784, wherein the measurement device further comprises an ellipsometer.
  • 2804. The system of claim 2784, wherein the measurement device further comprises a non-imaging bright field device.
  • 2805. The system of claim 2784, wherein the measurement device further comprises a non-imaging dark field device.
  • 2806. The system of claim 2784, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 2807. The system of claim 2784, wherein the measurement device further comprises a bright field imaging device.
  • 2808. The system of claim 2784, wherein the measurement device further comprises a dark field imaging device.
  • 2809. The system of claim 2784, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2810. The system of claim 2784, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2811. The system of claim 2784, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2812. The system of claim 2784, wherein the measurement device further comprises non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the specimen.
  • 2813. The system of claim 2784, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
  • 2814. The system of claim 2784, wherein the defects comprise micro defects and macro defects.
  • 2815. The system of claim 2784, wherein the defects comprises micro defects or macro defects.
  • 2816. The system of claim 2784, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2817. The system of claim 2816, wherein the defects comprise macro defects.
  • 2818. The system of claim 2784, wherein the system is further configured to determine at least the two properties of the specimen substantially simultaneously during use.
  • 2819. The system of claim 2784, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 2820. The system of claim 2784, wherein the system is coupled to a process tool.
  • 2821. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 2822. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 2823. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 2824. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 2825. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 2826. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 2827. The system of claim 2784, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2828. The system of claim 2784, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2829. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the process toot is selected from the group consisting of an ion implanter and a thermal tool.
  • 2830. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2831. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2832. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2833. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2834. The system of claim 2784, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 2835. The system of claim 2834, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 2836. The system of claim 2835, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2837. The system of claim 2835, wherein the processor is further coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 2838. The system of claim 2784, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 2839. The system of claim 2838, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 2840. The system of claim 2784, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 2841. The system of claim 2784, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 2842. The system of claim 2841, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 2843. The system of claim 2784, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to t at least one of the determined properties of the specimen during use.
  • 2844. The system of claim 2784, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 2845. The system of claim 2784, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 2846. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
  • 2847. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 2848. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 2849. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2850. The system of claim 2849, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 2851. The system of claim 2849, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 2852. The system of claim 2784, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 2853. The system of claim 2784, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 2854. The system of claim 2784, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2855. The system of claim 2784, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 2856. The system of claim 2784, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 2857. The system of claim 2784, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 2858. The system of claim 2857, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 2859. The system of claim 2858, wherein the processor is further configured to alter a parameter of one or more instruments in response to the relationship during use.
  • 2860. The system of claim 2784, wherein the processor is further coupled to a plurality of measurement devices, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of measurement devices during use.
  • 2861. The system of claim 2784, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 2862. The system of claim 2861, wherein the processor is further coupled to at least one of the plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 2863. The system of claim 2784, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 2864. The system of claim 2863, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 2865. The system of claim 2863, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 2866. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 2867. The method of claim 2866, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 2868. The method of claim 2866, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 2869. The method of claim 2866, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 2870. The method of claim 2866, wherein the illumination system comprises a single energy source.
  • 2871. The method of claim 2866, wherein the illumination system comprises more than one energy source.
  • 2872. The method of claim 2866, wherein the detection system comprises a single energy sensitive device.
  • 2873. The method of claim 2866, wherein the detection system comprises more than one energy sensitive devices.
  • 2874. The method of claim 2866, wherein the measurement device further comprises a modulated optical reflectometer.
  • 2875. The method of claim 2866, wherein the measurement device further comprises an X-ray reflectance device.
  • 2876. The method of claim 2866, wherein the measurement device further comprises an eddy current device.
  • 2877. The method of claim 2866, wherein the measurement device further comprises a photo-acoustic device.
  • 2878. The method of claim 2866, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2879. The method of claim 2866, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2880. The method of claim 2866, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2881. The method of claim 2866, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2882. The method of claim 2866, wherein the measurement device further comprises a scatterometer.
  • 2883. The method of claim 2866, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2884. The method of claim 2866, wherein the measurement device further comprises a reflectometer.
  • 2885. The method of claim 2866, wherein the measurement device further comprises an ellipsometer.
  • 2886. The method of claim 2866, wherein the measurement device further comprises a non-imaging bright field device.
  • 2887. The method of claim 2866, wherein the measurement device further comprises a non-imaging dark field device.
  • 2888. The method of claim 2866, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 2889. The method of claim 2866, wherein the measurement device further comprises a bright field imaging device.
  • 2890. The method of claim 2866, wherein the measurement device further comprises a dark field imaging device.
  • 2891. The method of claim 2866, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2892. The method of claim 2866, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2893. The method of claim 2866, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2894. The method of claim 2866, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 2895. The method of claim 2866, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of ions in the implanted region, and a distribution profile of the implanted region.
  • 2896. The method of claim 2866, wherein the defects comprise micro defects and macro defects.
  • 2897. The method of claim 2866, wherein the defects comprises micro defects or macro defects.
  • 2898. The method of claim 2866, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2899. The method of claim 2898, wherein the defects comprise macro defects.
  • 2900. The method of claim 2866, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 2901. The method of claim 2866, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 2902. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool.
  • 2903. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 2904. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 2905. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
  • 2906. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 2907. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 2908. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 2909. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2910. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of th e support device.
  • 2911. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2912. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2913. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2914. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2915. The method of claim 2866, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 2916. The method of claim 2915, further comprising performing said directing and said detecting during the process step.
  • 2917. The method of claim 2916, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2918. The method of claim 2916, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 2919. The method of claim 2866, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 2920. The method of claim 2919, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 2921. The method of claim 2866, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 2922. The method of claim 2866, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 2923. The method of claim 2922, further comprising generating an output signal if at least one of the determined properties of the specimen are outside of the predetermined range for the property.
  • 2924. The method of claim 2866, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 2925. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 2926. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 2927. The method of claim 2866, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 2928. The method of claim 2866, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 2929. The method of claim 2866, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 2930. The method of claim 2929, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 2931. The method of claim 2929, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 2932. The method of claim 2866, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 2933. The method of claim 2866, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 2934. The method of claim 2866, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 2935. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
  • 2936. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
  • 2937. The method of claim 2866, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 2938. The method of claim 2937, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 2939. The method of claim 2938, further comprising altering the parameter of the instrument in response to the relationship.
  • 2940. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties.
  • 2941. The method of claim 2866, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 2942. The method of claim 2941, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 2943. The method of claim 2941, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 2944. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 2945. The method of claim 2944, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 2946. The method of claim 2944, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 2947. The method of claim 2944, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 2948. The method of claim 2944, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 2949. The method of claim 2944, wherein the illumination system comprises a single energy source.
  • 2950. The method of claim 2944, wherein the illumination system comprises more than one energy source.
  • 2951. The method of claim 2944, wherein the detection system comprises a single energy sensitive device.
  • 2952. The method of claim 2944, wherein the detection system comprises more than one energy sensitive devices.
  • 2953. The method of claim 2944, wherein the measurement device further comprises a modulated optical reflectometer.
  • 2954. The method of claim 2944, wherein the measurement device further comprises an X-ray reflectance device.
  • 2955. The method of claim 2944, wherein the measurement device further comprises an eddy current device.
  • 2956. The method of claim 2944, wherein the measurement device further comprises a photo-acoustic device.
  • 2957. The method of claim 2944, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 2958. The method of claim 2944, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 2959. The method of claim 2944, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 2960. The method of claim 2944, wherein the measurement device further comprises a non-imaging scatterometer.
  • 2961. The method of claim 2944, wherein the measurement device further comprises a scatterometer.
  • 2962. The method of claim 2944, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 2963. The method of claim 2944, wherein the measurement device further comprises a reflectometer.
  • 2964. The method of claim 2944, wherein the measurement device further comprises an ellipsometer.
  • 2965. The method of claim 2944, wherein the measurement device further comprises a non-imaging bright field device.
  • 2966. The method of claim 2944, wherein the measurement device further comprises a non-imaging dark field device.
  • 2967. The method of claim 2944, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 2968. The method of claim 2944, wherein the measurement device further comprises a bright field imaging device.
  • 2969. The method of claim 2944, wherein the measurement device further comprises a dark field imaging device.
  • 2970. The method of claim 2944, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 2971. The method of claim 2944, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 2972. The method of claim 2944, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 2973. The method of claim 2944, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the specimen.
  • 2974. The method of claim 2944, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
  • 2975. The method of claim 2944, wherein the defects comprise micro defects and macro defects.
  • 2976. The method of claim 2944, wherein the defects comprises micro defects or macro defects.
  • 2977. The method of claim 2944, further comprising: controlling the illumination system to direct energy toward a bottom surface of the specimen; and controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 2978. The method of claim 2977, wherein the defects comprise macro defects.
  • 2979. The method of claim 2944, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 2980. The method of claim 2944, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 2981. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool.
  • 2982. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 2983. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 2984. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
  • 2985. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 2986. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 2987. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 2988. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 2989. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 2990. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 2991. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 2992. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 2993. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 2994. The method of claim 2944, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 2995. The method of claim 2994, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 2996. The method of claim 2994, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 2997. The method of claim 2994, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 2998. The method of claim 2944, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 2999. The method of claim 2998, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 3000. The method of claim 2944, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 3001 The method of claim 2944, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 3002. The method of claim 3001, further comprising generating an output signal if at least one of the determined properties of the specimen are outside of the predetermined range for the property.
  • 3003. The method of claim 2944, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 3004. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 3005. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 3006. The method of claim 2944, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 3007. The method of claim 2944, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 3008. The method of claim 2944, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3009. The method of claim 3008, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 3010. The method of claim 3008, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 3011. The method of claim 2944, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 3012. The method of claim 2944, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 3013. The method of claim 2944, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3014. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
  • 3015. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
  • 3016. The method of claim 2944, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 3017. The method of claim 3016, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 3018. The method of claim 3017, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 3019. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 3020. The method of claim 2944, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3021. The method of claim 3020, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 3022. The method of claim 3020, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 3023. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 3024. The device of claim 3023, wherein the illumination system comprises a single energy source.
  • 3025. The device of claim 3023, wherein the illumination system comprises more than one energy source.
  • 3026. The device of claim 3023, wherein the detection system comprises a single energy sensitive device.
  • 3027. The device of claim 3023, wherein the detection system comprises more than one energy sensitive devices.
  • 3028. The device of claim 3023, wherein the measurement device further comprises a modulated optical reflectometer.
  • 3029. The device of claim 3023, wherein the measurement device further comprises an X-ray reflectance device.
  • 3030. The device of claim 3023, wherein the measurement device further comprises an eddy current device.
  • 3031. The device of claim 3023, wherein the measurement device further comprises a photo-acoustic device.
  • 3032. The device of claim 3023, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3033. The device of claim 3023, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 3034. The device of claim 3023, wherein the measurement device further comprises a dual beam spectrophotometer.
  • 3035. The device of claim 3023, wherein the measurement device further comprises a non-imaging scatterometer.
  • 3036. The device of claim 3023, wherein the measurement device further comprises a scatterometer.
  • 3037. The device of claim 3023, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 3038. The device of claim 3023, wherein the measurement device further comprises a reflectometer.
  • 3039. The device of claim 3023, wherein the measurement device further comprises an ellipsometer.
  • 3040. The device of claim 3023, wherein the measurement device further comprises a non-imaging bright field device.
  • 3041. The device of claim 3023, wherein the measurement device further comprises a non-imaging dark field device.
  • 3042. The device of claim 3023, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 3043. The device of claim 3023, wherein the measurement device further comprises a bright field imaging device.
  • 3044. The device of claim 3023, wherein the measurement device further comprises a dark field imaging device.
  • 3045. The device of claim 3023, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 3046. The device of claim 3023, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 3047. The device of claim 3023, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 3048. The device of claim 3023, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 3049. The device of claim 3023, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
  • 3050. The device of claim 3023, wherein the defects comprise micro defects and macro defects.
  • 3051. The device of claim 3023, wherein the defects comprises micro defects or macro defects.
  • 3052. The device of claim 3023, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 3053. The device of claim 3052, wherein the defects comprise macro defects.
  • 3054. The device of claim 3023, wherein the stage and the measurement device are coupled to a process tool.
  • 3055. The device of claim 3023, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
  • 3056. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 3057. The method of claim 3056, wherein the illumination system comprises a single energy source.
  • 3058. The method of claim 3056, wherein the illumination system comprises more than one energy source.
  • 3059. The method of claim 3056, wherein the detection system comprises a single energy sensitive device.
  • 3060. The method of claim 3056, wherein the detection system comprises more than one energy sensitive devices.
  • 3061. The method of claim 3056, wherein the measurement device is selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 3062. The method of claim 3056, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 3063. The method of claim 3056, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 3064. The method of claim 3056, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 3065. The method of claim 3056, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
  • 3066. The method of claim 3056, wherein the defects comprise micro defects and macro defects.
  • 3067. The method of claim 3056, wherein the defects comprises micro defects or macro defects.
  • 3068. The method of claim 3056, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 3069. The method of claim 3068, wherein the defects comprise macro defects.
  • 3070. The method of claim 3056, wherein the stage and the measurement device are coupled to a process tool.
  • 3071. The method of claim 3056, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
  • 3072. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
  • 3073. The system of claim 3072, wherein the measurement device is selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 3074. The system of claim 3072, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 3075. The system of claim 3072, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 3076. The system of claim 3072, wherein the measurement device further comprises non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the specimen.
  • 3077. The system of claim 3072, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution of the implanted region.
  • 3078. The system of claim 3072, wherein the defects comprise micro defects and macro defects.
  • 3079. The system of claim 3072, wherein the defects comprises micro defects or macro defects 3080. The system of claim 3072, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 3081. The system of claim 3072, wherein the defects comprise macro defects.
  • 3082. The system of claim 3072, wherein the remote controller computer is further coupled to a process tool.
  • 3083. The system of claim 3072, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
  • 3084. The system of claim 3072, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 3085. The system of claim 3072, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 3086. The system of claim 3072, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 3087. The system of claim 3086, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 3088. The system of claim 3087, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 3089. The system of claim 3072, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 3090. The system of claim 3089, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3091. The system of claim 3089, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 3092. The system of claim 3072, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 3093. The system of claim 3092, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 3094. The system of claim 3072, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 3095. The system of claim 3072, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 3096. The system of claim 3095, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 3097. The system of claim 3072, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 3098. The system of claim 3072, wherein the remote controller computer is further configured to alter a parameter of one or more instrument s coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 3099. The system of claim 3072, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 3100. The system of claim 3072, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 3101. The system of claim 3072, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 3102. The system of claim 3072, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, wherein the database further comprises first and second properties of a plurality of specimens, and wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 3103. The system of claim 3102, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 3104. The system of claim 3102, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 3105. The system of claim 3072, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to a process tool.
  • 3106. The system of claim 3072, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 3107. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3108. The method of claim 3107, wherein the measurement device is selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 3109. The method of claim 3107, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
  • 3110. The method of claim 3107, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 3111. The method of claim 3107, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
  • 3112. The method of claims 107, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
  • 3113. The method of claim 3107, wherein the defects comprise micro defects and macro defects.
  • 3114. The method of claim 3107, wherein the defects comprises micro defects or macro defects.
  • 3115. The method of claim 3107, further comprising: directing energy toward a bottom surface of the specimen; and detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
  • 3116. The method of claim 3115, wherein the defects comprise macro defects.
  • 3117. The method of claim 3107, wherein the remote controller computer is coupled to a process tool.
  • 3118. The method of claim 3107, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
  • 3119. The method of claim 3107, wherein the remote controller computer is coupled to a process tool. the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 3120. The method of claim 3107, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 3121. The method of claim 3107, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 3122. The method of claim 3121, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 3123. The method of claim 3122, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 3124. The method of claim 3107, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
  • 3125. The method of claim 3124, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3126. The method of claim 3124, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 3127. The method of claim 3107, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 3128. The method of claim 3107, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 3129. The method of claim 3107, further comprising comparing at least one of the determined properties to a predetermined range for the property using the remote controller computer.
  • 3130. The method of claim 3129, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 3131. The method of claim 3107, wherein the remote controller computer is coupled to the measurement device.
  • 3132. The method of claim 3107, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3133. The method of claim 3107, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 3134. The method of claim 3107, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 3135. The method of claim 3107, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
  • 3136. The method of claim 3107, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the remote controller computer and the database.
  • 3137. The method of claim 3107, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3138. The method of claim 3137, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 3139. The method of claim 3137, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
  • 3140. The method of claim 3107, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 3141. The method of claim 3140, wherein at least one of the plurality of measurement devices is coupled to a process tool.
  • 3142. The method of claim 3107, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3143. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises an adhesion characteristic of the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3144. The system of claim 3143, wherein the stage is further configured to move laterally during use.
  • 3145. The system of claim 3143, wherein the stage is further configured to move rotatably during use.
  • 3146. The system of claim 3143, wherein the stage is further configured to move laterally and rotatably during use.
  • 3147. The system of claim 3143, wherein the measurement device further comprises a photo-acoustic device.
  • 3148. The system of claim 3143, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3149. The system of claim 3143, wherein the measurement device further comprises an ellipsometer.
  • 3150. The system of claim 3143, wherein the measurement device further comprises an X-ray reflectometer.
  • 3151. The system of claim 3143, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3152. The system of claim 3143, wherein the measurement device further comprises an X-ray diffractometer.
  • 3153. The system of claim 3143, wherein the measurement device further comprises a photo-acoustic device and an ellipsometer.
  • 3154. The system of claim 3143, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, and an eddy current device.
  • 3155. The system of claim 3143, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein elements of the first measurement device comprise elements of the second measurement device.
  • 3156. The system of claim 3143, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3157. The system of claim 3156, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3158. The system of claim 3143, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 3159. The system of claim 3143, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3160. The system of claim 3143, wherein the system is coupled to a process tool.
  • 3161. The system of claim 3143, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 3162. The system of claim 3143, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 3163. The system of claim 3143, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 3164. The system of claim 3143, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 3165. The system of claim 3143, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 3166. The system of claim 3143, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 3167. The system of claim 3143, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 3168. The system of claim 3143, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 3169. The system of claim 3143, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3170. The system of claim 3143, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 3171. The system of claim 3143, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 3172. The system of claim 3143, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 3173. The system of claim 3143, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 3174. The system of claim 3143, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 3175. The system of claim 3174, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 3176. The system of claim 3174, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3177. The system of claim 3174, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 3178. The system of claim 3143, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 3179. The system of claim 3178, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 3180. The system of claim 3143, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 3181. The system of claim 3143, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 3182. The system of claim 3181, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 3183. The system of claim 3143, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 3184. The system of claim 3143, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 3185. The system of claim 3143, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 3186. The system of claim 3143, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 3187. The system of claim 3143, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 3188. The system of claim 3143, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3189. The system of claim 3188, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 3190. The system of claim 3188, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 3191. The system of claim 3143, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 3192. The system of claim 3143, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 3193. The system of claim 3143, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3194. The system of claim 3143, wherein the processor is further coupled to a process tool.
  • 3195. The system of claim 3143, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 3196. The system of claim 3143, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 3197. The system of claim 3143, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 3198. The system of claim 3197, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 3199. The system of claim 3198, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 3200. The system of claim 3143, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to a process tool.
  • 3201. The system of claim 3143, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 3202. The system of claim 3143, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 3203. The system of claim 3202, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 3204. The system of claim 3202, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 3205. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises an adhesion characteristic of the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3206. The method of claim 3205, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 3207. The method of claim 3205, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 3208. The method of claim 3205, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 3209. The method of claim 3205, where in the measurement device further comprises a photo-acoustic device.
  • 3210. The method of claim 3205, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3211. The method of claim 3205, wherein the measurement device further comprises an ellipsometer.
  • 3212. The method of claim 3205, wherein the measurement device further comprises an X-ray reflectometer.
  • 3213. The method of claim 3205, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3214. The method of claim 3205, wherein the measurement device further comprises an X-ray diffractometer.
  • 3215. The method of claim 3205, wherein the measurement device further comprises a photo-acoustic device and an ellipsometer.
  • 3216. The method of claim 3205, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, a spectroscopic ellipsomter, an ellipsomter, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, and an eddy current device.
  • 3217. The method of claim 3205, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3218. The method of claim 3205, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3219. The method of claim 3218, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3220. The method of claim 3205, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 3221. The method of claim 3205, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3222. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool.
  • 3223. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 3224. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 3225. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3226. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 3227. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 3228. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 3229. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 3230. The method of claim 3205, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 3231. The method of claim 3205, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 3232. The method of claim 3205, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 3233. The method of claim 3205, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 3234. The method of claim 3205, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 3235. The method of claim 3205, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 3236. The method of claim 3235, further comprising performing said directing and said detecting during the process step.
  • 3237. The method of claim 3236, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3238. The method of claim 3236, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 3239. The method of claim 3205, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 3240. The method of claim 3239, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 3241. The method of claim 3205, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 3242. The method of claim 3205, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 3243. The method of claim 3242, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 3244. The method of claim 3205, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 3245. The method of claim 3205, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 3246. The method of claim 3205, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 3247. The method of claim 3205, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 3248. The method of claim 3205, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 3249. The method of claim 3205, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3250. The method of claim 3249, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 3251. The method of claim 3249, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 3252. The method of claim 3205, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 3253. The method of claim 3205, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 3254. The method of claim 3205, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3255. The method of claim 3205, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 3256. The method of claim 3205, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 3257. The method of claim 3205, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 3258. The method of claim 3257, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 3259. The method of claim 3258, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 3260. The method of claim 3205, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 3261. The method of claim 3205, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3262. The method of claim 3261, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 3263. The method of claim 3261, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 3264. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises an adhesion characteristic of the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3265. The method of claim 3264, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 3266. The method of claim 3264, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 3267. The method of claim 3264, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 3268. The method of claim 3264, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 3269. The method of claim 3264, wherein the measurement device further comprises a photo-acoustic device.
  • 3270. The method of claim 3264, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3271. The method of claim 3264, wherein the measurement device further comprises an ellipsometer.
  • 3272. The method of claim 3264, wherein the measurement device further comprises an X-ray reflectometer.
  • 3273. The method of claim 3264, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3274. The method of claim 3264, wherein the measurement device further comprises an X-ray diffractometer.
  • 3275. The method of claim 3264, wherein the measurement device further comprises a photo-acoustic device and an ellipsometer.
  • 3276. The method of claim 3264, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, and an eddy current device.
  • 3277. The method of claim 3264, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3278. The method of claim 3264, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3279. The method of claim 3278, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3280. The method of claim 3264, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 3281. The method of claim 3264, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3282. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool.
  • 3283. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 3284. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 3285. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, and ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3286. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 3287. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 3288. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 3289. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 3290. The method of claim 3264, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 3291. The method of claim 3264, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 3292. The method of claim 3264, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 3293. The method of claim 3264, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 3294. The method of claim 3264, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 3295. The method of claim 3264, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 3296. The method of claim 3295, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 3297. The method of claim 3296, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3298. The method of claim 3264, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 3299. The method of claim 3264, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 3300. The method of claim 3299, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 3301. The method of claim 3264, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 3302. The method of claim 3264, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 3303. The method of claim 3302, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 3304. The method of claim 3264, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 3305. The method of claim 3264, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 3306. The method of claim 3264, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 3307. The method of claim 3264, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 3308. The method of claim 3264, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 3309. he method of claim 3264, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3310. The method of claim 3309, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 3311. The method of claim 3309, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 3312. The method of claim 3264, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 3313. The method of claim 3264, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 3314. The method of claim 3264, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3315. The method of claim 3264, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 3316. The method of claim 3264, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 3317. The method of claim 3264, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 3318. The method of claim 3317, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 3319. The method of claim 3317, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 3320. The method of claim 3264, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 3321. The method of claim 3264, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3322. The method of claim 3321, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 3323. The method of claim 3321, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 3324. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the portion of the semiconductor device, wherein the first property comprises an adhesion characteristic on the portion of the specimen, and wherein the second property comprises a thickness of the portion of the specimen.
  • 3325. The device of claim 3324, wherein the measurement device further comprises a photo-acoustic device.
  • 3326. The device of claim 3324, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3327. The device of claim 3324, wherein the measurement device further comprises an ellipsometer.
  • 3328. The device of claim 3324, wherein the measurement device further comprises an X-ray reflectometer.
  • 3329. The device of claim 3324, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3330. The device of claim 3324, wherein the measurement device further comprises an X-ray diffractometer.
  • 3331. The device of claim 3324, wherein the measurement device further comprises a photo-acoustic device and an ellipsometer.
  • 3332. The device of claim 3324, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, and an eddy current device.
  • 3333. The device of claim 3324, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3334. The method of claim 3324, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen and a roughness of a feature of the specimen.
  • 3335. The method of claim 3334, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3336 The device of claim 3324, wherein the stage and the measurement device are coupled to a process tool.
  • 3337. The device of claim 3324, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3338. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy during use; and processing the one or more output signals to determine a first property and a second property of the portion of the semiconductor device, wherein the first property comprises an adhesion characteristic of the portion of the specimen, and wherein the second property comprises a thickness of the portion of the specimen.
  • 3339. The method of claim 3338, wherein the measurement device further comprises a photo-acoustic device.
  • 3340. The method of claim 333S, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3341. The method of claim 3338, wherein the measurement device further comprises an ellipsometer.
  • 3342. The method of claim 3338, wherein the measurement device further comprises an X-ray reflectometer.
  • 3343. The method of claim 3338, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3344. The method of claim 3338, wherein the measurement device further comprises an X-ray diffractometer.
  • 3345. The method of claim 3338, wherein the measurement device further comprises a photo-acoustic device and an ellipsometer.
  • 3346. The method of claim 3338, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, and an eddy current device.
  • 3347. The method of claim 3338, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3348. The method of claim 3338, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3349. The method of claim 3348, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3350. The method of claim 3338, wherein the stage and the measurement device are coupled to a process tool.
  • 3351. The method of claim 3338, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3352. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises an adhesion characteristic of the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3353. The system of claim 3352, wherein the measurement device further comprises a photo-acoustic device.
  • 3354. The system of claim 3352, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3355. The system of claim 3352, wherein the measurement device further comprises an ellipsometer.
  • 3356. The system of claim 3352, wherein the measurement device further comprises an X-ray reflectometer.
  • 3357. The system of claim 3352, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3358. The system of claim 3352, wherein the measurement device further comprises an X-ray diffractometer.
  • 3359. The system of claim 3352, wherein the measurement device further comprises a photo-acoustic device and an ellipsometer.
  • 3360. The system of claim 3352, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, and an eddy current device.
  • 3361. The system of claim 3352, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3362. The system of claim 3352, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3363. The system of claim 3362, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3364. The system of claim 3352, wherein the remote controller computer is further coupled to a process tool.
  • 3365. The system of claim 3352, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool is selected from a group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3366. The system of claim 3352, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 3367. The system of claim 3352, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 3368. The system of claim 3352, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 3369. The system of claim 3352, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 3370. The system of claim 3369, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 3371. The system of claim 3352, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 3372. The system of claim 3371, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3373. The system of claim 3371, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 3374. The system of claim 3352, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 3375. The system of claim 3374, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 3376. The system of claim 3352, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 3377. The system of claim 3352, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 3378. The system of claim 3377, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen are outside of the predetermined range for the property during use.
  • 3379. The system of claim 3352, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 3380. The system of claim 3352, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 3381. The system of claim 3352, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 3382. The system of claim 3352, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 3383. The system of claim 3352, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 3384. The system of claim 3352, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3385. The system of claim 3384, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, and wherein the remote controller computer is further coupled to the plurality of measurement devices.
  • 3386. The system of claim 3385, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 3387. The system of claim 3385, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 3388. The system of claim 3352, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to a process tool.
  • 3389. The system of claim 3352, wherein the remote controller computer is further coupled to a plurality of process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 3390. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises an adhesion characteristic of the specimen, and wherein the second property comprises a thickness of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3391. The method of claim 3390, wherein the measurement device further comprises a photo-acoustic device.
  • 3392. The method of claim 3390, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3393. The method of claim 3390, wherein the measurement device further comprises an ellipsometer.
  • 3394. The method of claim 3390, wherein the measurement device further comprises an X-ray reflectometer.
  • 3395. The method of claim 3390, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3396. The method of claim 3390, wherein the measurement device further comprises an X-ray diffractometer.
  • 3397. The method of claim 3390, wherein the measurement device further comprises a photo-acoustic device and an ellipsometer.
  • 3398. The method of claim 3390, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, and an eddy current device.
  • 3399. The method of claim 3390, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3400. The method of claim 3390, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3401. The method of claim 3400, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3402. The method of claim 3390, wherein the remote controller computer is coupled to a process tool.
  • 3403. The method of claim 3390, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3404. The method of claim 3390, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 3405. The method of claim 3390, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 3406. The method of claim 3390, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 3407. The method of claim 3406, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 3408. The method of claim 3407, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 3409. The method of claim 3390, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
  • 3410. The method of claim 3409, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3411. The method of claim 3409, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 3412. The method of claim 3390, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 3413. The method of claim 3390, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 3414. The method of claim 3390, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 3415. The method of claim 3414, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen are outside of the predetermined range for the property.
  • 3416. The method of claim 3390, wherein the remote controller computer is coupled to the measurement device.
  • 3417. The method of claim 3416, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3418. The method of claim 3416, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 3419. The method of claim 3416, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 3420. The method of claim 3390, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
  • 3421. The method of claim 3390, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the remote controller computer and the database.
  • 3422. The method of claim 3390, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3423. The method of claim 3422, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 3424. The method of claim 3422, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
  • 3425. The method of claim 3390, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein at least one of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 3426. The method of claim 3425, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3427. The method of claim 3425, wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 3428. The method of claim 3427, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3429. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and a processor coupled to the measurement device and configured to determine at least a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a concentration of an element in the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3430. The system of claim 3429, wherein the stage is further configured to move laterally during use.
  • 3431. The system of claim 3429, wherein the stage is further configured to move rotatably during use.
  • 3432. The system of claim 3429, wherein the stage is further configured to move laterally and rotatably during use.
  • 3433. The system of claim 3429, wherein the measurement device further comprises a photo-acoustic device.
  • 3434. The system of claim 3429, wherein the measurement device further comprises an X-ray reflectometer.
  • 3435. The system of claim 3429, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3436. The system of claim 3429, wherein the measurement device further comprises an X-ray diffractometer.
  • 3437. The system of claim 3429, wherein the measurement device further comprises an eddy current device.
  • 3438. The system of claim 3429, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3439. The system of claim 3429, wherein the measurement device further comprises an ellipsometer.
  • 3440. The system of claim 3429, wherein the measurement device further comprises a grazing X-ray reflectometer and an optical measurement device.
  • 3441. The system of claim 3429, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, and an ellipsometer.
  • 3442. The system of claim 3429, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3443. The system of claim 3429, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3444. The system of claim 3443, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3445. The system of claim 3429, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 3446. The system of claim 3429, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3447. The system of claim 3429, wherein the measurement device further comprises an X-ray diffractometer, and wherein the X-ray diffractometer is coupled to a process tool configured to grow an epitaxial layer of silicon on the specimen.
  • 3448. The system of claim 3429, wherein the system is coupled to a process tool.
  • 3449. The system of claim 3429, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 3450. The system of claim 3429, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 3451. The system of claim 3429, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 3452. The system of claim 3429, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 3453. The system of claim 3429, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 3454. The system of claim 3429, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 3455. The system of claim 3429, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 3456. The system of claim 3429, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 3457. The system of claim 3429, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3458. The system of claim 3429, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 3459. The system of claim 3429, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 3460. The system of claim 3429, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 3461. The system of claim 3429, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 3462. The system of claim 3429, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 3463. The system of claim 3462, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
  • 3464. The system of claim 3463, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3465. The system of claim 3463, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 3466. The system of claim 3429, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 3467. The system of claim 3466, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 3468. The system of claim 3429, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 3469. The system of claim 3429, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 3470. The system of claim 3469, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 3471. The system of claim 3429, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 3472. The system of claim 3429, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 3473. The system of claim 3429, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 3474. The system of claim 3429, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 3475. The system of claim 3429, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 3476. The system of claim 3429, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, wherein the database further comprises first and second properties of a plurality of specimens, and wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
  • 3477. The system of claim 3476, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 3478. The system of claim 3476, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 3479. The system of claim 3429, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 3480. The system of claim 3429, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 3481. The system of claim 3429, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3482. The system of claim 3429, wherein the processor is further coupled to a process tool.
  • 3483. The system of claim 3429, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 3484. The system of claim 3429, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 3485. The system of claim 3429, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 3486. The system of claim 3485, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 3487. The system of claim 3486, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 3488. The system of claim 3429, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to a process tool.
  • 3489. The system of claim 3429, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 3490. The system of claim 3429, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 3491. The system of claim 3490, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 3492. The system of claim 3490, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 3493. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a concentration of an element in the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3494. The method of claim 3493, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 3495. The method of claim 3493, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 3496. The method of claim 3493, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 3497. The method of claim 3493, wherein the measurement device further comprises a photo-acoustic device.
  • 3498. The method of claim 3493, wherein the measurement device further comprises an X-ray reflectometer.
  • 3499. The method of claim 3493, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3500. The method of claim 3493, wherein the measurement device further comprises an X-ray diffractometer.
  • 3501. The method of claim 3493, wherein the measurement device further comprises an eddy current device.
  • 3502. The method of claim 3493, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3503. The method of claim 3493, wherein the measurement device further comprises an ellipsometer.
  • 3504. The method of claim 3493, wherein the measurement device further comprises a grazing X-ray reflectometer and an optical measurement device.
  • 3505. The method of claim 3493, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, and an ellipsometer.
  • 3506. The method of claim 3493, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3507. The method of claim 3493, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3508. The method of claim 3507, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3509. The method of claim 3493, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 3510. The method of claim 3493, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3511. The method of claim 3493, wherein the measurement device further comprises an X-ray diffractometer, and wherein the X-ray diffractometer is coupled to a process tool configured to grow an epitaxial layer of silicon on the specimen.
  • 3512. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool.
  • 3513. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 3514. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 3515. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3516. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 3517. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 3518. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 3519. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 3520. The method of claim 3493, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 3521. The method of claim 3493, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 3522. The method of claim 3493, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 3523. The method of claim 3493, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 3524. The method of claim 3493, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 3525. The method of claim 3493, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 3526. The method of claim 3525, further comprising performing said directing and said detecting during the process step.
  • 3527. The method of claim 3526, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3528. The method of claim 3526, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 3529. The method of claim 3493, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 3530. The method of claim 3529, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 3531. The method of claim 3493, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 3532. The method of claim 3493, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 3533. The method of claim 3532, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 3534. The method of claim 3493, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined first and second properties of the specimen.
  • 3535. The method of claim 3493, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 3536. The method of claim 3493, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 3537. The method of claim 3493, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 3538. The method of claim 3493, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 3539. The method of claim 3493, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3540. The method of claim 3539, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 3541. The method of claim 3539, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 3542. The method of claim 3493, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 3543. The method of claim 3493, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 3544. The method of claim 3493, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3545. The method of claim 3493, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 3546. The method of claim 3493, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 3547. The method of claim 3493, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 3548. The method of claim 3547, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 3549. The method of claim 3548, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 3550. The method of claim 3493, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 3551. The method of claim 3493, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3552. The method of claim 3551, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 3553. The method of claim 3551, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 3554. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a concentration of an element in the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3555. The method of claim 3554, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 3556. The method of claim 3554, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 3557. The method of claim 3554, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 3558. The method of claim 3554, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 3559. The method of claim 3554, wherein the measurement device further comprises a photo-acoustic device.
  • 3560. The method of claim 3554, wherein the measurement device further comprises an X-ray reflectometer.
  • 3561. The method of claim 3554, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3562. The method of claim 3554, wherein the measurement device further comprises an X-ray diffractometer.
  • 3563. The method of claim 3554, wherein the measurement device further comprises an eddy current device.
  • 3564. The method of claim 3554, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3565. The method of claim 3554, wherein the measurement device further comprises an ellipsometer.
  • 3566. The method of claim 3554, wherein the measurement device further comprises a grazing X-ray reflectometer and an optical measurement device.
  • 3567. The method of claim 3554, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, and an ellipsometer.
  • 3568. The method of claim 3554, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3569. The method of claim 3554, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3570. The method of claim 3569, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3571. The method of claim 3554, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 3572. The method of claim 3554, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3573. The method of claim 3554, wherein the measurement device further comprises an X-ray diffractometer, and wherein the X-ray diffractometer is coupled to a process tool configured to grow an epitaxial layer of silicon on the specimen.
  • 3574. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool.
  • 3575. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 3576. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 3577. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition too, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3578. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 3579. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 3580. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 3581. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 3582. The method of claim 3554, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 3583. The method of claim 3554, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 3584. The method of claim 3554, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 3585. The method of claim 3554, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 3586. The method of claim 3554, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 3587. The method of claim 3554, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 3588. The method of claim 3587, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 3589. The method of claim 3588, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3590. The method of claim 3588, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 3591. The method of claim 3554, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 3592. The method of claim 3591, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 3593. The method of claim 3554, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 3594. The method of claim 3554, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 3595. The method of claim 3594, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 3596. The method of claim 3554, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 3597. The method of claim 3554, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 3598. The method of claim 3554, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 3599. The method of claim 3554, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 3600. The method of claim 3554, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 3601. The method of claim 3554, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, wherein the database further comprises first and second properties of a plurality of specimens.
  • 3602. The method of claim 3601, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 3603. The method of claim 3601, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 3604. The method of claim 3554, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 3605. The method of claim 3554, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 3606. The method of claim 3554, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3607. The method of claim 3554, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 3608. The method of claim 3554, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 3609. The method of claim 3554, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 3610. The method of claim 3609, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 3611. The method of claim 3610, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 3612. The method of claim 3554, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 3613. The method of claim 3554, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3614. The method of claim 3613, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 3615. The method of claim 3613, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 3616. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a concentration of an element in the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3617. The device of claim 3616, wherein the measurement device further comprises a photo-acoustic device.
  • 3618. The device of claim 3616, wherein the measurement device further comprises an X-ray reflectometer.
  • 3619. The device of claim 3616, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3620. The device of claim 3616, wherein the measurement device further comprises an X-ray diffractometer.
  • 3621. The device of claim 3616, wherein the measurement device further comprises an eddy current device.
  • 3622. The device of claim 3616, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3623. The device of claim 3616, wherein the measurement device further comprises an ellipsometer.
  • 3624. The device of claim 3616, wherein the measurement device further comprises a grazing X-ray reflectometer and an optical measurement device.
  • 3625. The device of claim 3616, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, and an ellipsometer.
  • 3626. The device of claim 3616, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3627. The device of claim 3616, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3628. The device of claim 3627, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3629. The device of claim 3616, wherein the measurement device further comprises an X-ray diffractometer, and wherein the X-ray diffractometer is coupled to a process tool configured to grow an epitaxial layer of silicon on the specimen.
  • 3630. The device of claim 3616, wherein the stage and the measurement device are coupled to a process tool.
  • 3631. The device of claim 3616, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3632. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a concentration of an element in the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3633. The method of claim 3632, wherein the measurement device further comprises a photo-acoustic device.
  • 3634. The method of claim 3632, wherein the measurement device further comprises an X-ray reflectometer.
  • 3635. The method of claim 3632, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3636. The method of claim 3632, wherein the measurement device further comprises an X-ray diffractometer.
  • 3637. The method of claim 3632, wherein the measurement device further comprises an eddy current device.
  • 3638. The method of claim 3632, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3639. The method of claim 3632, wherein the measurement device further comprises an ellipsometer.
  • 3640. The method of claim 3632, wherein the measurement device further comprises a grazing X-ray reflectometer and an optical measurement device.
  • 3641. The method of claim 3632, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, and an ellipsometer.
  • 3642. The method of claim 3632, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3643. The method of claim 3632, wherein the measurement device further comprises an X-ray diffractometer, and wherein the X-ray diffractometer is coupled to a process tool configured to grow an epitaxial layer of silicon on the specimen.
  • 3644. The method of claim 3632, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3645. The method of claim 3644, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3646. The method of claim 3632, wherein the stage and the measurement device are coupled to a process tool.
  • 3647. The method of claim 3632, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3648. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a concentration of an element in the specimen, and wherein the second property comprises a thickness of the specimen.
  • 3649. The system of claim 3648, wherein the measurement device further comprises a photo-acoustic device.
  • 3650. The system of claim 3648, wherein the measurement device further comprises an X-ray reflectometer.
  • 3651. The system of claim 3648, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3652. The system of claim 3648, wherein the measurement device further comprises an X-ray diffractometer.
  • 3653. The system of claim 3648, wherein the measurement device further comprises an eddy current device.
  • 3654. The system of claim 3648, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3655. The system of claim 3648, wherein the measurement device further comprises an ellipsometer.
  • 3656. The system of claim 3648, wherein the measurement device further comprises a grazing X-ray reflectometer and an optical measurement device.
  • 3657. The system of claim 3648, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, and an ellipsometer.
  • 3658. The system of claim 3648, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3659. The system of claim 3648, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3660. The system of claim 3659, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3661. The system of claim 3648, wherein the measurement device further comprises an X-ray diffractometer, and wherein the X-ray diffractometer is coupled to a process tool configured to grow an epitaxial layer of silicon on the specimen.
  • 3662. The system of claim 3648, wherein the remote controller computer is further coupled to a process tool.
  • 3663. The system of claim 3648, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool is selected from a group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3664. The system of claim 3648, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 3665. The system of claim 3648, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 3666. The system of claim 3648, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 3667. The system of claim 3666, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 3668. The system of claim 3667, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 3669. The system of claim 3648, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 3670. The system of claim 3669, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3671. The system of claim 3669, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 3672. The system of claim 3648, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 3673. The system of claim 3672, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 3674. The system of claim 3648, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 3675. The system of claim 3648, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 3676. The system of claim 3675, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 3677. The system of claim 3648, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 3678. The system of claim 3648, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 3679. The system of claim 3648, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 3680. The system of claim 3648, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 3681. The system of claim 3648, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 3682. The system of claim 3648, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3683. The system of claim 3682, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 3684. The system of claim 3682, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 3685. The system of claim 3648, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to a process tool.
  • 3686. The system of claim 3648, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 3687. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a concentration of an element in the specimen, and wherein the second property comprises a thickness of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3688. The method of claim 3687, wherein the measurement device further comprises a photo-acoustic device.
  • 3689. The method of claim 3687, wherein the measurement device further comprises an X-ray reflectometer.
  • 3690. The method of claim 3687, wherein the measurement device further comprises a grazing X-ray reflectometer.
  • 3691. The method of claim 3687, wherein the measurement device further comprises an X-ray diffractometer.
  • 3692. The method of claim 3687, wherein the measurement device further comprises an eddy current device.
  • 3693. The method of claim 3687, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 3694. The method of claim 3687, wherein the measurement device further comprises an ellipsometer.
  • 3695. The method of claim 3687, wherein the measurement device further comprises a grazing X-ray reflectometer and an optical measurement device.
  • 3696. The method of claim 3687, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, and an ellipsometer.
  • 3697. The method of claim 3687, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 3698. The method of claim 3687, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3699. The method of claim 3698, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 3700. The method of claim 3687, wherein the measurement device further comprises an X-ray diffractometer, and wherein the X-ray diffractometer is coupled to a process tool configured to grow an epitaxial layer of silicon on the specimen.
  • 3701. The method of claim 3687, wherein the remote controller computer is coupled to a process tool.
  • 3702. The method of claim 3687, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of an etch tool, an ion implanter, a chemical vapor deposition tool, a physical vapor deposition tool, an atomic layer deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 3703. The method of claim 3687, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 3704. The method of claim 3687, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 3705. The method of claim 3687, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 3706. The method of claim 3705, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 3707. The method of claim 3705, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 3708. The method of claim 3687, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
  • 3709. The method of claim 3708, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 3710. The method of claim 3708, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 3711. The method of claim 3687, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 3712. The method of claim 3687, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 3713. The method of claim 3687, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 3714. The method of claim 3713, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 3715. The method of claim 3687, wherein the remote controller computer is coupled to the measurement device.
  • 3716. The method of claim 3687, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3717. The method of claim 3687, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 3718. The method of claim 3687, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 3719. The method of claim 3687, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
  • 3720. The method of claim 3687, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the remote controller computer and the database.
  • 3721. The method of claim 3687, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 3722. The method of claim 3721, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 3723. The method of claim 3721, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
  • 3724. The method of claim 3687, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein at least one of the plurality of local processors is coupled a measurement device.
  • 3725. The method of claim 3724, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3726. The method of claim 3725, wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 3727. The method of claim 3725, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 3728. A system configured to determine at least one characteristic of a layer on a specimen during use, comprising: a deposition tool configured to form the layer of material on the specimen during use; a measurement device coupled to the deposition tool, comprising: an illumination system configured to direct light toward a surface of the specimen use; a detection system coupled to the illumination system and configured to detect light propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected light during use; and wherein the illumination system and the detection system are further configured such that the measurement device comprises at least a spectroscopic reflectometer and a spectroscopic ellipsometer; and a processor coupled to the measurement device and configured to determine a characteristic of the layer from the one or more output signals during use.
  • 3729. The system of claim 3728, further comprising a stage coupled to the measurement device, and wherein the stage is configured to move laterally during use.
  • 3730. The system of claim 3728, further comprising a stage coupled to the measurement device, and wherein the stage is configured to move rotatably during use.
  • 3731. The system of claim 3728, further comprising a stage coupled to the measurement device, and wherein the stage is configured to move laterally and rotatably during use.
  • 3732. The system of claim 3728, wherein the illumination system comprises a single light source.
  • 3733. The system of claim 3728, wherein the illumination system comprises more than one light source.
  • 3734. The system of claim 3728, wherein the detection system comprises a single light sensitive device.
  • 3735. The system of claim 3728, wherein the detection system comprises more than one light sensitive devices.
  • 3736. The system of claim 3728, wherein optical elements of the spectroscopic reflectometer comprise optical elements of the spectroscopic ellipsometer.
  • 3737. The system of claim 3728, wherein the characteristic is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3738. The system of claim 3728, wherein the processor is further configured to determine one or more characteristics of the layer, and wherein the one or more characteristics are selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3739. The system of claim 3728, wherein the processor is further configured to determine an additional characteristic of the specimen from the one or more output signals during use, and wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 3740. The system of claim 3739, wherein the deposition tool comprises an atomic layer deposition tool.
  • 3741. The system of claim 3728, wherein the measurement device further comprises an eddy current device.
  • 3742. The system of claim 3728, wherein the measurement device further comprises an eddy current device, and wherein the deposition tool comprises an atomic layer deposition tool.
  • 3743. The system of claim 3728, wherein the processor is further configured to determine at least two characteristics of the layer substantially simultaneously during use.
  • 3744. The system of claim 3728, wherein the illumination system is further configured to direct light to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect light propagating from the multiple locations on the surface of the specimen substantially simultaneously such that at least the one characteristic of the layer on the specimen can be determined at the multiple locations substantially simultaneously.
  • 3745. The system of claim 3728, wherein the measurement device is further coupled to a process chamber of the deposition tool.
  • 3746. The system of claim 3728, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool.
  • 3747. The system of claim 3728, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, and wherein the deposition tool comprises a wafer handler configured to move the specimen to a stage coupled to the measurement device during use.
  • 3748. The system of claim 3728, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, and wherein a stage coupled to the measurement device is configured to move the specimen from the measurement device to the deposition tool during use.
  • 3749. The system of claim 3728, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, and wherein a stage coupled to the measurement device is configured to move the specimen to the process chamber of the deposition tool during use.
  • 3750. The system of claim 3728, wherein the system is further configured to determine at least the one characteristic of the layer on the specimen while the specimen is waiting between process steps.
  • 3751. The system of claim 3728, wherein the deposition tool comprises a support device configured to support the specimen during a deposition process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the measurement device.
  • 3752. The system of claim 3728, wherein the deposition tool comprises a support device configured to support the specimen during a deposition process step, and wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the measurement device.
  • 3753. The system of claim 3728, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the deposition tool.
  • 3754. The system of claim 3728, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the deposition tool.
  • 3755. The system of claim 3728, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, and wherein the stage is configured to support the specimen during a deposition process step.
  • 3756. The system of claim 3728, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, and wherein the processor is further configured to determine the characteristic of the specimen during a deposition process step.
  • 3757. The system of claim 3728, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, and wherein the processor is further configured to obtain a signature characterizing formation of the layer during use, wherein the signature comprises at least one singularity representative of an end of the formation of the layer.
  • 3758. The system of claim 3728, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, wherein the processor is coupled to the deposition tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using an in situ control technique during use.
  • 3759. The system of claim 3728, wherein the deposition tool comprises a first process chamber and a second process chamber, and wherein a stage coupled to the measurement device is configured to move the specimen from the first process chamber to the second process chamber during use.
  • 3760. The system of claim 3728, wherein the deposition tool comprises a first process chamber and a second process chamber, wherein a stage coupled to the measurement device is configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the processor is further configured to determine the characteristic of the layer as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 3761. The system of claim 3728, wherein the processor is further configured to compare the determined characteristic of the layer and characteristics of a plurality of layers during use.
  • 3762. The system of claim 3728, wherein the processor is further configured to compare the determined characteristic of the layer to a predetermined range for the characteristic during use.
  • 3763. The system of claim 3728, wherein the processor is further configured to compare the determined characteristic of the layer to a predetermined range for the characteristic during use, and wherein the processor is further configured to generate an output signal if the determined characteristic of the layer is outside of the predetermined range for the characteristic during use.
  • 3764. The system of claim 3728, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined characteristic of the layer during use.
  • 3765. The system of claim 3728, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedback control technique during use.
  • 3766. The system of claim 3728, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedforward control technique during use.
  • 3767. The system of claim 3728, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined characteristic of the layer.
  • 3768. The system of claim 3728, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 3769. The system of claim 3728, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 3770. The system of claim 3728, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined characteristic of the layer and characteristics of a plurality of layers, and wherein the characteristics of the plurality of layers are determined using the measurement device.
  • 3771. The system of claim 3728, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined characteristic of the layer and characteristics of a plurality of layers, and wherein the characteristics of the plurality of layers are determined using a plurality of measurement devices.
  • 3772. The system of claim 3771, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 3773. The system of claim 3771, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 3774. The system of claim 3728, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 3775. The system of claim 3728, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 3776. The system of claim 3728, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3777. The system of claim 3728, wherein the processor is further coupled to the deposition tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using a feedback control technique during use.
  • 3778. The system of claim 3728, wherein the processor is further coupled to the deposition tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using a feedforward control technique during use.
  • 3779. The system of claim 3728, wherein the processor is further coupled to the deposition tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the deposition tool during use.
  • 3780. The system of claim 3728, wherein the processor is further coupled to the deposition tool, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the deposition tool during use, and wherein the processor is further configured to determine a relationship between the determined characteristic and at least one of the monitored parameters during use.
  • 3781. The system of claim 3728, wherein the processor is further coupled to the deposition tool, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the deposition tool during use, wherein the processor is further configured to determine a relationship between the determined characteristic and at least one of the monitored parameters during use, and wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 3782. The system of claim 3728, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to one of a plurality of semiconductor fabrication process tools.
  • 3783. The system of claim 3728, wherein the processor is further coupled to a plurality of deposition tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the plurality of deposition tools during use.
  • 3784. The system of claim 3728, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 3785. The system of claim 3784, wherein the local processor is further configured to determine the characteristic of the layer during use.
  • 3786. The system of claim 3784, wherein the remote controller computer is further configured to determine the characteristic of the layer during use.
  • 3787. A method for determining at least one characteristic of a layer on a specimen, comprising: forming the layer of material upon the specimen with a deposition tool; directing light toward a surface of the specimen using an illumination system; detecting light propagating from the surface of the specimen using a detection system, wherein the illumination system and the detection system are arranged in a measurement device comprising at least a spectroscopic reflectometer and a spectroscopic ellipsometer, and wherein the measurement device is coupled to the deposition tool; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine a characteristic of the layer.
  • 3788. The method of claim 3787, further comprising laterally moving the stage during said directing light and said detecting light.
  • 3789. The method of claim 3787, further comprising rotatably moving the stage during said directing light and said detecting light.
  • 3790. The method of claim 3787, further comprising laterally and rotatably moving the stage during said directing light and said detecting light.
  • 3791. The method of claim 3787, wherein the illumination system comprises a single light source.
  • 3792. The method of claim 3787, wherein the illumination system comprises more than one light source.
  • 3793. The method of claim 3787, wherein the detection system comprises a single light sensitive device.
  • 3794. The method of claim 3787, wherein the detection system comprises more than one light sensitive device.
  • 3795. The method of claim 3787, wherein optical elements of the spectroscopic reflectometer comprise optical elements of the spectroscopic ellipsometer.
  • 3796. The method of claim 3787, wherein the characteristic is selected from the group comprising a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3797. The method of claim 3787, wherein the processor is further configured to determine one or more characteristics of the layer, and wherein the one or more characteristics are selected from the group comprising a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3798. The method of claim 3787, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 3799. The method of claim 3798, wherein the deposition tool comprises an atomic layer deposition tool.
  • 3800. The method of claim 3787, wherein the measurement device further comprises an eddy current device.
  • 3801. The method of claim 3787, wherein the measurement device further comprises an eddy current device, and wherein the deposition tool comprises an atomic layer deposition tool.
  • 3802. The method of claim 3787, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two characteristics of the layer substantially simultaneously.
  • 3803. The method of claim 3787, further comprising directing light toward multiple locations on the surface of the specimen substantially simultaneously and detecting light propagating from the multiple locations substantially simultaneously such that the at least one characteristic of the layer on the specimen can be determined at the multiple locations substantially simultaneously.
  • 3804. The method of claim 3787, wherein the measurement device is further coupled to a process chamber of the deposition tool.
  • 3805. The method of claim 3787, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool.
  • 3806. The method of claim 3787, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, the method further comprising moving the specimen to a stage coupled to the measurement device with a wafer handler of the deposition tool.
  • 3807. The method of claim 3787, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, the method further comprising moving the specimen from the measurement device to the deposition tool with a stage coupled to the measurement device.
  • 3808. The method of claim 3787, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, the method further comprising moving the specimen to the process chamber of the deposition tool with a stage coupled to the measurement device.
  • 3809. The method of claim 3787, further comprising determining at least the one characteristic of the layer while the specimen is waiting between process steps.
  • 3810. The method of claim 3787, further comprising supporting the specimen during a deposition process step with a support device of the deposition tool, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the measurement device.
  • 3811. The method of claim 3787, further comprising supporting the specimen during a deposition process step with a support device of the deposition tool, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the measurement device.
  • 3812. The method of claim 3787, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the deposition tool.
  • 3813. The method of claim 3787, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the deposition tool.
  • 3814. The method of claim 3787, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising supporting the specimen during a deposition process step with the stage.
  • 3815. The method of claim 3787, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, and wherein processing the one or more output signals comprises determining the characteristic of the specimen during a deposition process.
  • 3816. The method of claim 3787, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising obtaining a signature characterizing the formation of the layer, wherein the signature comprises at least one singularity representative of an end of the formation of the layer.
  • 3817. The method of claim 3787, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using an in situ control technique.
  • 3818. The method of claim 3787, wherein the deposition tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device.
  • 3819. The method of claim 3787, wherein the deposition tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device, directing light during said moving, and detecting light during said moving.
  • 3820. The method of claim 3787, further comprising comparing the determined characteristic and determined characteristics of a plurality of specimens.
  • 3821. The method of claim 3787, further comprising comparing the determined characteristic to a predetermined range for the characteristic.
  • 3822. The method of claim 3787, further comprising comparing the determined characteristic to a predetermined range for the characteristic and generating an output signal if the determined characteristic is outside of the predetermined range.
  • 3823. The method of claim 3787, further comprising altering a sampling frequency of the measurement device in response to the determined characteristic of the layer.
  • 3824. The method of claim 3787, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedback control technique.
  • 3825. The method of claim 3787, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedforward control technique.
  • 3826. The method of claim 3787, further comprising generating a database, wherein the database comprises the determined characteristic of the layer.
  • 3827. The method of claim 3787, further comprising generating a database comprising the determined characteristic of the layer and calibrating the measurement device using the database.
  • 3828. The method of claim 3787, further comprising generating a database comprising the determined characteristic of the layer and monitoring output signals of the measurement device using the database.
  • 3829. The method of claim 3787, further comprising generating a database comprising the determined characteristic of the layer and determined characteristics of a plurality of layers.
  • 3830. The method of claim 3787, further comprising generating a database comprising the determined characteristic of the layer and determined characteristics of a plurality of layers, wherein the determined characteristics of the plurality of layers are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 3831. The method of claim 3787, further comprising generating a database comprising the determined characteristic of the layer and determined characteristics of a plurality of layers, wherein the determined characteristics of the plurality of layers are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 3832. The method of claim 3787, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 3833. The method of claim 3787, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 3834. The method of claim 3787, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3835. The method of claim 3787, further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using a feedback control technique.
  • 3836. The method of claim 3787, further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using a feedforward control technique.
  • 3837. The method of claim 3787, further comprising monitoring a parameter of one or more instruments coupled to the deposition tool.
  • 3838. The method of claim 3787, further comprising monitoring a parameter of one or more instruments coupled to the deposition tool and determining a relationship between the determined characteristic and at least one of the monitored parameters.
  • 3839. The method of claim 3787, further comprising monitoring a parameter of one or more instruments coupled to the deposition tool, determining a relationship between the determined characteristic and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 3840. The method of claim 3787, further comprising altering a parameter of one or more instruments coupled to at least one semiconductor fabrication process tool in response to the determined characteristic of the layer.
  • 3841. The method of claim 3787, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3842. The method of claim 3841, wherein at least partially processing the one or more output signals comprises determining the characteristic of the layer.
  • 3843. The method of claim 3841, wherein further processing the partially processed one or more output signals comprises determining the characteristic of the layer.
  • 3844. A computer-implemented method for controlling a system configured to determine at least one characteristic of a layer on a specimen during use, wherein the system comprises a measurement device coupled to a deposition tool, and wherein the deposition tool is configured to form the layer of material on the specimen during use, the method comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the illumination system and the detection system are configured such that the measurement device comprises a spectroscopic reflectometer and a spectroscopic ellipsometer, comprising: controlling the illumination system to direct light toward a surface of the specimen; controlling the detection system to detect light propagating from the surface of the specimen; and generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine a characteristic of the layer.
  • 3845. The method of claim 3844, wherein the system further comprises a stage coupled to the measurement device, the method further comprising supporting the specimen with the stage and controlling the stage to move laterally during said controlling the illumination system and said controlling the detection system. to the measurement device, the method further comprising supporting the specimen with the stage and controlling the stage to move rotatably during said controlling the illumination system and said controlling the detection system.
  • 3847. The method of claim 3844, wherein the system further comprises a stage coupled to the measurement device, the method further comprising supporting the specimen with the stage and controlling the stage to move laterally and rotatably during said controlling the illumination system and said controlling the detection system.
  • 3848. The method of claim 3844, wherein the illumination system comprises a single light source.
  • 3849. The method of claim 3844, wherein the illumination system comprises more than one light sources.
  • 3850. The method of claim 3844, wherein the detection system comprises a single light sensitive device.
  • 3851. The method of claim 3844, wherein the detection system comprises more than one light sensitive devices.
  • 3852. The method of claim 3844, wherein optical elements of the spectroscopic reflectometer comprise optical elements of the spectroscopic ellipsometer.
  • 3853. The method of claim 3844, wherein the characteristic is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3854. The method of claim 3844, further comprising processing the one or more output signals to determine one or more characteristics of the layers, and wherein the one or more characteristics of the layer are selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3855. The method of claim 3844, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 3856. The method of claim 3855, wherein the deposition tool comprises an atomic layer deposition tool.
  • 3857. The method of claim 3844, wherein the measurement device further comprises an eddy current device.
  • 3858. The method of claim 3844, wherein the measurement device further comprises an eddy current device, and wherein the deposition tool comprises an atomic layer deposition tool.
  • 3859. The method of claim 3844, wherein processing the one or more output signals to determine the characteristic of the layer comprises substantially simultaneously determining at least two characteristics of the layer.
  • 3860. The method of claim 3844, further comprising controlling the illumination system to direct light toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect light propagating from the multiple locations substantially simultaneously such that the at least one characteristic of the layer on the specimen can be determined at the multiple locations substantially simultaneously.
  • 3861. The method of claim 3844, wherein the measurement device is further coupled to a process chamber of the deposition tool.
  • 3862. The method of claim 3844, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool.
  • 3863. The method of claim 3844, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, the method further comprising controlling a wafer handler coupled to the deposition tool to move the specimen to a stage coupled to the measurement device.
  • 3864. The method of claim 3844, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the measurement device to the deposition tool.
  • 3865. The method of claim 3844, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool, the method further comprising controlling a stage coupled to the measurement device to move the specimen to a process chamber of the deposition tool.
  • 3866. The method of claim 3844, the method further comprising controlling a wafer handler to move the specimen to a stage coupled to the measurement device such that at least the one characteristic of the layer of the specimen can be determined while the specimen is waiting between process steps.
  • 3867. The method of claim 3844, further comprising supporting the specimen during a deposition process step with a support device of the deposition tool, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the measurement device.
  • 3868. The method of claim 3844, further comprising supporting the specimen during a deposition process step with a support device of the deposition tool, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the measurement device.
  • 3869. The method of claim 3844, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the deposition tool.
  • 3870. The method of claim 3844, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the deposition tool.
  • 3871. The method of claim 3844, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising controlling the stage to support the specimen during a deposition process step.
  • 3872. The method of claim 3844, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising processing the one or more output signals to determine the characteristic of the specimen during a deposition process step.
  • 3873. The method of claim 3844, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising controlling the measurement device to obtain a signature characterizing the formation of the layer, wherein the signature comprises at least one singularity representative of an end of the formation of the layer.
  • 3874. The method of claim 3844, further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using an in situ control technique.
  • 3875. The method of claim 3844, wherein the deposition tool comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the first process chamber to the second process chamber.
  • 3876. The method of claim 3844, wherein the deposition tool comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the first process chamber to the second process chamber, controlling the illumination system during said moving, and controlling the detection system during said moving.
  • 3877. The method of claim 3844, further comprising comparing the determined characteristic of the layer and determined characteristics of a plurality of layers.
  • 3878. The method of claim 3844, further comprising comparing the determined characteristic of the layer to a predetermined range for the characteristic.
  • 3879. The method of claim 3844, further comprising comparing the determined characteristic of the layer to a predetermined range for the characteristic and generating an output signal if the determined characteristic is outside of the predetermined range for the characteristic.
  • 3880. The method of claim 3844, further comprising altering a sampling frequency of the measurement device in response to the determined characteristic of the layer.
  • 3881. The method of claim 3844, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedback control technique.
  • 3882. The method of claim 3844, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedforward control technique.
  • 3883. The method of claim 3844, further comprising generating a database, wherein the database comprises the determined characteristic of the layer.
  • 3884. The method of claim 3844, further comprising generating a database comprising the determined characteristic of the layer and calibrating the measurement device using the database.
  • 3885. The method of claim 3844, further comprising generating a database comprising the determined characteristic of the layer and monitoring output signals generated by the measurement device using the database.
  • 3886. The method of claim 3844, further comprising generating a database comprising the determined characteristic of the layer and determined characteristics of a plurality of layers.
  • 3887. The method of claim 3844, further comprising generating a database comprising the determined characteristic of the layer and determined characteristics of a plurality of layers, wherein the determined characteristics of the plurality of layers are generated using a plurality of measurement devices.
  • 3888. The method of claim 3844, further comprising generating a database comprising the determined characteristic of the layer and determined characteristics of a plurality of layers, wherein the determined characteristics of the plurality of layers are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 3889. The method of claim 3844, further comprising generating a database comprising the determined characteristic of the layer and determined characteristics of a plurality of layers, wherein the determined characteristics of the plurality of layers are generated using a plurality of measurement devices, the method further comprising monitoring output signals generating by the plurality of measurement devices using the database.
  • 3890. The method of claim 3844, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 3891. The method of claim 3844, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 3892. The method of claim 3844, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3893. The method of claim 3844, further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic of the layer using a feedback control technique.
  • 3894. The method of claim 3844, further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic of the layer using a feedforward control technique.
  • 3895. The method of claim 3844, further comprising monitoring a parameter of one or more instruments coupled to the deposition tool.
  • 3896. The method of claim 3844, further comprising monitoring a parameter of one or more instruments coupled to the deposition tool and determining a relationship between the determined characteristic and at least one of the monitored parameters.
  • 3897. The method of claim 3844, further comprising monitoring a parameter of one or more instruments coupled to the deposition tool, determining a relationship between the determined characteristic and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 3898. The method of claim 3844, further comprising altering a parameter of one or more instruments coupled to a plurality of semiconductor fabrication process tools in response to the determined characteristic of the layer.
  • 3899. The method of claim 3844, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3900. The method of claim 3899, wherein at least partially processing the one or more output signals comprises determining the characteristic of the layer.
  • 3901. The method of claim 3899, wherein further processing the partially processed one or more output signals comprises determining the characteristic of the layer.
  • 3902. A semiconductor device fabricated by a method, the method comprising: disposing the specimen in a deposition tool configured to form a layer of material on a specimen, wherein the deposition tool is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; forming a layer of material upon a specimen, wherein the formed layer comprises a portion of the semiconductor device; directing light toward a surface of the specimen using the illumination system; detecting light propagating from the surface of the specimen using the detection system, wherein the illumination system and the detection system comprise at least a spectroscopic reflectometer and a spectroscopic ellipsometer; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine a characteristic of the layer.
  • 3903. The device of claim 3902, wherein optical elements of the spectroscopic reflectometer comprise optical elements of the spectroscopic ellipsometer.
  • 3904. The device of claim 3902, wherein the characteristic is selected from the group comprising a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3905. The device of claim 3902, wherein the processor is further configured to determine one or more characteristics of the layer, and wherein the one or more characteristics are selected from the group comprising a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3906. The device of claim 3902, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 3907. The device of claim 3902, wherein the deposition tool comprises an atomic layer deposition tool.
  • 3908. The device of claim 3902, wherein the illumination system and the detection system further comprise an eddy current device.
  • 3909. The device of claim 3902, wherein the illumination system and the detection system further comprise an eddy current device, and wherein the deposition tool comprises an atomic layer deposition tool.
  • 3910. The device of claim 3902, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two characteristics of the layer substantially simultaneously.
  • 3911. The device of claim 3902, further comprising directing light toward multiple locations on the surface of the specimen substantially simultaneously and detecting light propagating from the multiple locations substantially simultaneously such that the at least one characteristic of the layer on the specimen can be determined at the multiple locations substantially simultaneously.
  • 3912. The device of claim 3902, wherein the measurement device is further coupled to a process chamber of the deposition tool.
  • 3913. The device of claim 3902, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool.
  • 3914. The device of claim 3902, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, and wherein processing the one or more output signals comprises determining the characteristic of the specimen during a deposition process.
  • 3915. The device of claim 3902, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising obtaining a signature characterizing the formation of the layer, wherein the signature comprises at least one singularity representative of an end of the formation of the layer.
  • 3916. The device of claim 3902, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using an in situ control technique.
  • 3917. The device of claim 3902, wherein the deposition tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device, directing light during said moving, and detecting light during said moving.
  • 3918. A method for fabricating a semiconductor device, comprising: disposing the specimen in a deposition tool configured to form a layer of material on a specimen, wherein the deposition tool is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; forming a layer of material upon a specimen, wherein the formed layer comprises a portion of the semiconductor device; directing light toward a surface of the specimen using the illumination system; detecting light propagating from the surface of the specimen using the detection system, wherein the illumination system and the detection system comprise at least a spectroscopic reflectometer and a spectroscopic ellipsometer; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine a characteristic of the layer.
  • 3919. The method of claim 3918, wherein optical elements of the spectroscopic reflectometer comprise optical elements of the spectroscopic ellipsometer.
  • 3920. The method of claim 3918, wherein the characteristic is selected from the group comprising a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3921. The method of claim 3918, wherein the processor is further configured to determine one or more characteristics of the layer, and wherein the one or more characteristics are selected from the group comprising a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a presence of defects.
  • 3922. The method of claim 3918, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 3923. The method of claim 3922, wherein the deposition tool comprises an atomic layer deposition tool.
  • 3924. The method of claim 3918, wherein the illumination system and the detection system further comprise an eddy current device.
  • 3925. The method of claim 3918, wherein the illumination system and the detection system further comprise an eddy current device, and wherein the deposition tool comprises an atomic layer deposition tool.
  • 3926. The method of claim 3918, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two characteristics of the layer substantially simultaneously.
  • 3927. The method of claim 3918, further comprising directing light toward multiple locations on the surface of the specimen substantially simultaneously and detecting light propagating from the multiple locations substantially simultaneously such that the at least one characteristic of the layer on the specimen can be determined at the multiple locations substantially simultaneously.
  • 3928. The method of claim 3918, wherein the measurement device is further coupled to a process chamber of the deposition tool.
  • 3929. The method of claim 3918, wherein the measurement device is arranged laterally proximate to a process chamber of the deposition tool.
  • 3930. The method of claim 3918, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, and wherein processing the one or more output signals comprises determining the characteristic of the specimen during a deposition process.
  • 3931. The method of claim 3918, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising obtaining a signature characterizing the formation of the layer, wherein the signature comprises at least one singularity representative of an end of the formation of the layer.
  • 3932. The method of claim 3918, wherein the measurement device is further coupled to a stage disposed within a process chamber of the deposition tool, the method further comprising altering a parameter of one or more instruments coupled to the deposition tool in response to the determined characteristic using an in situ control technique.
  • 3933. The method of claim 3918, wherein the deposition tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device, directing light during said moving, and detecting light during said moving.
  • 3934. A system configured to determine at least one characteristic of a layer on a specimen during use, comprising: a deposition tool configured to form the layer of material on the specimen during use; a measurement device coupled to the deposition tool, comprising: an illumination system configured to direct light toward a surface of the specimen during use; a detection system coupled to the illumination system and configured to detect light propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy; and wherein the illumination system and the detection system are further configured such that the measurement device comprises at least a spectroscopic reflectometer and a spectroscopic ellipsometer; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a characteristic of the layer from the one or more output signals during use.
  • 3935. A method for determining at least one characteristic of a layer on a specimen, comprising: forming the layer of material upon the specimen; directing light toward a surface of the specimen using the illumination system; detecting light propagating from the surface of the specimen using the detection system, wherein the illumination system and the detection system comprise at least a spectroscopic reflectometer and a spectroscopic ellipsometer; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine a characteristic of the layer, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 3936. A system configured to determine at least one property of a specimen during use, comprising: an etch tool configured to etch the specimen during use; a beam profile ellipsometer coupled to the etch tool, comprising: an illumination system configured to direct an incident beam of light having a known polarization state to the specimen during use; and a detection system coupled to the illumination system and configured to detect light returned from the specimen during use, wherein the beam profile ellipsometer is configured to generate one or more output signals responsive to the detected light during use; and a processor coupled to the beam profile ellipsometer and configured to determine a property of the specimen from the one or more output signals during use.
  • 3937. The system of claim 3936, further comprising a stage coupled to the beam profile ellipsometer, and wherein the stage is configured to move laterally during use.
  • 3938. The system of claim 3936, further comprising a stage coupled to the beam profile ellipsometer, and wherein the stage is configured to move rotatably during use.
  • 3939. The system of claim 3936, further comprising a stage coupled to the beam profile ellipsometer, and wherein the stage is configured to move laterally and rotatably during use.
  • 3940. The system of claim 3936, further comprising an additional measurement device coupled to the etch tool, wherein the processor is further coupled to the additional measurement device, and wherein the processor is further configured to determine an additional property of the specimen from one or more output signals generated by the additional measurement device.
  • 3941. The system of claim 3936, wherein the processor is further configured to determine an additional property of the specimen from the one or more output signals during use, and wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 3942. The system of claim 3936, further comprising an eddy current device coupled to the etch tool, wherein the processor is further coupled to the eddy current device, and wherein the processor is further configured to determine a thickness of the specimen from one or more output signals generated by the eddy current device.
  • 3943. The system of claim 3936, wherein the property is selected from the group consisting of a thickness, an index of refraction, and an extinction coefficient.
  • 3944. The system of claim 3936, wherein the processor is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 3945. The system of claim 3936, wherein the illumination system is further configured to direct the incident beam of light to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect light returned from the multiple locations on the surface of the specimen substantially simultaneously such that at least the one property of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3946. The system of claim 3936, wherein the beam profile ellipsometer is further coupled to a process chamber of the etch tool.
  • 3947. The system of claim 3936, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool.
  • 3948. The system of claim 3936, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, and wherein the etch tool comprises a wafer handler configured to move the specimen to a stage coupled to the beam profile ellipsometer during use.
  • 3949. The system of claim 3936, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, and wherein a stage coupled to the beam profile ellipsometer is configured to move the specimen from the beam profile ellipsometer to the etch tool during use.
  • 3950. The system of claim 3936, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, and wherein a stage coupled to the beam profile ellipsometer is configured to move the specimen to the process chamber of the etch tool during use.
  • 3951. The system of claim 3936, wherein the system is further configured to determine at least the one property of the specimen while the specimen is waiting between process steps.
  • 3952. The system of claim 3936, wherein the etch tool comprises a support device configured to support the specimen during an etch process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the beam profile ellipsometer.
  • 3953. The system of claim 3936, wherein the etch tool comprises a support device configured to support the specimen during an etch process step, and wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the beam profile ellipsometer.
  • 3954. The system of claim 3936, wherein the beam profile ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the etch tool.
  • 3955. The system of claim 3936, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the etch tool.
  • 3956. The system of claim 3936, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, and wherein the stage is configured to support the specimen during an etch process step.
  • 3957. The system of claim 3936, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, and wherein the processor is further configured to determine the characteristic of the specimen during an etch process step.
  • 3958. The system of claim 3936, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, wherein the processor is further configured to obtain a signature characterizing etching of the specimen during use, and wherein the signature comprises at least one singularity representative of an end of the etching of the specimen.
  • 3959. The system of claim 3936, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, wherein the processor is coupled to the etch tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the etch tool in response to the determined property using an in situ control technique during use.
  • 3960. The system of claim 3936, wherein the etch tool comprises a first process chamber and a second process chamber, wherein a stage coupled to the beam profile ellipsometer is configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the processor is further configured to determine the property of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 3961. The system of claim 3936, wherein the processor is further configured to compare the determined property of the specimen and properties of a plurality of specimens during use.
  • 3962. The system of claim 3936, wherein the processor is further configured to compare the determined property of the specimen to a predetermined range for the property during use.
  • 3963. The system of claim 3936, wherein the processor is further configured to compare the determined property of the specimen to a predetermined range for the property during use, and wherein the processor is further configured to generate an output signal if the determined property is outside of the predetermined range for the property during use.
  • 3964. The system of claim 3936, wherein the processor is further configured to alter a sampling frequency of the beam profile ellipsometer in response to the determined property during use.
  • 3965. The system of claim 3936, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the beam profile ellipsometer in response to the determined property using a feedback control technique during use.
  • 3966. The system of claim 3936, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the beam profile ellipsometer in response to the determined property using a feedforward control technique during use.
  • 3967. The system of claim 3936, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined property.
  • 3968. The system of claim 3936, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to calibrate the beam profile ellipsometer using the database during use.
  • 3969. The system of claim 3936, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to monitor output signals generated by beam profile ellipsometer using the database during use.
  • 3970. The system of claim 3936, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined property and properties of a plurality of specimens, and wherein the properties of the plurality of specimens are determined using the beam profile ellipsometer.
  • 3971. The system of claim 3936, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined property and properties of a plurality of specimens, and wherein the properties of the plurality of specimens are determined using a plurality of beam profile ellipsometers.
  • 3972. The system of claim 3971, wherein the processor is further coupled to the plurality of beam profile ellipsometers, and wherein the processor is further configured to calibrate the plurality of beam profile ellipsometers using the database during use.
  • 3973. The system of claim 3971, wherein the processor is further coupled to the plurality of beam profile ellipsometers, and wherein the processor is further configured to monitor output signals generated by the plurality of beam profile ellipsometers using the database during use.
  • 3974. The system of claim 3936, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 3975. The system of claim 3936, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 3976. The system of claim 3936, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 3977. The system of claim 3936, wherein the processor is further coupled to the etch tool.
  • 3978. The system of claim 3936, wherein the processor is further coupled to the etch tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the etch tool in response to the determined property using a feedback control technique during use.
  • 3979. The system of claim 3936, wherein the processor is further coupled to the etch tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the etch tool in response to the determined property using a feedforward control technique during use.
  • 3980. The system of claim 3936, wherein the processor is further coupled to the etch tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the etch tool during use.
  • 3981. The system of claim 3936, wherein the processor is further coupled to the etch tool, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the etch tool during use, and wherein the processor is further configured to determine a relationship between the determined property and at least one of the monitored parameters during use.
  • 3982. The system of claim 3936, wherein the processor is further coupled to the etch tool, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the etch tool during use, wherein the processor is further configured to determine a relationship between the determined property and at least one of the monitored parameters during use, and wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 3983. The system of claim 3936, wherein the processor is further coupled to a plurality of beam profile ellipsometers, and wherein at least one of the plurality of beam profile ellipsometers is coupled to an etch tool.
  • 3984. The system of claim 3936, wherein the processor is further coupled to a plurality of etch tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the plurality of etch tools during use.
  • 3985. The system of claim 3936, wherein the processor comprises a local processor coupled to the beam profile ellipsometer and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 3986. The system of claim 3985, wherein the local processor is further configured to determine the property during use.
  • 3987. The system of claim 3985, wherein the remote controller computer is further configured to determine the property during use.
  • 3988. A method for determining at least one property of a specimen, comprising: etching the specimen in an etch tool; directing an incident beam of light having a known polarization state to the specimen using an illumination system; detecting light returned from the surface of the specimen using a detection system, wherein the illumination system and the detection system comprise a beam profile ellipsometer, and wherein the beam profile ellipsometer is coupled to the etch tool; generating one or more output signals representative of the detected light; and processing the one or more output signals to determine a property of the specimen.
  • 3989. The method of claim 3988, wherein a stage is coupled to the beam profile ellipsometer, the method further comprising laterally moving the stage during said directing light and said detecting light.
  • 3990. The method of claim 3988, wherein a stage is coupled to the beam profile ellipsometer, the method further comprising rotatably moving the stage during said directing light and said detecting light.
  • 3991. The method of claim 3988, wherein a stage is coupled to the beam profile ellipsometer, the method further comprising laterally and rotatably moving the stage during said directing light and detecting light.
  • 3992. The method of claim 3988, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the etch tool, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 3993. The method of claim 3988, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 3994. The method of claim 3988, wherein an eddy current device is coupled to the etch tool, the method further comprising processing one or more output signals generated by the eddy current device to determine a thickness of the specimen.
  • 3995. The method of claim 3988, wherein the property is selected from the group comprising a thickness, an index of refraction, and an extinction coefficient.
  • 3996. The method of claim 3988, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 3997. The method of claim 3988, further comprising directing the incident beam of light toward multiple locations on the surface of the specimen substantially simultaneously and detecting light returned from the multiple locations substantially simultaneously such that the at least one property of the specimen can be determined at the multiple locations substantially simultaneously.
  • 3998. The method of claim 3988, wherein the beam profile ellipsometer is further coupled to a process chamber of the etch tool.
  • 3999. The method of claim 3988, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool.
  • 4000. The method of claim 3988, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, the method further comprising moving the specimen to a stage coupled to the beam profile ellipsometer with a wafer handler of the etch tool.
  • 4001. The method of claim 3988, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, the method further comprising moving the specimen from the beam profile ellipsometer to the etch tool with a stage coupled to the beam profile ellipsometer.
  • 4002. The method of claim 3988, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, the method further comprising moving the specimen to the process chamber of the etch tool with a stage coupled to the beam profile ellipsometer.
  • 4003. The method of claim 3988, further comprising determining at least the one property of the specimen while the specimen is waiting between process steps.
  • 4004. The method of claim 3988, further comprising supporting the specimen during an etch process step with a support device of the etch tool, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the beam profile ellipsometer.
  • 4005. The method of claim 3988, further comprising supporting the specimen during an etch process step with a support device of the etch tool, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the beam profile ellipsometer.
  • 4006. The method of claim 3988, wherein the beam profile ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the etch tool.
  • 4007. The method of claim 3988, wherein the beam profile ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the etch tool.
  • 4008. The method of claim 3988, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising supporting the specimen during an etch process step with the stage.
  • 4009. The method of claim 3988, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, an d w herein processing the one or more output signals comprises determining the property of the specimen during an etch process.
  • 4010. The method of claim 3988, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising obtaining a signature characterizing etching of the specimen, wherein the signature comprises at least one singularity representative of an end of the etching of the specimen.
  • 4011. The method of claim 3988, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using an in situ control technique.
  • 4012. The method of claim 3988, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the beam profile ellipsometer.
  • 4013. The method of claim 3988, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the beam profile ellipsometer, directing light during said moving, and detecting light during said moving.
  • 4014. The method of claim 3988, further comprising comparing the determined property and properties of a plurality of etched regions.
  • 4015. The method of claim 3988, further comprising comparing the determined property to a predetermined range for the property.
  • 4016. The method of claim 4015, further comprising generating an output signal if the determined property is outside of the predetermined range.
  • 4017. The method of claim 3988, further comprising altering a sampling frequency of the beam profile ellipsometer in response to the determined property of the layer.
  • 4018. The method of claim 3988, further comprising altering a parameter of one or more instruments coupled to the beam profile ellipsometer in response to the determined property using a feedback control technique.
  • 4019. The method of claim 3988, further comprising altering a parameter of one or more instruments coupled to the beam profile ellipsometer in response to the determined property using a feedforward control technique.
  • 4020. The method of claim 3988, further comprising generating a database, wherein the database comprises the determined property of the specimen.
  • 4021. The method of claim 3988, further comprising generating a database comprising the determined property of the specimen and calibrating the beam profile ellipsometer using the database.
  • 4022. The method of claim 3988, further comprising generating a database comprising the determined property of the specimen and monitoring output signals generated by the beam profile ellipsometer using the database.
  • 4023. The method of claim 3988, further comprising generating a database comprising the determined property of the specimen and properties of a plurality of specimen.
  • 4024. The method of claim 3988, further comprising generating a database comprising the determined property of the specimen and properties of a plurality of specimen, wherein the properties of the plurality of specimen are generated using a plurality of beam profile ellipsometers, the method further comprising calibrating the plurality of beam profile ellipsometers using the database.
  • 4025. The method of claim 3988, further comprising generating a database comprising the determined property of the specimen and properties of a plurality of specimen, wherein the properties of the plurality of specimen are generated using a plurality of beam profile ellipsometers, the method further comprising monitoring the plurality of beam profile ellipsometers using the database.
  • 4026. The method of claim 3988, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 4027. The method of claim 3988, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 4028. The method of claim 3988, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4029. The method of claim 3988, further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using a feedback control technique.
  • 4030. The method of claim 3988, further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using a feedforward control technique.
  • 4031. The method of claim 3988, further comprising monitoring a parameter of one or more instruments coupled to the etch tool.
  • 4032. The method of claim 3988, further comprising monitoring a parameter of one or more instruments coupled to the etch tool and determining a relationship between the determined property and at least one of the monitored parameters.
  • 4033. The method of claim 3988, further comprising monitoring a parameter of one or more instruments coupled to the etch tool, determining a relationship between the determined property and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 4034. The method of claim 3988, further comprising altering a parameter of one or more instruments coupled to at least one semiconductor fabrication process tool in response to the determined property of the layer.
  • 4035. The method of claim 3988, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the beam profile ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4036. The method of claim 4035, wherein at least partially processing the one or more output signals comprises determining the property.
  • 4037. The method of claim 4035, wherein further processing the partially processed one or more output signals comprises determining the property.
  • 4038. A computer-implemented method for controlling a system configured to determine at least one property of a specimen during use, wherein the system comprises a beam profile ellipsometer coupled to an etch tool, and wherein the etch tool is configured to etch the specimen during use, the method comprising: controlling the beam profile ellipsometer, wherein the beam profile ellipsometer comprises an illumination system and a detection system, comprising: controlling the illumination system to direct light toward a surface of the specimen; controlling the detection system to detect light propagating from the surface of the specimen; and generating one or more output signals representative of detected light; and processing the one or more output signals to determine a property of the specimen.
  • 4039. The method of claim 4038, wherein the system further comprises a stage coupled to the beam profile ellipsometer, the method further comprising supporting the specimen with the stage and controlling the stage to move laterally during said controlling the illumination system and said controlling the detection system.
  • 4040. The method of claim 4038, wherein the system further comprises a stage coupled to the beam profile ellipsometer, the method further comprising supporting the specimen with the stage and controlling the stage to move rotatably during said controlling the illumination system and said controlling the detection system.
  • 4041. The method of claim 4038, wherein the system further comprises a stage coupled to the beam profile ellipsometer, the method further comprising supporting the specimen with the stage and controlling the stage to move laterally and rotatably during said controlling the illumination system and said controlling the detection system.
  • 4042. The method of claim 4038, wherein the system further comprises an additional measurement device coupled to the etch tool, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4043. The method of claim 4038, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4044. The method of claim 4038, wherein the system further comprises an eddy current device coupled to the etch tool, the method further comprising processing one or more output signals generated by the eddy current device to determine a thickness of the specimen.
  • 4045. The method of claim 4038, wherein the property is selected from the group consisting of a thickness, an index of refraction, and an extinction coefficient.
  • 4046. The method of claim 4038, wherein processing the one or more output signals to determine the property of the specimen comprises substantially simultaneously determining at least two properties of the specimen.
  • 4047. The method of claim 4038, further comprising controlling the illumination system to direct light toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect light propagating from the multiple locations substantially simultaneously such that the at least one property of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4048. The method of claim 4038, wherein the beam profile ellipsometer is further coupled to a process chamber of the etch tool.
  • 4049. The method of claim 4038, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool.
  • 4050. The method of claim 4038, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, the method further comprising controlling a wafer handler coupled to the etch tool to move the specimen to a stage coupled to the beam profile ellipsometer.
  • 4051. The method of claim 4038, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, the method further comprising controlling a stage coupled to the beam profile ellipsometer to move the specimen from the beam profile ellipsometer to the etch tool.
  • 4052. The method of claim 4038, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool, the method further comprising controlling a stage coupled to the beam profile ellipsometer to move the specimen to a process chamber of the etch tool.
  • 4053. The method of claim 4038, the method further comprising controlling a wafer handler to move the specimen to a stage coupled to the beam profile ellipsometer such that at least the one property of the specimen can be determined while the specimen is waiting between process steps.
  • 4054. The method of claim 4038, further comprising supporting the specimen during an etch process step with a support device of the etch tool, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the beam profile ellipsometer.
  • 4055. The method of claim 4038, further comprising supporting the specimen during an etch process step with a support device of the etch tool, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the beam profile ellipsometer.
  • 4056. The method of claim 4038, wherein the beam profile ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the etch tool.
  • 4057. The method of claim 4038, wherein the beam profile ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the etch tool.
  • 4058. The method of claim 4038, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising controlling the stage to support the specimen during an etch process step.
  • 4059. The method of claim 4038, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising processing the one or more output signals to determine the characteristic of the specimen during an etch process step.
  • 4060. The method of claim 4038, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising controlling the beam profile ellipsometer to obtain a signature characterizing etching of the specimen, wherein the signature comprises at least one singularity representative of an end of the etching of the specimen.
  • 4061. The method of claim 4038, further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using an in situ control technique.
  • 4062. The method of claim 4038, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the beam profile ellipsometer to move the specimen from the first process chamber to the second process chamber.
  • 4063. The method of claim 4038, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the first process chamber to the second process chamber, controlling the illumination system during said moving, and controlling the detection system during said moving.
  • 4064. The method of claim 4038, further comprising comparing the determined property and properties of a plurality of specimens.
  • 4065. The method of claim 4038, further comprising comparing the determined property to a predetermined range for the property.
  • 4066. The method of claim 4038, further comprising generating an output signal if the determined property is outside of the predetermined range for the property.
  • 4067. The method of claim 4038, further comprising altering a sampling frequency of the beam profile ellipsometer in response to the determined property.
  • 4068. The method of claim 4038, further comprising altering a parameter of one or more instruments coupled to the beam profile ellipsometer in response to the determined property using a feedback control technique.
  • 4069. The method of claim 4038, further comprising altering a parameter of one or more instruments coupled to the beam profile ellipsometer in response to the determined property using a feedforward control technique.
  • 4070. The method of claim 4038, further comprising generating a database, wherein the database comprises the determined property.
  • 4071. The method of claim 4038, further comprising generating a database, wherein the database comprises the determined property, and calibrating the beam profile ellipsometer using the database.
  • 4072. The method of claim 4038, further comprising generating a database, wherein the database comprises the determined property, and monitoring output signals of the beam profile ellipsometer using the database.
  • 4073. The method of claim 4038, further comprising generating a database, wherein the database comprises the determined property, and wherein the database further comprises properties of a plurality of specimens.
  • 4074. The method of claim 4038, further comprising generating a database, wherein the database comprises the determined property, wherein the database further comprises properties of a plurality of specimens, and wherein the properties of the plurality of specimens are generated using a plurality of beam profile ellipsometers.
  • 4075. The method of claim 4074, further comprising calibrating the plurality of beam profile ellipsometers using the database.
  • 4076. The method of claim 4074, further comprising monitoring output signals of the plurality of beam profile ellipsometers using the database.
  • 4077. The method of claim 4038, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 4078. The method of claim 4038, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 4079. The method of claim 4038, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4080. The method of claim 4038, further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using a feedback control technique.
  • 4081. The method of claim 4038, further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using a feedforward control technique.
  • 4082. The method of claim 4038, further comprising monitoring a parameter of one or more instruments coupled to the etch tool.
  • 4083. The method of claim 4038, further comprising monitoring a parameter of one or more instruments coupled to the etch tool and determining a relationship between the determined property and at least one of the monitored parameters.
  • 4084. The method of claim 4038, further comprising monitoring a parameter of one or more instruments coupled to the etch tool, determining a relationship between the determined property and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 4085. The method of claim 4038, further comprising altering a parameter of one or more instruments coupled to at least one of a plurality of semiconductor fabrication process tools in response to the determined property.
  • 4086. The method of claim 4038, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the beam profile ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4087. The method of claim 4086, wherein at least partially processing the one or more output signals comprises determining the property.
  • 4088. The method of claim 4086, wherein further processing the partially processed one or more output signals comprises determining the property.
  • 4089. A semiconductor device fabricated by a method, the method comprising: etching a specimen using an etch tool; directing an incident beam of light having a known polarization state to the specimen using an illumination system; detecting light returned from the surface of the specimen using a detection system, wherein the illumination system and the detection system comprise a beam profile ellipsometer, and wherein the beam profile ellipsometer is coupled to the etch tool; generating one or more output signals representative of the detected light; and processing the one or more output signals to determine a property of the specimen.
  • 4090. The device of claim 4089, wherein the property is selected from the group consisting of a thickness, an index of refraction, and an extinction coefficient.
  • 4091. The device of claim 4089, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the etch tool, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4092. The device of claim 4089, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4093. The device of claim 4089, wherein an eddy current device is coupled to the etch tool, the method further comprising processing one or more output signals generated by the eddy current device to determine a thickness of the specimen.
  • 4094. The device of claim 4089, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 4095. The device of claim 4089, further comprising directing the incident beam of light toward multiple locations on the surface of the specimen substantially simultaneously and detecting light returned from the multiple locations substantially simultaneously such that the at least one property of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4096. The device of claim 4089, wherein the beam profile ellipsometer is further coupled to a process chamber of the etch tool.
  • 4097. The device of claim 4089, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool.
  • 4098. The device of claim 4089, wherein the beam profile ellipsometer is arranged vertically proximate to a process chamber of the etch tool.
  • 4099. The device of claim 4089, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, and wherein processing the one or more output signals comprises determining the property of the specimen during an etch process.
  • 4100. The device of claim 4089, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising obtaining a signature characterizing etching of the specimen, wherein the signature comprises at least one singularity representative of an end of etching of the specimen.
  • 4101. The device of claim 4089, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using an in situ control technique.
  • 4102. The device of claim 4089, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the beam profile ellipsometer, directing light during said moving, and detecting light during said moving.
  • 4103. A method for fabricating a semiconductor device, comprising: etching a specimen using an etch tool; directing an incident beam of light having a known polarization state to the specimen using an illumination system; detecting light returned from the surface of the specimen using a detection system, wherein the illumination system and the detection system comprise a beam profile ellipsometer, and wherein the beam profile ellipsometer is coupled to the etch tool; generating one or more output signals representative of the detected light; and processing the one or more output signals to determine a property of the specimen.
  • 4104. The method of claim 4103, wherein the property is selected from the group consisting of a thickness, an index of refraction, and an extinction coefficient.
  • 4105. The method of claim 4103, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the etch tool, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4106. The method of claim 4103, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4107. The method of claim 4103, wherein an eddy current device is coupled to the etch tool, the method further comprising processing one or more output signals generated by the eddy current device to determine a thickness of the specimen.
  • 4108. The method of claim 4103, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 4109. The method of claim 4103, further comprising directing the incident beam of light toward multiple locations on the surface of the specimen substantially simultaneously and detecting light returned from the multiple locations substantially simultaneously such that the at least one property of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4110. The method of claim 4103, wherein the beam profile ellipsometer is further coupled to a process chamber of the etch tool.
  • 4111. The method of claim 4103, wherein the beam profile ellipsometer is arranged laterally proximate to a process chamber of the etch tool.
  • 4112. The method of claim 4103, wherein the beam profile ellipsometer is arranged vertically proximate to a process chamber of the etch tool.
  • 4113. The method of claim 4103, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, and wherein processing the one or more output signals comprises determining the property of the specimen during an etch process.
  • 4114. The method of claim 4103, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising obtaining a signature characterizing etching of the specimen, wherein the signature comprises at least one singularity representative of an end of etching of the specimen.
  • 4115. The method of claim 4103, wherein the beam profile ellipsometer is further coupled to a stage disposed within a process chamber of the etch tool, the method further comprising altering a parameter of one or more instruments coupled to the etch tool in response to the determined property using an in situ control technique.
  • 4116. The method of claim 4103, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the beam profile ellipsometer, directing light during said moving, and detecting light during said moving.
  • 4117. A system configured to determine at least one property of a specimen during use, comprising: an etch tool configured to etch the specimen during use; a beam profile ellipsometer coupled to the etch tool, comprising: an illumination system configured to direct an incident beam of light having a known polarization state to the specimen during use; and a detection system coupled to the illumination system and configured to detect light returned from the specimen during use, wherein the beam profile ellipsometer is configured to generate one or more output signals responsive to the detected light during use; a local processor coupled to the beam profile ellipsometer and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to further process the one or more output signals to determine a property of the specimen during use.
  • 4118. A method for determining at least one property of a specimen, comprising: etching the specimen using an etch tool; directing an incident beam of light having a known polarization state to the specimen using an illumination system; detecting light returned from the surface of the specimen using a detection system, wherein the illumination system and the detection system comprise a beam profile ellipsometer, and wherein the beam profile ellipsometer is coupled to the etch tool; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine a property of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the beam profile ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4119. A system configured to a characteristic of a specimen during use, comprising: an ion implanter configured to direct ions toward the specimen during use; a measurement device coupled to the ion implanter, wherein the measurement device is configured: to periodically direct an incident beam of light to a region of the specimen to periodically excite the region of the specimen during use; to direct a sample beam of light to the periodically excited region of the specimen during use; to measure an intensity of the sample beam reflected from the periodically excited region of the specimen during use; and to generate one or more output signals responsive to the measured intensity of the reflected sample beam; and a processor coupled to the measurement device and configured to determine a characteristic of the region of the specimen from the one or more output signals during use.
  • 4120. The system of claim 4119, further comprising a stage coupled to the measurement device, wherein the stage is configured to move laterally during use.
  • 4121. The system of claim 4119, further comprising a stage coupled to the measurement device, wherein the stage is configured to move rotatably during use.
  • 4122. The system of claim 4119, further comprising a stage coupled to the measurement device, wherein the stage is configured to move laterally and rotatably during use.
  • 4123. The system of claim 4119, further comprising an additional measurement device coupled to the ion implanter, wherein the processor is further configured to determine an additional property of the specimen from one or more output signals generated by the additional measurement device.
  • 4124. The system of claim 4119, wherein the characteristic is selected from the group consisting of a presence of ions in the region, a concentration of ions in the region, a depth of the region, and a distribution profile of the region.
  • 4125. The system of claim 4119, wherein the processor is further configured to determine at least two characteristics of the implanted region substantially simultaneously during use.
  • 4126. The system of claim 4119, wherein the measurement device is further configured to periodically direct the incident beam of light to multiple regions of the specimen substantially simultaneously during use, to direct the sample beam of light to the multiple periodically excited regions of the specimen substantially simultaneously during use, and to measure the intensity of the sample beam reflected from the multiple periodically excited regions of the specimen substantially simultaneously during use such that the characteristic of multiple regions of the specimen can be determined substantially simultaneously.
  • 4127. The system of claim 4119, wherein the measurement device is further coupled to a process chamber of the ion implanter.
  • 4128. The system of claim 4119, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter.
  • 4129. The system of claim 4119, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, and wherein the ion implanter comprises a wafer handler configured to move the specimen to a stage coupled to the measurement device during use.
  • 4130. The system of claim 4119, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, and wherein a stage coupled to the measurement device is configured to move the specimen from the measurement device to the ion implanter during use.
  • 4131. The system of claim 4119, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, and wherein a stage coupled to the measurement device is configured to move the specimen to the process chamber of the ion implanter during use.
  • 4132. The system of claim 4119, wherein the system is further configured to determine the characteristic of the specimen while the specimen is waiting between process steps.
  • 4133. The system of claim 4119, wherein the ion implanter comprises a support device configured to support the specimen during an ion implantation process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the measurement device.
  • 4134. The system of claim 4119, wherein the ion implanter comprises a support device configured to support the specimen during an ion implantation process step, and wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the measurement device.
  • 4135. The system of claim 4119, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the etch tool.
  • 4136. The system of claim 4119, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the etch tool.
  • 4137. The system of claim 4119, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, and wherein the stage is configured to support the specimen during and ion implantation process step.
  • 4138. The system of claim 4119, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, and wherein the processor is further configured to determine the characteristic of the region during an ion implantation process step.
  • 4139. The system of claim 4119, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, and wherein the processor is further configured to obtain a signature characterizing the implantation of ions during use, and wherein the signature comprises at least one singularity representative of an end of the implantation of ions.
  • 4140. The system of claim 4119, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, wherein the processor is coupled to the ion implanter, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the ion implanter in response to the determine d characteristic using an in situ control technique during use.
  • 4141. The system of claim 4119, wherein the ion implanter comprises a first process chamber and a second process chamber, and wherein a stage coupled to the measurement device is configured to move the specimen from the first process chamber to the second process chamber during use.
  • 4142. The system of claim 4119, wherein the ion implanter comprises a first process chamber and a second process chamber, wherein a stage coupled to the measurement device is configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the processor is further configured to determine the property of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 4143. The system of claim 4119, wherein the processor is further configured to compare the determined characteristic of the region and characteristics of a plurality of regions during use.
  • 4144. The system of claim 4119, wherein the processor is further configured to compare the determined characteristic of the region to a predetermined range for the characteristic during use.
  • 4145. The system of claim 4144, wherein the processor is further configured to generate an output signal if the determined characteristic of the region is outside of the predetermined range for the characteristic during use.
  • 4146. The system of claim 4119, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined characteristic of the region during use.
  • 4147. The system of claim 4119, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedback control technique during use.
  • 4148. The system of claim 4119, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedforward control technique during use.
  • 4149. The system of claim 4119, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined characteristic of the region.
  • 4150. The system of claim 4119, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 4151. The system of claim 4119, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 4152. The system of claim 4119, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined characteristic of the region and characteristics of a plurality of regions, and wherein the characteristics of the plurality of regions are determined using the measurement device.
  • 4153. The system of claim 4119, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined characteristic of the region and characteristics of a plurality of regions, and wherein the characteristics of the plurality of regions are determined using a plurality of measurement devices.
  • 4154. The system of claim 4153, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 4155. The system of claim 4153, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 4156. The system of claim 4119, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 4157. The system of claim 4119, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 4158. The system of claim 4119, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4159. The system of claim 4119, wherein the processor is further coupled to the ion implanter.
  • 4160. The system of claim 4119, wherein the processor is further coupled to the ion implanter, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic using a feedback control technique during use.
  • 4161. The system of claim 4119, wherein the processor is further coupled to the ion implanter, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic using a feedforward control technique during use.
  • 4162. The system of claim 4119, wherein the processor is further coupled to the ion implanter, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the ion implanter during use.
  • 4163. The system of claim 4119, wherein the processor is further coupled to the ion implanter, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the ion implanter during use, and wherein the processor is further configured to determine a relationship between the determined characteristic and at least one of the monitored parameters during use.
  • 4164. The system of claim 4119, wherein the processor is further coupled to the ion implanter, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the ion implanter during use, wherein the processor is further configured to determine a relationship between the determined characteristic and at least one of the monitored parameters during use, and wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 4165. The system of claim 4119, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to an ion implanter.
  • 4166. The system of claim 4119, wherein the processor is further coupled to a plurality of ion implanters, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the plurality of ion implanters during use.
  • 4167. The system of claim 4119, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 4168. The system of claim 4167, wherein the local processor is further configured to determine the characteristic of the region during use.
  • 4169. The system of claim 4167, wherein the remote controller computer is further configured to determine the characteristic of the region during use.
  • 4170. A method for determining a characteristic of a specimen, comprising: implanting ions into the specimen using an ion implanter; periodically directing an incident beam of light to a region of the specimen to periodically excite the region of the specimen using an illumination system of a measurement device, wherein the measurement device is coupled to the ion implanter; directing a sample beam of light to the periodically excited region of the specimen using the illumination system; measuring an intensity of the sample beam reflected from the periodically excited region of the specimen using a detection system of the measurement device; generating one or more output signals responsive to the measured intensity of the reflected sample beam; and processing the one or more output signals to determine a characteristic of the region of the specimen.
  • 4171. The method of claim 4170, wherein a stage is coupled to the measurement device, the method further comprising laterally moving the stage during said periodically directing, said directing, and said measuring.
  • 4172. The method of claim 4170, wherein a stage is coupled to the measurement device, the method further comprising rotatably moving the stage during said periodically directing, said directing, and said measuring.
  • 4173. The method of claim 4170, wherein a stage is coupled to the measurement device, the method further comprising laterally and rotatably moving the stage during said periodically directing, said directing, and said measuring.
  • 4174. The method of claim 4170, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the ion implanter, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4175. The method of claim 4170, wherein the characteristic is selected from the group comprising a presence of ions in the region, a depth of the region, a concentration of ions in the region, and a distribution profile of the region.
  • 4176. The method of claim 4170, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two characteristics of the region substantially simultaneously.
  • 4177. The method of claim 4170, further comprising periodically directing the incident beam of light toward multiple regions of the specimen substantially simultaneously, directing the sample beam of light to the multiple periodically excited regions of the specimen substantially simultaneously, and measuring the intensity of the sample beam reflected from the multiple periodically excited regions of the specimen substantially simultaneously such that the characteristic of the multiple regions of the specimen can be determined substantially simultaneously.
  • 4178. The method of claim 4170, wherein the measurement device is further coupled to a process chamber of the ion implanter.
  • 4179. The method of claim 4170, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter.
  • 4180. The method of claim 4170, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, the method further comprising moving the specimen to a stage coupled to the measurement device with a wafer handler of the ion implanter.
  • 4181. The method of claim 4170, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, the method further comprising moving the specimen from the measurement device to the ion implanter with a stage coupled to the measurement device.
  • 4182. The method of claim 4170, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, the method further comprising moving the specimen to the process chamber of the ion implanter with a stage coupled to the measurement device.
  • 4183. The method of claim 4170, further comprising determining the characteristic of the specimen while the specimen is waiting between process steps.
  • 4184. The method of claim 4170, further comprising supporting the specimen during an ion implantation process step with a support device of the ion implanter, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the measurement device.
  • 4185. The method of claim 4170, further comprising supporting the specimen during an ion implantation process step with a support device of the ion implanter, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the measurement device.
  • 4186. The method of claim 4170, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the ion implanter.
  • 4187. The method of claim 4170, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the ion implanter.
  • 4188. The method of claim 4170, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising supporting the specimen during an ion implantation process step with the stage.
  • 4189. The method of claim 4170, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, and wherein processing the one or more output signals comprises determining the property of the specimen during an ion implantation process.
  • 4190. The method of claim 4170, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising obtaining a signature characterizing the implantation of ions into the specimen, wherein the signature comprises at least one singularity representative of an end of the implantation of ions.
  • 4191. The method of claim 4170, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising altering a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic using an in situ control technique.
  • 4192. The method of claim 4170, wherein the ion implanter comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device.
  • 4193. The method of claim 4170, wherein the ion implanter comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device during said periodically directing, said directing, and said measuring.
  • 4194. The method of claim 4170, further comprising comparing the determined characteristic and characteristics of a plurality of regions.
  • 4195. The method of claim 4170, further comprising comparing the determined characteristic to a predetermined range for the characteristic.
  • 4196. The method of claim 4195, further comprising generating an output signal if the determined characteristic is outside of the predetermined range.
  • 4197. The method of claim 4170, further comprising altering a sampling frequency of the measurement device in response to the determined characteristic of the region.
  • 4198. The method of claim 4170, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedback control technique.
  • 4199. The method of claim 4170, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedforward control technique.
  • 4200. The method of claim 4170, further comprising generating a database, wherein the database comprises the determined characteristic of the region.
  • 4201. The method of claim 4170, further comprising generating a database, wherein the database comprises the determined characteristic of the region, the method further comprising calibrating the measurement device using the database.
  • 4202. The method of claim 4170, further comprising generating a database, wherein the database comprises the determined characteristic of the region, the method further comprising monitoring output signals of the measurement device using the database.
  • 4203. The method of claim 4170, further comprising generating a database, wherein the database comprises the determined characteristic of the region and characteristics of a plurality of regions.
  • 4204. The method of claim 4170, further comprising generating a database, wherein the database comprises the determined characteristic of the region and characteristics of a plurality of regions, wherein the characteristics of the plurality of regions are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 4205. The method of claim 4170, further comprising generating a database, wherein the database comprises the determined characteristic of the region and characteristics of a plurality of regions, wherein the characteristics of the plurality of regions are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 4206. The method of claim 4170, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 4207. The method of claim 4170, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 4208. The method of claim 4170, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4209. The method of claim 4170, further comprising altering a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic using a feedback control technique.
  • 4210. The method of claim 4170, further comprising altering a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic using a feedforward control technique.
  • 4211. The method of claim 4170, further comprising monitoring a parameter of one or more instruments coupled to the ion implanter.
  • 4212. The method of claim 4170, further comprising monitoring a parameter of one or more instruments coupled to the ion implanter and determining a relationship between the determined characteristic and at least one of the monitored parameters.
  • 4213. The method of claim 4170, further comprising monitoring a parameter of one or more instruments coupled to the ion implanter, determining a relationship between the determined characteristic and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 4214. The method of claim 4170, further comprising altering a parameter of one or more instruments coupled to at least one semiconductor fabrication process tool in response to the determined characteristic of the region.
  • 4215. The method of claim 4170, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4216. The method of claim 4215, wherein at least partially processing the one or more output signals comprises determining the characteristic of the region.
  • 4217. The method of claim 4215, wherein further processing the partially processed one or more output signals comprises determining the characteristic of the region.
  • 4218. A computer-implemented method for controlling a system configured to determine a characteristic of a specimen during use, wherein the system comprises a measurement device coupled to an ion implanter, and wherein the ion implanter is configured to direct ions toward the specimen during use, the method comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, comprising: controlling the illumination system to periodically direct an incident beam of light to a region of the specimen to periodically excite the region of the specimen; controlling the illumination system to direct a sample beam of light to the periodically excited region of the specimen; controlling the detection system to measure an intensity of the sample beam reflected from the periodically excited region of the specimen; and generating one or more output signals responsive to the measured intensity; and processing the one or more output signals to determine a characteristic of the region of the specimen.
  • 4219. The method of claim 4218, wherein the system further comprises a stage coupled to the measurement device, the method further comprising supporting the specimen with the stage and controlling the stage to move laterally during said controlling the measurement device.
  • 4220. The method of claim 4218, wherein the system further comprises a stage coupled to the measurement device, the method further comprising supporting the specimen with the stage and controlling the stage to move rotatably during said controlling the measurement device.
  • 4221. The method of claim 4218, wherein the system further comprises a stage coupled to the measurement device, the method further comprising supporting the specimen with the stage and controlling the stage to move laterally and rotatably during said controlling the measurement device.
  • 4222. The method of claim 4218, wherein the system further comprises an additional measurement device coupled to the ion implanter, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4223. The method of claim 4218, wherein the characteristic is selected from the group consisting of a presence of ions in the region, a depth of the region, a concentration of ions in the region, and a distribution profile of the region.
  • 4224. The method of claim 4218, wherein processing the one or more output signals to determine the characteristic of the region comprises substantially simultaneously determining at least two characteristics of the region.
  • 4225. The method of claim 4218, further comprising controlling the illumination system to periodically direct the incident beam of light to multiple regions of the specimen substantially simultaneously, controlling the illumination system to direct the sample beam of light to the multiple periodically excited regions of the specimen, and controlling the detection system to measure the intensity of the sample beam reflected from the multiple periodically excited regions of the specimen substantially simultaneously such that the characteristic of the multiple regions of the specimen can be determined substantially simultaneously.
  • 4226. The method of claim 4218, wherein the measurement device is further coupled to a process chamber of the ion implanter.
  • 4227. The method of claim 4218, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter.
  • 4228. The method of claim 4218, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, the method further comprising controlling a wafer handler coupled to the ion implanter to move the specimen to a stage coupled to the measurement device.
  • 4229. The method of claim 4218, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the measurement device to the ion implanter.
  • 4230. The method of claim 4218, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter, the method further comprising controlling a stage coupled to the measurement device to move the specimen to a process chamber of the ion implanter.
  • 4231. The method of claim 4218, the method further comprising controlling a wafer handler to move the specimen to a stage coupled to the measurement device such that at least the one characteristic of the layer of the specimen can be determined while the specimen is waiting between process steps.
  • 4232. The method of claim 4218, further comprising supporting the specimen during an ion implantation process step with a support device of the ion implanter, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the measurement device.
  • 4233. The method of claim 4218, further comprising supporting the specimen during an ion implantation process step with a support device of the ion implanter, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the measurement device.
  • 4234. The method of claim 4218, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the ion implanter.
  • 4235. The method of claim 4218, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the ion implanter.
  • 4236. The method of claim 4218, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising controlling the stage to support the specimen during an ion implantation process step.
  • 4237. The method of claim 4218, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising processing the one or more output signals to determine the characteristic of the region during an ion implantation process step.
  • 4238. The method of claim 4218, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising controlling the measurement device to obtain a signature characterizing the implantation of ions, wherein the signature comprises at least one singularity representative of an end of the implantation of ions.
  • 4239. The method of claim 4218, further comprising altering a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic using an in situ control technique.
  • 4240. The method of claim 4218, wherein the ion implanter comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the first process chamber to the second process chamber.
  • 4241. The method of claim 4218, wherein the ion implanter comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the first process chamber to the second process chamber and controlling the measurement device during said moving.
  • 4242. The method of claim 4218, further comprising comparing the determined characteristic of the region and characteristics of a plurality of regions.
  • 4243. The method of claim 4218, further comprising comparing the determined characteristic of the region to a predetermined range for the characteristic.
  • 4244. The method of claim 4243, further comprising generating an output signal if the determined characteristic is outside of the predetermined range for the characteristic.
  • 4245. The method of claim 4218, further comprising altering a sampling frequency of the measurement device in response to the determined characteristic of the region.
  • 4246. The method of claim 4218, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedback control technique.
  • 4247. The method of claim 4218, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined characteristic using a feedforward control technique.
  • 4248. The method of claim 4218, further comprising generating a database, wherein the database comprises the determined characteristic of the region.
  • 4249. The method of claim 4218, further comprising generating a database, wherein the database comprises the determined characteristic of the region, and calibrating the measurement device using the database.
  • 4250. The method of claim 4218, further comprising generating a database, wherein the database comprises the determined characteristic of the region, and monitoring output signals of the measurement device using the database.
  • 4251. The method of claim 4218, further comprising generating a database, wherein the database comprises the determined characteristic of the region, and wherein the database further comprises characteristics of a plurality of regions.
  • 4252. The method of claim 4218, further comprising generating a database, wherein the database comprises the determined characteristic of the region, wherein the database further comprises characteristics of a plurality of regions, and wherein the determined characteristics of the plurality of regions are generated using a plurality of measurement devices.
  • 4253. The method of claim 4252, further comprising calibrating the plurality of measurement devices using the database.
  • 4254. The method of claim 4252, further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 4255. The method of claim 4218, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 4256. The method of claim 4218, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 4257. The method of claim 4218, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4258. The method of claim 4218, further comprising altering a parameter of one or more instruments coupled to an ion implanter in response to the determined characteristic of the region using a feedback control technique.
  • 4259. The method of claim 4218, further comprising altering a parameter of one or more instruments coupled to an ion implanter in response to the determined characteristic of the region using a feedforward control technique.
  • 4260. The method of claim 4218, further comprising monitoring a parameter of one or more instruments coupled to the ion implanter.
  • 4261. The method of claim 4218, further comprising monitoring a parameter of one or more instruments coupled to the ion implanter and determining a relationship between the determined characteristic and at least one of the monitored parameters.
  • 4262. The method of claim 4218, further comprising monitoring a parameter of one or more instruments coupled to the ion implanter, determining a relationship between the determined characteristic and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 4263. The method of claim 4218, further comprising altering a parameter of one or more instruments coupled to at least one of a plurality of semiconductor fabrication process tools in response to the determined characteristic of the region.
  • 4264. The method of claim 4218, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4265. The method of claim 4264, wherein at least partially processing the one or more output signals comprises determining the characteristic.
  • 4266. The method of claim 4264, wherein further processing the partially processes output signal comprises determining the characteristic.
  • 4267. A semiconductor device fabricated by a method, the method comprising: implanting ions into a specimen using an ion implanter, wherein the specimen comprises at least a portion of the semiconductor device; periodically directing an incident beam of light to a region of the specimen to periodically excite the region of the specimen using an illumination system of a measurement device, wherein the measurement device is coupled to the ion implanter; directing a sample beam of light to the periodically excited region of the specimen using the illumination system; measuring an intensity of the sample beam reflected from the periodically excited region of the specimen using a detection system of the measurement device; generating one or more output signals responsive to the measured intensity of the reflected sample beam; and processing the one or more output signals to determine a characteristic of the region of the specimen.
  • 4268. The device of claim 4267, wherein the characteristic is selected from the group consisting of a presence of ions in the region, a depth of the region, a concentration of ions in the region, and a distribution profile of the region.
  • 4269. The device of claim 4267, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the ion implanter, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4270. The device of claim 4267, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 4271. The device of claim 4267, further comprising periodically directing the incident beam of light toward multiple regions of the specimen substantially simultaneously, directing the sample beam of light to the multiple periodically excited regions of the specimen substantially simultaneously, and measuring the intensity of the sample beam reflected from the multiple periodically excited regions of the specimen substantially simultaneously such that the characteristic of the multiple regions of the specimen can be determined substantially simultaneously.
  • 4272. The device of claim 4267, wherein the measurement device is further coupled to a process chamber of the ion implanter.
  • 4273. The device of claim 4267, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter.
  • 4274. The device of claim 4267, wherein the measurement device is arranged vertically proximate to a process chamber of the ion implanter.
  • 4275. The device of claim 4267, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, and wherein processing the one or more output signals comprises determining the property of the specimen during an ion implantation process.
  • 4276. The device of claim 4267, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising obtaining a signature characterizing ion implantation of the specimen, wherein the signature comprises at least one singularity representative of an end of the ion implantation of the specimen.
  • 4277. The device of claim 4267, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising altering a parameter of one or more instruments coupled to the ion implanter in response to the determined property using an in situ control technique.
  • 4278. The device of claim 4267, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device and periodically directing, directing, and measuring the intensity during said moving.
  • 4279. A method for fabricating a semiconductor device, comprising: implanting ions into a specimen using an ion implanter, wherein the specimen comprises at least a portion of the semiconductor device; periodically directing an incident beam of light to a region of the specimen to periodically excite the region of the specimen using an illumination system of a measurement device, wherein the measurement device is coupled to the ion implanter; directing a sample beam of light to the periodically excited region of the specimen using the illumination system; measuring an intensity of the sample beam reflected from the periodically excited region of the specimen using a detection system of the measurement device; generating one or more output signals responsive to the measured intensity of the reflected sample beam; and processing the one or more output signals to determine a characteristic of the region of the specimen.
  • 4280. The method of claim 4279, wherein the characteristic is selected from the group consisting of a presence of ions in the region, a depth of the region, a concentration of ions in the region, and a distribution profile of the region.
  • 4281. The method of claim 4279, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the ion implanter, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4282. The method of claim 4279, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 4283. The method of claim 4279, further comprising periodically directing the incident beam of light toward multiple regions of the specimen substantially simultaneously, directing the sample beam of light to the multiple periodically excited regions of the specimen substantially simultaneously, and measuring the intensity of the sample beam reflected from the multiple periodically excited regions of the specimen substantially simultaneously such that the characteristic of the multiple regions of the specimen can be determined substantially simultaneously.
  • 4284. The method of claim 4279, wherein the measurement device is further coupled to a process chamber of the ion implanter.
  • 4285. The method of claim 4279, wherein the measurement device is arranged laterally proximate to a process chamber of the ion implanter.
  • 4286. The method of claim 4279, wherein the measurement device is arranged vertically proximate to a process chamber of the ion implanter.
  • 4287. The method of claim 4279, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, and wherein processing the one or more output signals comprises determining the property of the specimen during an ion implantation process.
  • 4288. The method of claim 4279, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising obtaining a signature characterizing ion implantation of the specimen, wherein the signature comprises at least one singularity representative of an end of the ion implantation of the specimen.
  • 4289. The method of claim 4279, wherein the measurement device is further coupled to a stage disposed within a process chamber of the ion implanter, the method further comprising altering a parameter of one or more instruments coupled to the ion implanter in response to the determined property using an in situ control technique.
  • 4290. The method of claim 4279, wherein the etch tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the measurement device and periodically directing, directing, and measuring the intensity during said moving.
  • 4291. A system configured to determine a characteristic of a specimen during use, comprising: an ion implanter configured to direct ions toward the specimen during use; a measurement device coupled to the ion implanter, wherein the measurement device is configured: to periodically direct an incident beam of light to a region of the specimen to periodically excite the region of the specimen during use; to direct a sample beam of light to the periodically excited region of the specimen during use; to measure an intensity of the sample beam reflected from the periodically excited region of the specimen during use; and to generate one or more output signals responsive to the measured intensity of the reflected sample beam during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to further process the at least partially processed one or more output signals to determine a characteristic of the region of the specimen.
  • 4292. A method for determining a characteristic of a specimen, comprising: implanting ions into a specimen using an ion implanter; periodically directing an incident beam of light to a region of the specimen to periodically excite the region of the specimen using an illumination system of a measurement device, wherein the measurement device is coupled to the ion implanter; directing a sample beam of light to the periodically excited region of the specimen using the illumination system; measuring an intensity of the sample beam reflected from the periodically excited region of the specimen using a detection system of the measurement device; generating one or more output signals responsive to the measured intensity of the reflected sample beam; and processing the one or more output signals to determine a characteristic of the region of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4293. A system configured to determine at least one characteristic of micro defects on a surface of a specimen during use, comprising: a process tool configured to process the specimen during use; a stage configured to support the specimen during use, wherein the stage is further configured to rotate during use; a measurement device coupled to the process tool, wherein the measurement device is further coupled to the stage, comprising: an illumination system configured to direct light toward the surface of the specimen during the process and during rotation of the stage; and a detection system coupled to the illumination system and configured to detect light propagating from the surface of the specimen during the process and during rotation of the stage, wherein the measurement device is configured to generate one or more output signals in response to the detected light during use; and a processor coupled to the measurement device and configured to determine at least the one characteristic of micro defects on the surface of the specimen from the one or more output signals during use.
  • 4294. The system of claim 4293, wherein the stage is further configured to move laterally during use.
  • 4295. The system of claim 4293, further comprising an additional measurement device coupled to the process tool, wherein the processor is further configured to determine an additional property of the specimen from one or more output signals generated by the additional measurement device.
  • 4296. The system of claim 4293, wherein the detected light comprises dark field light propagating along a dark field path from the surface of the specimen.
  • 4297. The system of claim 4293, wherein the detected light comprises bright field light propagating along a bright field path from the surface of the specimen.
  • 4298. The system of claim 4293, wherein the detected light comprises dark field light propagating along a dark field path from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen.
  • 4299. The system of claim 4293, wherein the detected light comprises dark field light propagating along multiple dark field paths from the surface of the specimen.
  • 4300. The system of claim 4293, wherein the detected light comprises dark field light propagating along multiple dark field paths from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen.
  • 4301. The system of claim 4293, wherein the specimen comprises a plurality of dies having repeatable pattern features, and wherein the processor is further configured to compare output signals responsive to detected light from at least two of the plurality of dies to determine at least the one characteristic of micro defects on the surface of the specimen.
  • 4302. The system of claim 4293, wherein at least the one characteristic of micro defects is selected from the group consisting of a presence, a location, a number, and a type of micro defects on the surface of the specimen.
  • 4303. The system of claim 4293, wherein the processor is further configured to determine at least two characteristics of micro defects on the surface of the specimen substantially simultaneously during use.
  • 4304. The system of claim 4293, wherein the illumination system is further configured to direct light toward multiple locations on the surface of the specimen substantially simultaneously during the process and during rotation of the stage, and wherein the detection system is further configured to detect light propagating from the multiple locations on the surface of the specimen substantially simultaneously during the process and during rotation of the stage such that at least one characteristic of micro defects on the surface of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4305. The system of claim 4293, wherein the processor is further configured to determine an additional characteristic of the specimen from the one or more output signals during use, and wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 4306. The system of claim 4304, wherein the process tool is selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4307. The system of claim 4293, wherein the measurement device is further coupled to a process chamber of the process tool.
  • 4308. The system of claim 4293, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool.
  • 4309. The system of claim 4293, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 4310. The system of claim 4293, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, and wherein the stage is configured to move the specimen from the measurement device to the process tool during use.
  • 4311. The system of claim 4293, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, and wherein the stage is configured to move the specimen to the process chamber of the process tool during use.
  • 4312. The system of claim 4293, wherein the system is further configured to determine at least the one characteristic while the specimen is waiting between process steps.
  • 4313. The system of claim 4293, wherein the process tool comprises a support device configured to support the specimen during the process, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4314. The system of claim 4293, wherein the process tool comprises a support device configured to support the specimen during the process, and wherein an upper surface of the support device is substantially perpendicular to an upper surface of the stage.
  • 4315. The system of claim 4293, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 4316. The system of claim 4293, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 4317. The system of claim 4293, wherein the stage is disposed within a process chamber of the process tool, and wherein the stage is further configured to support the specimen during the process.
  • 4318. The system of claim 4293, wherein the stage is disposed within a process chamber of the process tool, and wherein the processor is further configured to determine at least the one characteristic during the process.
  • 4319. The system of claim 4293, wherein the stage is disposed within a process chamber of the process tool, and wherein the processor is further configured to obtain a signature characteristic of the process during use, and wherein the signature comprises at least one singularity representative of an end of the process.
  • 4320. The system of claim 4293, wherein the stage is disposed within a process chamber of the process tool, wherein the processor is coupled to the process tool, and wherein the processor is further configured to alter a parameter of an instrument coupled to the process chamber in response to the characteristic using an in situ control technique during use.
  • 4321. The system of claim 4293, wherein the process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the processor is further configured to determine at least one characteristic as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 4322. The system of claim 4293, wherein the processor is further configured to compare at least the one determined characteristic to characteristics of a plurality of specimens during use.
  • 4323. The system of claim 4293, wherein the processor is further configured to compare at least the one determined characteristic to a predetermined range for the characteristic during use.
  • 4324. The system of claim 4293, wherein the processor is further configured to compare at least the one determined characteristic to a predetermined range for the characteristic during use, and wherein the processor is further configured to generate an output signal if at least the one determined characteristic is outside of the predetermined range for the characteristic during use.
  • 4325. The system of claim 4293, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least the one determined characteristic during use.
  • 4326. The system of claim 4293, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least the one determined characteristic using a feedback control technique during use.
  • 4327. The system of claim 4293, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least the one determined characteristic using a feedforward control technique during use.
  • 4328. The system of claim 4293, wherein the processor is further configured to generate a database during use, and wherein the database comprises at least the one determined characteristic.
  • 4329. The system of claim 4293, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 4330. The system of claim 4293, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 4331. The system of claim 4293, wherein the processor is further configured to generate a database during use, wherein the database comprises at least the one determined characteristic and characteristics of a plurality of specimens, and wherein the characteristics of the plurality of specimens are determined using the measurement device.
  • 4332. The system of claim 4293, wherein the processor is further configured to generate a database during use, wherein the database comprises at least the one determined characteristic and characteristics of a plurality of specimens, and wherein the characteristics of the plurality of specimens are determined using a plurality of measurement devices.
  • 4333. The system of claim 4332, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 4334. The system of claim 4332, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 4335. The system of claim 4293, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 4336. The system of claim 4293, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 4337. The system of claim 4293, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4338. The system of claim 4293, wherein the processor is further coupled to the process tool.
  • 4339. The system of claim 4293, wherein the processor is further coupled to the process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process chamber in response to at least the one determined characteristic using a feedback control technique during use.
  • 4340. The system of claim 4293, wherein the processor is further coupled to the process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process chamber in response to at least the one determined characteristic using a feedforward control technique during use.
  • 4341. The system of claim 4293, wherein the processor is further coupled to the process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 4342. The system of claim 4293, wherein the processor is further coupled to the process tool, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, and wherein the processor is further configured to determine a relationship between at least the one determined characteristic and at least one of the monitored parameters during use.
  • 4343. The system of claim 4293, wherein the processor is further coupled to the process tool, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, wherein the processor is further configured to determine a relationship between at least the one determined characteristic and at least one of the monitored parameters during use, and wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 4344. The system of claim 4293, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least two of the plurality of measurement devices is coupled to at least one of a plurality of process chambers of the process tool.
  • 4345. The system of claim 4293, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the plurality of process tools during use.
  • 4346. The system of claim 4293, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 4347. The system of claim 4346, wherein the local processor is further configured to determine at least the one characteristic during use.
  • 4348. The system of claim 4346, wherein the remote controller computer is further configured to determine at least the one characteristic during use.
  • 4349. The system of claim 4293, wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a deposition tool, an ion implanter, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, and a plating tool.
  • 4350. A method for determining at least one characteristic of micro defects on a specimen, comprising: processing the specimen in a process tool; supporting the specimen on a stage; rotating the stage while the specimen is supported on the stage; directing light toward a surface of the specimen using an illumination system during the process and during rotation of the stage; detecting light propagating from the surface of the specimen using a detection system during the process and during rotation of the stage, wherein illumination system and the detection system comprises a measurement device, and wherein the measurement device is coupled to the process tool; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine at least the one characteristic of micro defects on the specimen.
  • 4351. The method of claim 4350, further comprising laterally moving the stage while the specimen is supported on the stage.
  • 4352. The method of claim 4350, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the process tool, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4353. The method of claim 4350, wherein the detected light comprises dark field light propagating along a dark field path from the surface of the specimen.
  • 4354. The method of claim 4350, wherein the detected light comprises bright field light propagating along a bright field path from the surface of the specimen.
  • 4355. The method of claim 4350, wherein the detected light comprises dark field light propagating along a dark field path from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen.
  • 4356. The method of claim 4350, wherein the detected light comprises dark field light propagating along multiple dark field paths from the surface of the specimen.
  • 4357. The method of claim 4350, wherein the detected light comprises dark field light propagating along multiple dark field paths from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen.
  • 4358. The method of claim 4350, wherein the specimen comprises a plurality of dies having repeatable pattern features, and wherein processing the one or more output signals comprises comparing output signals responsive to detected light from at least two of the plurality of dies to determine at least the one characteristic of micro defects on the surface of the specimen.
  • 4359. The method of claim 4350, wherein at least the one characteristic of micro defects is selected from the group consisting of a presence, a location, a number, and a type of micro defects on the surface of the specimen.
  • 4360. The method of claim 4350, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two characteristics of micro defects on the specimen substantially simultaneously.
  • 4361. The method of claim 4350, further comprising directing light toward multiple locations on the surface of the specimen substantially simultaneously during the process and during the rotation of the stage and detecting light propagating from the multiple locations substantially simultaneously during the process and during the rotation of the stage such that at least one characteristic of micro defects on the surface of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4362. The method of claim 4350, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4363. The method of claim 4362, wherein the process tool is selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4364. The method of claim 4350, wherein the measurement device is further coupled to a process chamber of the process tool.
  • 4365. The method of claim 4350, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool.
  • 4366. The method of claim 4350, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, the method further comprising moving the specimen to the stage with a wafer handler of the process tool.
  • 4367. The method of claim 4350, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, the method further comprising moving the specimen from the measurement device to the process tool with the stage.
  • 4368. The method of claim 4350, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, the method further comprising moving the specimen from the measurement device to the process chamber with the stage.
  • 4369. The method of claim 4350, further comprising determining at least the one characteristic while the specimen is waiting between process steps.
  • 4370. The method of claim 4350, further comprising supporting the specimen during a process step with a support device of the process tool, wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4371. The method of claim 4350, further comprising supporting the specimen during a process step with a support device of the process tool, wherein an upper surface of the support device is substantially perpendicular to an upper surface of the stage.
  • 4372. The method of claim 4350, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 4373. The method of claim 4350, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 4374. The method of claim 4350, wherein the stage is disposed within a process chamber of the process tool, the method further comprising supporting the specimen during a process step with the stage.
  • 4375. The method of claim 4350, wherein the stage is disposed within a process chamber of the process tool, and wherein processing the one or more output signals comprises determining the at least the one characteristic of micro defects on the specimen during the process.
  • 4376. The method of claim 4350, wherein the stage is disposed within a process chamber of the process tool, the method further comprising obtaining a signature characterizing processing of the specimen, wherein the signature comprises at least one singularity representative of an end of the processing of the specimen.
  • 4377. The method of claim 4350, wherein the stage is disposed within a process chamber of the process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least the one determined characteristic using an in situ control technique.
  • 4378. The method of claim 4350, wherein the process tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using the stage.
  • 4379. The method of claim 4350, wherein the process tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using the stage, directing light during said moving, and detecting light during said moving.
  • 4380. The method of claim 4350, further comprising comparing at least the one determined characteristic and characteristics of a plurality of specimens.
  • 4381. The method of claim 4350, further comprising comparing at least the one determined characteristic to a predetermined range for the characteristic.
  • 4382. The method of claim 4381, further comprising generating an output signal if at least the one determined characteristic is outside of the predetermined range.
  • 4383. The method of claim 4350, further comprising altering a sampling frequency of the measurement device in response to at least the one determined characteristic.
  • 4384. The method of claim 4350, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least the one determined characteristic using a feedback control technique.
  • 4385. The method of claim 4350, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least the one determined characteristic using a feedforward control technique.
  • 4386. The method of claim 4350, further comprising generating a database, wherein the database comprises at least the one determined characteristic.
  • 4387. The method of claim 4350, further comprising generating a database, wherein the database comprises at least the one determined characteristic, the method further comprising calibrating the measurement device using the database.
  • 4388. The method of claim 4350, further comprising generating a database, wherein the database comprises at least the one determined characteristic, the method further comprising monitoring output signals generated by the measurement device using the database.
  • 4389. The method of claim 4350, further comprising generating a database, wherein the database comprises at least the one determined characteristic, wherein the database further comprises characteristics of a plurality of specimens, and wherein the characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 4390. The method of claim 4350, further comprising generating a database, wherein the database comprises at least the one determined characteristic, wherein the database further comprises characteristics of a plurality of specimens, and wherein the characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 4391. The method of claim 4350, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 4392. The method of claim 4350, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 4393. The method of claim 4350, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4394. The method of claim 4350, further comprising altering a parameter of one or more instruments coupled to the process chamber in response to at least the one determined characteristic using a feedback control technique.
  • 4395. The method of claim 4350, further comprising altering a parameter of one or more instruments coupled to the process chamber in response to at least the one determined characteristic using a feedforward control technique.
  • 4396. The method of claim 4350, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 4397. The method of claim 4350, further comprising monitoring a parameter of one or more instruments coupled to the process tool and determining a relationship between at least the one determined characteristic and at least one of the monitored parameters.
  • 4398. The method of claim 4350, further comprising monitoring a parameter of one or more instruments coupled to the process tool, determining a relationship between at least the one determined characteristic and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 4399. The method of claim 4350, further comprising altering a parameter of one or more instruments coupled to at least one of a plurality of process tools in response to at least the one determined characteristic.
  • 4400. The method of claim 4350, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4401. The method of claim 4400, wherein at least partially processing the one or more output signals comprises determining the characteristic.
  • 4402. The method of claim 4400, wherein further processing the partially processed one or more output signals comprises determining the characteristic.
  • 4403. The method of claim 4350, wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a deposition tool, an ion implanter, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, and a plating tool.
  • 4404. A computer-implemented method for controlling a system configured to determine at least one characteristic of micro defects on a specimen during use, wherein the system comprises a measurement device coupled a stage, wherein the measurement device is further coupled to a process tool, and wherein the process tool is configured to process the specimen during use, the method comprising: controlling the stage to rotate while the specimen is supported on the stage; controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, comprising: controlling the illumination system to direct light toward a surface of the specimen during the process and during rotation of the stage; controlling the detection system to detect light propagating from the surface of the specimen during the process and during rotation of the stage; and generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine at least one characteristic of micro defects on the specimen.
  • 4405. The method of claim 4404, further comprising controlling the stage to move laterally while the specimen is supported on the stage.
  • 4406. The method of claim 4404, wherein the system further comprises an additional measurement device coupled to the process tool, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4407. The method of claim 4404, wherein the detected light comprises dark field light propagating along a dark field path from the surface of the specimen.
  • 4408. The method of claim 4404, wherein the detected light comprises bright field light propagating along a bright field path from the surface of the specimen.
  • 4409. The method of claim 4404, wherein the detected light comprises dark field light propagating along a dark field path from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen.
  • 4410. The method of claim 4404, wherein the detected light comprises dark field light propagating along multiple dark field paths from the surface of the specimen.
  • 4411. The method of claim 4404, wherein the detected light comprises dark field light propagating along multiple dark field paths from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen.
  • 4412. The method of claim 4404, wherein the specimen comprises a plurality of dies having repeatable pattern features, and wherein processing the one or more output signals comprises comparing output signals responsive to detected light from at least two of the plurality of dies to determine at least the one characteristic of micro defects on the specimen.
  • 4413. The method of claim 4404, wherein at least the one characteristic of micro defects is selected from the group consisting of a presence, a location, a number, and a type of micro defects on the surface of the specimen.
  • 4414. The method of claim 4404, wherein processing the one or more output signals to determine at least the one characteristic of micro defects on the specimen comprises substantially simultaneously determining at least two characteristics of micro defects on the specimen.
  • 4415. The method of claim 4404, further comprising controlling the illumination system to direct light toward multiple locations on the surface of the specimen substantially simultaneously during the process and during the rotation of the stage and controlling the detection system to detect light propagating from the multiple locations substantially simultaneously during the process and during the rotation of the stage such that at least one characteristic of micro defects on the surface of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4416. The method of claim 4404, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4417. The method of claim 4416, wherein the process tool is selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4418. The method of claim 4404, wherein the measurement device is further coupled to a process chamber of the process tool.
  • 4419. The method of claim 4404, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool.
  • 4420. The method of claim 4404, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, the method further comprising controlling a wafer handler coupled to the process tool to move the specimen to the stage.
  • 4421. The method of claim 4404, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, the method further comprising controlling the stage to move the specimen from the measurement device to the process tool.
  • 4422. The method of claim 4404, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool, the method further comprising controlling the stage to move the specimen from the measurement device to a process chamber of the process tool.
  • 4423. The method of claim 4404, the method further comprising controlling a wafer handler to move the specimen to a stage coupled to the measurement device such that at least the one characteristic can be determined while the specimen is waiting between process steps.
  • 4424. The method of claim 4404, further comprising supporting the specimen during a process step with a support device of the process tool, wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4425. The method of claim 4404, further comprising supporting the specimen during a process step with a support device of the process tool, wherein an upper surface of the support device is substantially perpendicular to an upper surface of the stage.
  • 4426. The method of claim 4404, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 4427. The method of claim 4404, wherein the measurement device is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 4428. The method of claim 4404, wherein the stage is disposed within a process chamber of the process tool, the method further comprising controlling the stage to support the specimen during a process step.
  • 4429. The method of claim 4404, wherein the stage is disposed within a process chamber of the process tool, the method further comprising processing the one or more output signals to determine the characteristic of the specimen during a process step.
  • 4430. The method of claim 4404, wherein the stage is disposed within a process chamber of the process tool, the method further comprising controlling the measurement device to obtain a signature characterizing processing of the specimen, wherein the signature comprises at least one singularity representative of an end of the processing of the specimen.
  • 4431. The method of claim 4404, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least the one determined characteristic using an in situ control technique.
  • 4432. The method of claim 4404, wherein the process tool comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the first process chamber to the second process chamber.
  • 4433. The method of claim 4404, wherein the process tool comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the measurement device to move the specimen from the first process chamber to the second process chamber, controlling the illumination system during said moving, and controlling the detection system during said moving.
  • 4434. The method of claim 4404, further comprising comparing at least the one determined characteristic and characteristics of a plurality of specimens.
  • 4435. The method of claim 4404, further comprising comparing at least the one determined characteristic to a predetermined range for the characteristic.
  • 4436. The method of claim 4435, further comprising generating an output signal if at least the one determined characteristic is outside of the predetermined range.
  • 4437. The method of claim 4404, further comprising altering a sampling frequency of the measurement device in response to at least the one determined characteristic.
  • 4438. The method of claim 4404, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least the one determined characteristic using a feedback control technique.
  • 4439. The method of claim 4404, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least the one determined characteristic using a feedforward control technique.
  • 4440. The method of claim 4404, further comprising generating a database, wherein the database comprises at least the one determined characteristic.
  • 4441. The method of claim 4404, further comprising generating a database, wherein the database comprises at least the one determined characteristic, the method further comprising calibrating the measurement device using the database.
  • 4442. The method of claim 4404, further comprising generating a database, wherein the database comprises at least the one determined characteristic, the method further comprising monitoring output signals of the measurement device using the database.
  • 4443. The method of claim 4404, further comprising generating a database, wherein the database comprises at least the one determined characteristic, and wherein the database further comprises characteristics of a plurality of specimens.
  • 4444. The method of claim 4443, wherein the characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 4445. The method of claim 4443, wherein the characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 4446. The method of claim 4404, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 4447. The method of claim 4404, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 4448. The method of claim 4404, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4449. The method of claim 4404, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least the one determined characteristic using a feedback control technique.
  • 4450. The method of claim 4404, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least the one determined characteristic using a feedforward control technique.
  • 4451. The method of claim 4404, further comprising monitoring a parameter of one or more instruments coupled to the process chamber.
  • 4452. The method of claim 4404, further comprising monitoring a parameter of one or more instruments coupled to the process chamber and determining a relationship between at least the one determined characteristic and at least one of the monitored parameters.
  • 4453. The method of claim 4404, further comprising monitoring a parameter of one or more instruments coupled to the process chamber, determining a relationship between at least the one determined characteristic and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 4454. The method of claim 4404, further comprising altering a parameter of one or more instruments coupled to at least one of a plurality of semiconductor fabrication process tools in response to at least the one determined characteristic.
  • 4455. The method of claim 4404, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processes output signal using the remote controller computer.
  • 4456. The method of claim 4455, wherein at least partially processing the one or more output signals comprises determining the property.
  • 4457. The method of claim 4455, wherein further processing the partially process output signal comprises determining the property.
  • 4458. The method of claim 4404, wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a deposition tool, an ion implanter, a chemical-mechanical polishing tool, a cleaning tool, a thermal tool, and a plating tool.
  • 4459. A semiconductor device fabricated by a method, the method comprising: processing a specimen in a process tool to perform at least a step of a semiconductor fabrication process on the specimen; supporting the specimen on a stage; rotating the stage while the specimen is supported on the stage; directing light toward a surface of the specimen using an illumination system during the process and during rotation of the stage; detecting light propagating from the surface of the specimen using a detection system during the process and during rotation of the stage, wherein illumination system and the detection system comprises a measurement device, and wherein the measurement device is coupled to the process tool; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine at least the one characteristic of micro defects on the specimen.
  • 4460. The device of claim 4459, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the process too, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4461. The device of claim 4459, wherein at least the one characteristic of micro defects is selected from the group consisting of a presence, a location, a number, and a type of micro defects on the surface of the specimen.
  • 4462. The device of claim 4459, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two characteristics of micro defects on the specimen substantially simultaneously.
  • 4463. The device of claim 4459, further comprising directing light toward multiple locations on the surface of the specimen substantially simultaneously during the process and during the rotation of the stage and detecting light propagating from the multiple locations substantially simultaneously during the process and during the rotation of the stage such that at least one characteristic of micro defects on the surface of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4464. The device of claim 4459, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4465. The device of claim 4464, wherein the process tool is selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4466. The device of claim 4459, wherein the measurement device is further coupled to a process chamber of the process tool.
  • 4467. The device of claim 4459, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool.
  • 4468. The device of claim 4459, wherein the measurement device is arranged vertically proximate to a process chamber of the process tool.
  • 4469. The device of claim 4459, wherein the stage is disposed within a process chamber of the process tool, and wherein processing the one or more output signals comprises determining the at least the one characteristic of micro defects on the specimen during the process.
  • 4470. The device of claim 4459, wherein the stage is disposed within a process chamber of the process tool, the method further comprising obtaining a signature characterizing processing of the specimen, wherein the signature comprises at least one singularity representative of an end of the processing of the specimen.
  • 4471. The device of claim 4459, wherein the stage is disposed within a process chamber of the process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least the one determined characteristic using an in situ control technique.
  • 4472. The device of claim 4459, wherein the process tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using the stage, directing light during said moving, and detecting light during said moving.
  • 4473. The device of claim 4459, wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a deposition tool, an ion implanter, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, and a plating tool.
  • 4474. A method for fabricating a semiconductor device, comprising: disposing the specimen upon a stage, wherein the stage is disposed within a process chamber, wherein a measurement device is coupled to the process chamber, and wherein the measurement device comprises an illumination system and a detection system; processing the specimen to fabricate a portion of the semiconductor device upon a specimen using a process chamber; rotating the stage during processing of the specimen; directing light toward a surface of the specimen using the illumination system during fabrication and rotation of the stage; detecting light propagating from the surface of the specimen using the detection system during fabrication and rotation of the stage; and processing the detected light to determine a characteristic of micro defects on the surface of the specimen.
  • 4475. The method of claim 4474, wherein an additional illumination system and an additional detection system comprise an additional measurement device coupled to the process too, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4476. The method of claim 4474, wherein at least the one characteristic of micro defects is selected from the group consisting of a presence, a location, a number, and a type of micro defects on the surface of the specimen.
  • 4477. The method of claim 4474, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two characteristics of micro defects on the specimen substantially simultaneously.
  • 4478. The method of claim 4474, further comprising directing light toward multiple locations on the surface of the specimen substantially simultaneously during the process and during the rotation of the stage and detecting light propagating from the multiple locations substantially simultaneously during the process and during the rotation of the stage such that at least one characteristic of micro defects on the surface of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4479. The method of claim 4474, further comprising processing the one or more output signals to determine an additional characteristic of the specimen, wherein the additional characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4480. The method of claim 4479, wherein the process tool is selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4481. The method of claim 4474, wherein the measurement device is further coupled to a process chamber of the process tool.
  • 4482. The method of claim 4474, wherein the measurement device is arranged laterally proximate to a process chamber of the process tool.
  • 4483. The method of claim 4474, wherein the measurement device is arranged vertically proximate to a process chamber of the process tool.
  • 4484. The method of claim 4474, wherein the stage is disposed within a process chamber of the process tool, and wherein processing the one or more output signals comprises determining the at least the one characteristic of micro defects on the specimen during the process.
  • 4485. The method of claim 4474, wherein the stage is disposed within a process chamber of the process tool, the method further comprising obtaining a signature characterizing processing of the specimen, wherein the signature comprises at least one singularity representative of an end of the processing of the specimen.
  • 4486. The method of claim 4474, wherein the stage is disposed within a process chamber of the process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least the one determined characteristic using an in situ control technique.
  • 4487. The method of claim 4474, wherein the process tool comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using the stage, directing light during said moving, and detecting light during said moving.
  • 4488. The method of claim 4474, wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a deposition tool, an ion implanter, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, and a plating tool.
  • 4489. A system configured to determine a characteristic of micro defects on a specimen during use, comprising: a process tool configured to process the specimen during use; a stage configured to support the specimen during use, wherein the stage is further configured to rotate during use; a measurement device coupled to the process tool, wherein the measurement device is further coupled to the stage, comprising: an illumination system configured to direct light toward the surface of the specimen during the process and during rotation of the stage; and a detection system coupled to the illumination system and configured to detect light propagating from the surface of the specimen during the process and during rotation of the stage, wherein the measurement device is configured to generate one or more output signals in response to the detected light during use; and a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine at least the one characteristic of micro defects on the specimen from the at least partially processed one or more output signals.
  • 4490. A method for determining a characteristic of micro defects on a specimen, comprising: processing the specimen in a process tool; supporting the specimen on a stage; rotating the stage while the specimen is supported on the stage; directing light toward a surface of the specimen using an illumination system during the process and during rotation of the stage; detecting light propagating from the surface of the specimen using a detection system during the process and during rotation of the stage, wherein illumination system and the detection system comprises a measurement device, and wherein the measurement device is coupled to the process tool; generating one or more output signals responsive to the detected light; and processing the one or more output signals to determine at least the one characteristic of micro defects on the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4491. A system configured to determine at least one characteristic of defects on at least two sides of a specimen during use, comprising: a stage configured to support the specimen during use, wherein the stage is further configured to move during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a front side and a back side of the specimen during movement of the stage; and a detection system coupled to the illumination system and configured to detect energy propagating along multiple paths from the front side of the specimen during movement of the stage and to detect energy propagating from the back side of the specimen during movement of the stage, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy propagating along multiple paths from the front side of the specimen and the detected energy propagating from the back side of the specimen; and a processor coupled to the measurement device and configured to determine a first characteristic of defects on the front side of the specimen from the one or more output signals during use and a second characteristic of macro defects on the back side of the specimen from the one or more output signals during use.
  • 4492. The system of claim 4491, further comprising an additional measurement device coupled to the stage, wherein the processor is further configured to determine an additional property of the specimen from one or more output signals generated by the additional measurement device.
  • 4493. The system of claim 4491, wherein the stage is further configured to move laterally during use.
  • 4494. The system of claim 4491, wherein the stage is further configured to move rotatably during use.
  • 4495. The system of claim 4491, wherein the stage is further configured to move laterally and rotatably during use.
  • 4496. The system of claim 4491, wherein the detected energy propagating along multiple paths from the front side comprises dark field light propagating along multiple dark field paths.
  • 4497. The system of claim 4491, wherein the detected energy propagating along multiple paths from the front sides comprises dark field light propagating along multiple dark field paths and bright field light propagating along a bright field path.
  • 4498. The system of claim 4491, wherein the detected energy propagating from the back side of the specimen comprises dark field light propagating along a dark field path.
  • 4499. The system of claim 4491, wherein the detected energy propagating from the back side of the specimen comprises bright field light propagating along a bright field path.
  • 4500. The system of claim 4491, wherein the detected energy propagating from the back side of the specimen comprises dark field light propagating along a dark field path and bright field light propagating along a bright field path.
  • 4501. The system of claim 4491, wherein the measurement device further comprises non-optical components, and wherein the detected energy propagating along multiple paths from the front side of the specimen is responsive to a non-optical characteristic of the specimen.
  • 4502. The system of claim 4491, wherein the measurement device further comprises non-optical components, and wherein the detected energy propagating from the back side of the specimen is responsive to a non-optical characteristic of the specimen.
  • 4503. The system of claim 4491, wherein the specimen comprises a plurality of dies having repeatable pattern features, and wherein the processor is further configured to compare output signals responsive to detected energy from at least two of the plurality of dies to determine the first characteristic.
  • 4504. The system of claim 4491, wherein the first characteristic is selected from the group consisting of a presence, a location, a number, and a type of defects on the front side of the specimen.
  • 4505. The system of claim 4491, wherein the second characteristic is selected from the group consisting of a presence, a location, a number, and a type of defects on the back side of the specimen.
  • 4506. The system of claim 4491, wherein the defects on the front side of the specimen comprise macro defects or micro defects.
  • 4507. The system of claim 4491, wherein the defects on the front side of the specimen comprise macro defects and micro defects.
  • 4508. The system of claim 4491, wherein the processor is further configured to determine the first and second characteristics substantially simultaneously during use.
  • 4509. The system of claim 4491, wherein the illumination system is further configured to direct energy to multiple locations on the front side of the specimen substantially simultaneously during movement of the stage, and wherein the detection system is further configured to detect energy propagating along multiple paths from the multiple locations on the front side of the specimen substantially simultaneously during movement of the stage such that the first characteristic of defects on the front side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4510. The system of claim 4491, wherein the illumination system is further configured to direct energy to multiple locations on the back side of the specimen substantially simultaneously during movement of the stage, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the back side of the specimen substantially simultaneously during movement of the stage such that the second characteristic of macro defects on the back side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4511. The system of claim 4491, wherein the processor is further configured to determine a third characteristic of the specimen from the one or more output signals during use, and wherein the third characteristic is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 4512. The system of claim 4511, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4513. The system of claim 4491, wherein the system is coupled to a process tool.
  • 4514. The system of claim 4491, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 4515. The system of claim 4491, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 4516. The system of claim 4491, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 4517. The system of claim 4491, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 4518. The system of claim 4491, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 4519. The system of claim 4491, wherein the system is further configured to determine at least the one characteristic while the specimen is waiting between process steps.
  • 4520. The system of claim 4491, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4521. The system of claim 4491, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 4522. The system of claim 4491, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 4523. The system of claim 4491, wherein the system is coupled to a laser cleaning tool.
  • 4524. The system of claim 4491, wherein the system is coupled to a shock wave particle removal apparatus.
  • 4525. The system of claim 4491, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 4526. The system of claim 4491, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within the process tool.
  • 4527. The system of claim 4491, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 4528. The system of claim 4491, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 4529. The system of claim 4491, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 4530. The system of claim 4491, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, and wherein the processor is further configured to determine the first and second characteristics during the process step.
  • 4531. The system of claim 4491, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 4532. The system of claim 4491, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, and wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined characteristics using an in situ control technique during use.
  • 4533. The system of claim 4491, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 4534. The system of claim 4491, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the system is further configured to determine the first and second characteristics as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 4535. The system of claim 4491, wherein the processor is further configured to compare at least one of the determined characteristics and characteristics of a plurality of specimens during use.
  • 4536. The system of claim 4491, wherein the processor is further configured to compare at least one of the determined characteristics to a predetermined range for the characteristic during use.
  • 4537. The system of claim 4536, wherein the processor is further configured to generate an output signal if at least one of the determined characteristics is outside of the predetermined range for the characteristic during use.
  • 4538. The system of claim 4491, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined characteristics during use.
  • 4539. The system of claim 4491, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined characteristics using a feedback control technique during use.
  • 4540. The system of claim 4491, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined characteristics using a feedforward control technique during use.
  • 4541. The system of claim 4491, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined first and second characteristics.
  • 4542. The system of claim 4491, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 4543. The system of claim 4491, wherein the processor is further configured to generate a database during use, and wherein the processor is further configured to monitor the one or more output signals generated by measurement device using the database during use.
  • 4544. The system of claim 4491, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second characteristics, and wherein the database further comprises first and second characteristics of a plurality of specimens.
  • 4545. The system of claim 4544, wherein the first and second characteristics of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 4546. The system of claim 4544, wherein the first and second characteristics of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor one or more output signals generated by the plurality of measurement devices using the database during use.
  • 4547. The system of claim 4491, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 4548. The system of claim 4491, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 4549. The system of claim 4491, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4550. The system of claim 4491, wherein the processor is further coupled to a process tool.
  • 4551. The system of claim 4491, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined characteristics using a feedback control technique during use.
  • 4552. The system of claim 4491, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined characteristics using a feedforward control technique during use.
  • 4553. The system of claim 4491, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 4554. The system of claim 4553, wherein the processor is further configured to determine a relationship between at least one of the determined characteristics and at least one of the monitored parameters during use.
  • 4555. The system of claim 4554, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 4556. The system of claim 4491, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 4557. The system of claim 4491, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 4558. The system of claim 4557, wherein the local processor is further configured to determine the first characteristic and the second characteristic during use.
  • 4559. The system of claim 4557, wherein the remote controller computer is further configured to determine the first characteristic and the second characteristic during use.
  • 4560. A method for determining at least one characteristic of defects on at least two sides of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; moving the stage; directing energy toward a front side and a back side of the specimen using the illumination system during movement of the stage; detecting energy propagating along multiple paths from the front side of the specimen using the detection system during movement of the stage; detecting energy propagating from the back side of the specimen using the detection system during movement of the stage; generating one or more output signals responsive to the detected energy propagating along multiple paths from the front side of the specimen and the detected energy propagating from the back side of the specimen; and processing the one or more output signals to determine a first characteristic of defects on a front side of the specimen and a second characteristic of macro defects on a back side of the specimen.
  • 4561. The method of claim 4560, further comprising moving the stage laterally during said directing energy, said detecting energy propagating along multiple paths from the front side of the specimen, and said detecting energy propagating from the back side of the specimen.
  • 4562. The method of claim 4560, further comprising moving the stage rotatably during said directing energy, said detecting energy propagating along multiple paths from the front side of the specimen, and said detecting energy propagating from the back side of the specimen.
  • 4563. The method of claim 4560, further comprising moving the stage laterally and rotatably during said directing energy, said detecting energy propagating along multiple paths from the front side of the specimen, and said detecting energy propagating from the back side of the specimen.
  • 4564. The method of claim 4560, wherein the detected light propagating along multiple paths from the front side comprises dark field light propagating along multiple dark field paths.
  • 4565. The method of claim 4560, wherein the detected light propagating along multiple paths from the front sides comprises dark field light propagating along multiple dark field paths and bright field light propagating along a bright field path.
  • 4566. The method of claim 4560, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path.
  • 4567. The method of claim 4560, wherein the detected light propagating from the back side of the specimen comprises bright field light propagating along a bright field path.
  • 4568. The method of claim 4560, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path and bright field light propagating along a bright field path.
  • 4569. The method of claim 4560, wherein the stage is further coupled to an additional measurement device, wherein the additional measurement device comprises an additional illumination system and an additional detection system, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4570. The method of claim 4560, wherein the measurement device further comprises non-optical components, and wherein detecting energy propagating along multiple paths from the front side of the specimen comprises measuring a non-optical characteristic of the front side of the specimen.
  • 4571. The method of claim 4560, wherein the measurement device further comprises non-optical components, and wherein detecting energy propagating from the back side of the specimen comprises a non-optical characteristic of the back side of the specimen.
  • 4572. The method of claim 4560, wherein the specimen comprises a plurality of dies having repeatable pattern features, and wherein processing the one or more output signals comprises comparing detected energy propagating from at least two of the plurality of dies to determine the first characteristic.
  • 4573. The method of claim 4560, wherein the first characteristic is selected from the group consisting of a presence, a location, a number, and a type of defects on the front side of the specimen.
  • 4574. The method of claim 4560, wherein the second characteristic is selected from the group consisting of a presence, a location, a number, and a type of defects on the back side of the specimen.
  • 4575. The method of claim 4560, wherein the defects on the front side of the specimen comprise macro defects or micro defects.
  • 4576. The method of claim 4560, wherein the defects on the front side of the specimen comprise macro defects and micro defects.
  • 4577. The method of claim 4560, further comprising processing the one or more output signals substantially simultaneously to determine the first and second characteristics.
  • 4578. The method of claim 4560, further comprising directing energy toward multiple locations on the front side of the specimen substantially simultaneously during movement of the stage and detecting energy propagating along multiple paths from the multiple locations on the front side of the specimen substantially simultaneously during movement of the stage such that the first characteristic of defects on the front side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4579. The method of claim 4560, further comprising directing energy toward multiple locations on the back side of the specimen substantially simultaneously during movement of the stage and detecting energy propagating from the multiple locations on the back side of the specimen substantially simultaneously during movement of the stage such that the second characteristic of macro defects on the back side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4580. The method of claim 4560, further comprising processing the one or more output signals to determine a third characteristic of the specimen, wherein the third characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4581. The method of claim 4580, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4582. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool.
  • 4583. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 4584. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 4585. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 4586. The method of claim 4560, wherein the stage and the measurement device are coupled to a laser cleaning tool.
  • 4587. The method of claim 4560, wherein the stage and the measurement device are coupled to a shock wave particle removal apparatus.
  • 4588. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 4589. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 4590. The method of claim 4560, further comprising determining at least the one characteristic while the specimen is waiting between process steps.
  • 4591. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4592. The method of claim 4560, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 4593. The method of claim 4560, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 4594. The method of claim 4560, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
  • 4595. The method of claim 4560, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 4596. The method of claim 4560, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 4597. The method of claim 4560, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 4598. The method of claim 4597, further comprising performing said directing and said detecting during the process step.
  • 4599. The method of claim 4598, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 4600. The method of claim 4598, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined characteristics using an in situ control technique.
  • 4601. The method of claim 4560, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 4602. The method of claim 4601, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 4603. The method of claim 4560, further comprising comparing at least one of the determined characteristics and determined characteristics of a plurality of specimens.
  • 4604. The method of claim 4560, further comprising comparing at least one of the determined characteristics to a predetermined range for the characteristic.
  • 4605. The method of claim 4604, further comprising generating an output signal if at least one of the determined characteristics is outside of the predetermined range for the characteristic.
  • 4606. The method of claim 4560, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined characteristics.
  • 4607. The method of claim 4560, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined characteristics using a feedback control technique.
  • 4608. The method of claim 4560, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined characteristics using a feedforward control technique.
  • 4609. The method of claim 4560, further comprising generating a database, wherein the database comprises the determined first and second characteristics.
  • 4610. The method of claim 4560, further comprising generating a database, wherein the database comprises the determined first and second characteristics, the method further comprising calibrating the measurement device using the database.
  • 4611. The method of claim 4560, further comprising generating a database, wherein the database comprises the determined first and second characteristics, the method further comprising monitoring the measurement device using the database.
  • 4612. The method of claim 4560, further comprising generating a database, wherein the database comprises the determined first and second characteristics, and wherein the database further comprises first and second characteristics of a plurality of specimens.
  • 4613. The method of claim 4612, wherein the first and second characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 4614. The method of claim 4613, wherein the first and second characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 4615. The method of claim 4560, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 4616. The method of claim 4560, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 4617. The method of claim 4560, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4618. The method of claim 4560, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined characteristics using a feedback control technique.
  • 4619. The method of claim 4560, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined characteristics using a feedforward control technique.
  • 4620. The method of claim 4560, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 4621. The method of claim 4620, further comprising determining a relationship between at least one of the determined characteristics and at least one of the monitored parameters.
  • 4622. The method of claim 4621, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 4623. The method of claim 4560, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined characteristics.
  • 4624. The method of claim 4560, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4625. The method of claim 4624, wherein at least partially processing the one or more output signals comprises determining the first and second characteristics.
  • 4626. The method of claim 4624, wherein further processing the partially processed one or more output signals comprises determining the first and second characteristics.
  • 4627. A computer-implemented method for controlling a system configured to determine at least one characteristic of defects on at least two sides of the specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, wherein the measurement device is coupled to a stage, and wherein the stage is configured to move during use, comprising: controlling the illumination system to direct energy toward a front side and a back side of the specimen during movement of the stage; controlling the detection system to detect energy propagating along multiple paths from the front side of the specimen during movement of the stage and to detect energy propagating from the back side of the specimen during movement of the stage; and generating one or more output signals responsive to the detected energy propagating along multiple path from the front side of the specimen and the detected energy propagating from the back side of the specimen; and processing the one or more output signals to determine a first characteristic of defects on a front side of the specimen and to determine a second characteristic of macro defects on a back side of the specimen.
  • 4628. The method of claim 4627, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 4629. The method of claim 4627, further comprising controlling the stage to laterally move the stage during said controlling the illumination system and said controlling the detection system.
  • 4630. The method of claim 4627, further comprising controlling the stage to rotatably move the stage during said controlling the illumination system and said controlling the detection system.
  • 4631. The method of claim 4627, further comprising controlling the stage to laterally and rotatably move the stage during said controlling the illumination system and said controlling the detection system.
  • 4632. The method of claim 4627, wherein the detected light propagating along multiple paths from the front side comprises dark field light propagating along multiple dark field paths.
  • 4633. The method of claim 4627, wherein the detected light propagating along multiple paths from the front sides comprises dark field light propagating along multiple dark field paths and bright field light propagating along a bright field path.
  • 4634. The method of claim 4627, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path.
  • 4635. The method of claim 4627, wherein the detected light propagating from the back side of the specimen comprises bright field light propagating along a bright field path.
  • 4636. The method of claim 4627, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path and bright field light propagating along a bright field path.
  • 4637. The method of claim 4627, wherein the system comprises an additional measurement device, wherein the additional measurement device comprises an additional illumination system and an additional detection system, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4638. The method of claim 4627, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the front side of the specimen.
  • 4639. The method of claim 4627, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the back side of the specimen.
  • 4640. The method of claim 4627, wherein the specimen comprises a plurality of dies having repeatable pattern features, and wherein processing the one or more output signals comprises comparing detected energy propagating from at least two of the plurality of dies to determine the first characteristic.
  • 4641. The method of claim 4627, wherein the first characteristic is selected from the group consisting of a presence, a location, a number, and a type of defects on the front side of the specimen.
  • 4642. The method of claim 4627, wherein the second characteristic is selected from the group consisting of a presence, a location, a number, and a type of defects on the back side of the specimen.
  • 4643. The method of claim 4627, wherein the defects on the front side of the specimen comprise macro defects or micro defects.
  • 4644. The method of claim 4627, wherein the defects on the back side of the specimen comprise macro defects and micro defects.
  • 4645. The method of claim 4627, wherein processing the one or more output signals to determine the first and second characteristics comprises substantially simultaneously determining the first and second characteristics.
  • 4646. The method of claim 4627, further comprising controlling the illumination system to direct energy to multiple locations on the front side of the specimen substantially simultaneously during movement of the stage and controlling the detection system to detect energy propagating along multiple paths from the multiple locations on the front side of the specimen substantially simultaneously during movement of the stage such that the first characteristic of defects on the front side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4647. The method of claim 4627, further comprising controlling the illumination system to direct energy toward multiple locations on the back side of the specimen substantially simultaneously during movement of the stage and controlling the detection system to detect energy propagating from the multiple locations on the back side of the specimen substantially simultaneously during movement of the stage such that the second characteristic of macro defects on the back side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4648. The method of claim 4627, further comprising processing the one or more output signals to determine a third characteristic of the specimen, wherein the third characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4649. The method of claim 4648, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4650. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool.
  • 4651. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 4652. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 4653. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
  • 4654. The method of claim 4627, wherein the stage and the measurement device are coupled to a laser cleaning tool.
  • 4655. The method of claim 4627, wherein the stage and the measurement device are coupled to a shock wave particle removal apparatus.
  • 4656. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, wherein the wafer handler is coupled to the process tool.
  • 4657. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 4658. The method of claim 4627, the method further comprising controlling a wafer handler to move the specimen to a stage coupled to the measurement device such that at least the one characteristic can be determined while the specimen is waiting between process steps.
  • 4659. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4660. The method of claim 4627, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 4661. The method of claim 4627, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 4662. The method of claim 4627, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
  • 4663. The method of claim 4627, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 4664. The method of claim 4627, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 4665. The method of claim 4627, wherein the stage comprises a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 4666. The method of claim 4665, further comprising controlling the illumination system and controlling the detection system during the process step.
  • 4667. The method of claim 4666, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 4668. The method of claim 4666, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the at least one of the determined characteristics using an in situ control technique.
  • 4669. The method of claim 4627, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 4670. The method of claim 4669, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 4671. The method of claim 4627, further comprising comparing at least one of the determined characteristics and characteristics of a plurality of specimens.
  • 4672. The method of claim 4627, further comprising comparing at least one of the determined characteristics to a predetermined range for the characteristic.
  • 4673. The method of claim 4672, further comprising generating an output signal if at least one of the determined characteristics is outside of the predetermined range for the characteristic.
  • 4674. The method of claim 4627, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined characteristics.
  • 4675. The method of claim 4627, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined characteristics using a feedback control technique.
  • 4676. The method of claim 4627, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined characteristics using a feedforward control technique.
  • 4677. The method of claim 4627, further comprising generating a database, wherein the database comprises the determined first and second characteristics.
  • 4678. The method of claim 4627, further comprising generating a database, wherein the database comprises the determined first and second characteristics, the method further comprising calibrating the measurement device using the database.
  • 4679. The method of claim 4627, further comprising generating a database, wherein the database comprises the determined first and second characteristics, the method monitoring the measurement device using the database.
  • 4680. The method of claim 4627, further comprising generating a database, wherein the database comprises the determined first and second characteristics, and wherein the database further comprises first and second characteristics of a plurality of specimens.
  • 4681. The method of claim 4680, wherein the first and second characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 4682. The method of claim 4680, wherein the first and second characteristics of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 4683. The method of claim 4627, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 4684. The method of claim 4627, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 4685. The method of claim 4627, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4686. The method of claim 4627, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined characteristics using a feedback control technique.
  • 4687. The method of claim 4627, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined characteristics using a feedforward control technique.
  • 4688. The method of claim 4627, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 4689. The method of claim 4688, further comprising determining a relationship between at least one of the determined characteristics and at least one of the monitored parameters.
  • 4690. The method of claim 4688, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 4691. The method of claim 4627, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined characteristics.
  • 4692. The method of claim 4627, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4693. The method of claim 4692, wherein at least partially processing the one or more output signals comprises determining the first and second characteristics.
  • 4694. The method of claim 4692, wherein further processing the partially processed one or more output signals comprises determining the first and second characteristics.
  • 4695. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; moving the stage; directing energy toward a front side and a back side of the specimen using the illumination system during movement of the stage; detecting energy propagating along multiple paths from the front side of the specimen using the detection system during movement of the stage; detecting energy propagating from the back side of the specimen using the detection system during movement of the stage; generating one or more output signals responsive to the detected energy propagating along multiple path from the front side of the specimen and the detected energy propagating from the back side of the specimen; and processing the one or more output signals to determine a first characteristic of defects on the front side of the specimen a second characteristic of macro defects on the back side of the specimen.
  • 4696. The device of claim 4695, wherein the detected light propagating along multiple paths from the front side comprises dark field light propagating along multiple dark field paths.
  • 4697. The device of claim 4695, wherein the detected light propagating along multiple paths from the front sides comprises dark field light propagating along multiple dark field paths and bright field light propagating along a bright field path.
  • 4698. The device of claim 4695, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path.
  • 4699. The device of claim 4695, wherein the detected light propagating from the back side of the specimen comprises bright field light propagating along a bright field path.
  • 4700. The device of claim 4695, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path and bright field light propagating along a bright field path.
  • 4701. The device of claim 4695, wherein the stage is further coupled to an additional measurement device, wherein the additional measurement device comprises an additional illumination system and an additional detection system, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4702. The device of claim 4695, wherein the measurement device further comprises non-optical components, and wherein detecting energy propagating along multiple paths from the front side of the specimen comprises measuring a non-optical characteristic of the front side of the specimen.
  • 4703. The device of claim 4695, wherein the measurement device further comprises non-optical components, and wherein detecting energy propagating from the back side of the specimen comprises a non-optical characteristic of the back side of the specimen.
  • 4704. The device of claim 4695, further comprising processing the one or more output signals substantially simultaneously to determine the first and second characteristics.
  • 4705. The device of claim 4695, further comprising directing energy toward multiple locations on the front side of the specimen substantially simultaneously during movement of the stage and detecting energy propagating along multiple paths from the multiple locations on the front side of the specimen substantially simultaneously during movement of the stage such that the first characteristic of defects on the front side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4706. The device of claim 4695, further comprising directing energy toward multiple locations on the back side of the specimen substantially simultaneously during movement of the stage and detecting energy propagating from the multiple locations on the back side of the specimen substantially simultaneously during movement of the stage such that the second characteristic of macro defects on the back side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4707. The device of claim 4695, further comprising processing the one or more output signals to determine a third characteristic of the specimen, wherein the third characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4708. The device of claim 4707, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4709. The device of claim 4695, wherein the stage and the measurement device are coupled to a process tool.
  • 4710. The device of claim 4695, wherein the stage and the measurement device are coupled to a laser cleaning tool.
  • 4711. The device of claim 4695, wherein the stage and the measurement device are coupled to a shock wave particle removal apparatus.
  • 4712. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; moving the stage; directing energy toward a front side and a back side of the specimen using the illumination system during movement of the stage; detecting energy propagating along multiple paths from the front side of the specimen using the detection system during movement of the stage; detecting energy propagating from the back side of the specimen using the detection system during movement of the stage; generating one or more output signals responsive to the detected energy propagating along multiple path from the front side of the specimen and the detected energy propagating from the back side of the specimen; and processing the one or more output signals to determine a first characteristic of defects on the front side of the specimen a second characteristic of macro defects on the back side of the specimen.
  • 4713. The method of claim 4712, wherein the detected light propagating along multiple paths from the front side comprises dark field light propagating along multiple dark field paths.
  • 4714. The method of claim 4712, wherein the detected light propagating along multiple paths from the front sides comprises dark field light propagating along multiple dark field paths and bright field light propagating along a bright field path.
  • 4715. The method of claim 4712, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path.
  • 4716. The method of claim 4712, wherein the detected light propagating from the back side of the specimen comprises bright field light propagating along a bright field path.
  • 4717. The method of claim 4712, wherein the detected light propagating from the back side of the specimen comprises dark field light propagating along a dark field path and bright field light propagating along a bright field path.
  • 4718. The method of claim 4712, wherein the stage is further coupled to an additional measurement device, wherein the additional measurement device comprises an additional illumination system and an additional detection system, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 4719. The method of claim 4712, wherein the measurement device further comprises non-optical components, and wherein detecting energy propagating along multiple paths from the front side of the specimen comprises measuring a non-optical characteristic of the front side of the specimen.
  • 4720. The method of claim 4712, wherein the measurement device further comprises non-optical components, and wherein detecting energy propagating from the back side of the specimen comprises a non-optical characteristic of the back side of the specimen.
  • 4721. The method of claim 4712, further comprising processing the one or more output signals substantially simultaneously to determine the first and second characteristics.
  • 4722. The method of claim 4712, further comprising directing energy toward multiple locations on the front side of the specimen substantially simultaneously during movement of the stage and detecting energy propagating along multiple paths from the multiple locations on the front side of the specimen substantially simultaneously during movement of the stage such that the first characteristic of defects on the front side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4723. The method of claim 4712, further comprising directing energy toward multiple locations on the back side of the specimen substantially simultaneously during movement of the stage and detecting energy propagating from the multiple locations on the back side of the specimen substantially simultaneously during movement of the stage such that the second characteristic of macro defects on the back side of the specimen at the multiple locations can be determined substantially simultaneously.
  • 4724. The method of claim 4712, further comprising processing the one or more output signals to determine a third characteristic of the specimen, wherein the third characteristic is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 4725. The method of claim 4724, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • 4726. The method of claim 4712, wherein the stage and the measurement device are coupled to a process tool.
  • 4727. The method of claim 4712, wherein the stage and the measurement device are coupled to a laser cleaning tool.
  • 4728. The method of claim 4712, wherein the stage and the measurement device are coupled to a shock wave particle removal apparatus.
  • 4729. A system configured to determine at least one characteristic of defects on at least two sides of a specimen during use, comprising: a stage configured to support the specimen during use, wherein the stage is further configured to move during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a front side and a back side of the specimen during movement of the stage; and a detection system coupled to the illumination system and configured to detect energy propagating along multiple paths from the front side of the specimen during movement of the stage and to detect energy propagating from the back side of the specimen during movement of the stage, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy propagating along multiple paths from the front side of the specimen and the detected energy propagating from the back side of the specimen; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first characteristic of defects on the front side of the specimen from the one or more output signals during use and a second characteristic of macro defects on the back side of the specimen from the one or more output signals during use.
  • 4730. A method for determining at least one characteristic of defects on at least two sides of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; moving the stage; directing energy toward a front side and a back side of the specimen using the illumination system during movement of the stage; detecting energy propagating along multiple paths from the front side of the specimen using the detection system during movement of the stage; detecting energy propagating from the back side of the specimen using the detection system during movement of the stage; generating one or more output signals responsive to the detected energy propagating along multiple paths from the front side of the specimen and the detected energy propagating from the back side of the specimen; and processing the one or more output signals to determine a first characteristic of defects on the front side of the specimen and a second characteristic of macro defects on the back side of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the at least partially processed one or more output signals from the local processor to a remote controller computer; and further processing the at least partially processed one or more output signals using the remote controller computer.
  • 4731. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 4732. The system of claim 4731, wherein the stage is further configured to move laterally during use.
  • 4733. The system of claim 4731, wherein the stage is further configured to move rotatably during use.
  • 4734. The system of claim 4731, wherein the stage is further configured to move laterally and rotatably during use.
  • 4735. The system of claim 4731, wherein the illumination system comprises a single energy source.
  • 4736. The system of claim 4731, wherein the illumination system comprises more than one energy source.
  • 4737. The system of claim 4731, wherein the detection system comprises a single energy sensitive device.
  • 4738. The system of claim 4731, wherein the detection system comprises more than one energy sensitive devices.
  • 4739. The system of claim 4731, wherein the measurement device further comprises a non-imaging scatterometer.
  • 4740. The system of claim 4731, wherein the measurement device further comprises a scatterometer.
  • 4741. The system of claim 4731, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 4742. The system of claim 4731, wherein the measurement device further comprises a reflectometer.
  • 4743. The system of claim 4731, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 4744. The system of claim 4731, wherein the measurement device further comprises an ellipsometer.
  • 4745. The system of claim 4731, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 4746. The system of claim 4731, wherein the measurement device further comprises a bright field imaging device.
  • 4747. The system of claim 4731, wherein the measurement device further comprises a dark field imaging device.
  • 4748. The system of claim 4731, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 4749. The system of claim 4731, wherein the measurement device further comprises a non-imaging bright field device.
  • 4750. The system of claim 4731, wherein the measurement device further comprises a non-imaging dark field device.
  • 4751. The system of claim 4731, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 4752. The system of claim 4731, wherein the measurement device further comprises a double dark field device.
  • 4753. The system of claim 4731, wherein the measurement device further comprises a coherence probe microscope.
  • 4754. The system of claim 4731, wherein the measurement device further comprises an interferometer.
  • 4755. The system of claim 4731, wherein the measurement device further comprises an optical profilometer.
  • 4756. The system of claim 4731, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an on-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4757. The system of claim 4731, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 4758. The system of claim 4731, wherein the macro defects comprises resist contamination of a back side of the specimen.
  • 4759. The system of claim 4731, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 4760. The system of claim 4731, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 4761. The system of claim 4731, wherein the system is further configured to determine at least the two properties of the specimen substantially simultaneously during use.
  • 4762. The system of claim 4731, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4763. The system of claim 4731, wherein the system is coupled to a process tool.
  • 4764. The system of claim 4731, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 4765. The system of claim 4731, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 4766. The system of claim 4731, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 4767. The system of claim 4731, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 4768. The system of claim 4731, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 4769. The system of claim 4731, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 4770. The system of claim 4731, wherein the system is coupled to a lithography tool, wherein the system is configured to determine the first property of the specimen prior to an exposure step of a lithography process, and wherein the system is configured to determine the second property subsequent to the exposure step of the lithography process.
  • 4771. The system of claim 4731, wherein the system is coupled to a lithography tool, and wherein the system is configured to determine the first and second properties of the specimen subsequent to an exposure step of a lithography process.
  • 4772. The system of claim 4731, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4773. The system of claim 4731, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 4774. The system of claim 4731, wherein the system is coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 4775. The system of claim 4731, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 4776. The system of claim 4731, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 4777. The system of claim 4731, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 4778. The system of claim 4731, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 4779. The system of claim 4731, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 4780. The system of claim 4779, wherein the processor is further configured to determine one or more of at least the two properties of the specimen during the process step.
  • 4781. The system of claim 4780, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 4782. The system of claim 4780, wherein the processor is further coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 4783. The system of claim 4731, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 4784. The system of claim 4783, wherein the system is further configured to determine at least one of the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 4785. The system of claim 4731, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 4786. The system of claim 4731, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 4787. The system of claim 4786, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 4788. The system of claim 4731, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 4789. The system of claim 4731, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 4790. The system of claim 4731, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 4791. The system of claim 4731, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
  • 4792. The system of claim 4731, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
  • 4793. The system of claim 4731, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens determined using a plurality of measurement devices.
  • 4794. The system of claim 4793, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 4795. The system of claim 4793, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 4796. The system of claim 4731, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 4797. The system of claim 4731, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 4798. The system of claim 4731, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4799. The system of claim 4731, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 4800. The system of claim 4731, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 4801. The system of claim 4731, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 4802. The system of claim 4801, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 4803. The system of claim 4802, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 4804. The system of claim 4731, wherein the processor is further coupled to a plurality of measurement devices, and wherein the plurality of measurement devices is coupled to a plurality of process tools.
  • 4805. The system of claim 4731, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
  • 4806. The system of claim 4731, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 4807. The system of claim 4806, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
  • 4808. The system of claim 4806, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
  • 4809. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 4810. The method of claim 4809, further comprising laterally moving the stage during said directing energy and said detecting energy.
  • 4811. The method of claim 4809, further comprising rotatably moving the stage during said directing energy and said detecting energy.
  • 4812. The method of claim 4809, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
  • 4813. The method of claim 4809, wherein the illumination system comprises a single energy source.
  • 4814. The method of claim 4809, wherein the illumination system comprises more than one energy source.
  • 4815. The method of claim 4809, wherein the detection system comprises a single energy sensitive device.
  • 4816. The method of claim 4809, wherein the detection system comprises more than one energy sensitive devices.
  • 4817. The method of claim 4809, wherein the measurement device further comprises a non-imaging scatterometer.
  • 4818. The method of claim 4809, wherein the measurement device further comprises a scatterometer.
  • 4819. The method of claim 4809, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 4820. The method of claim 4809, wherein the measurement device further comprises a reflectometer.
  • 4821. The method of claim 4809, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 4822. The method of claim 4809, wherein the measurement device further comprises an ellipsometer.
  • 4823. The method of claim 4809, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 4824. The method of claim 4809, wherein the measurement device further comprises a bright field imaging device.
  • 4825. The method of claim 4809, wherein the measurement device further comprises a dark field imaging device.
  • 4826. The method of claim 4809, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 4827. The method of claim 4809, wherein the measurement device further comprises a non-imaging bright field device.
  • 4828. The method of claim 4809, wherein the measurement device further comprises a non-imaging dark field device.
  • 4829. The method of claim 4809, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 4830. The method of claim 4809, wherein the measurement device further comprises a double dark field device.
  • 4831. The method of claim 4809, wherein the measurement device further comprises a coherence probe microscope.
  • 4832. The method of claim 4809, wherein the measurement device further comprises an interferometer.
  • 4833. The method of claim 4809, wherein the measurement device further comprises an optical profilometer.
  • 4834. The method of claim 4809, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4835. The method of claim 4809, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 4836. The method of claim 4809, wherein the macro defects comprise resist contamination on a back side of the specimen.
  • 4837. The method of claim 4809, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature on the specimen.
  • 4838. The method of claim 4809, further comprising directing energy toward a bottom surface of the specimen and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 4839. The method of claim 4809, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 4840. The method of claim 4809, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4841. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool.
  • 4842. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 4843. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 4844. The method of claim 4809, wherein the stage and the measurement device are coupled to a lithography tool.
  • 4845. The method of claim 4809, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first property prior to an exposure step of the lithography process and determining the second property subsequent to the exposure step of the lithography process.
  • 4846. The method of claim 4809, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first and second properties subsequent to an exposure step of a lithography process.
  • 4847. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 4848. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
  • 4849. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 4850. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4851. The method of claim 4809, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 4852. The method of claim 4809, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 4853. The method of claim 4809, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 4854. The method of claim 4809, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 4855. The method of claim 4809, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 4856. The method of claim 4809, wherein the stage and the measurement device are disposed within a measurement chamber, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 4857. The method of claim 4856, further comprising performing said directing and said detecting during the process step.
  • 4858. The method of claim 4857, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 4859. The method of claim 4857, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 4860. The method of claim 4809, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 4861. The method of claim 4860, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
  • 4862. The method of claim 4809, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 4863. The method of claim 4809, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 4864. The method of claim 4863, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 4865. The method of claim 4809, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 4866. The method of claim 4809, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 4867. The method of claim 4809, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 4868. The method of claim 4809, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
  • 4869. The method of claim 4809, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 4870. The method of claim 4809, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 4871. The method of claim 4809, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 4872. The method of claim 4871, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 4873. The method of claim 4871, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 4874. The method of claim 4809, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
  • 4875. The method of claim 4809, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
  • 4876. The method of claim 4809, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4877. The method of claim 4809, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
  • 4878. The method of claim 4809, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
  • 4879. The method of claim 4809, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
  • 4880. The method of claim 4879, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 4881. The method of claim 4880, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 4882. The method of claim 4809, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 4883. The method of claim 4809, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4884. The method of claim 4883, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 4885. The method of claim 4883, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 4886. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, the method comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 4887. The method of claim 4886, further comprising controlling the stage, wherein the stage is configured to support the specimen.
  • 4888. The method of claim 4886, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
  • 4889. The method of claim 4886, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
  • 4890. The method of claim 4886, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
  • 4891. The method of claim 4886, wherein the illumination system comprises a single energy source.
  • 4892. The method of claim 4886, wherein the illumination system comprises more than one energy source.
  • 4893. The method of claim 4886, wherein the detection system comprises a single energy sensitive device.
  • 4894. The method of claim 4886, wherein the detection system comprises more than one energy sensitive device.
  • 4895. The method of claim 4886, wherein the measurement device further comprises a non-imaging scatterometer.
  • 4896. The method of claim 4886, wherein the measurement device further comprises a scatterometer.
  • 4897. The method of claim 4886, wherein the measurement device further comprises a spectroscopic scatterometer.
  • 4898. The method of claim 4886, wherein the measurement device further comprises a reflectometer.
  • 4899. The method of claim 4886, wherein the measurement device further comprises a spectroscopic reflectometer.
  • 4900. The method of claim 4886, wherein the measurement device further comprises an ellipsometer.
  • 4901. The method of claim 4886, wherein the measurement device further comprises a spectroscopic ellipsometer.
  • 4902. The method of claim 4886, wherein the measurement device further comprises a bright field imaging device.
  • 4903. The method of claim 4886, wherein the measurement device further comprises a dark field imaging device.
  • 4904. The method of claim 4886, wherein the measurement device further comprises a bright field and dark field imaging device.
  • 4905. The method of claim 4886, wherein the measurement device further comprises a non-imaging bright field device.
  • 4906. The method of claim 4886, wherein the measurement device further comprises a non-imaging dark field device.
  • 4907. The method of claim 4886, wherein the measurement device further comprises a non-imaging bright field and dark field device.
  • 4908. The method of claim 4886, wherein the measurement device further comprises a double dark field device.
  • 4909. The method of claim 4886, wherein the measurement device further comprises a coherence probe microscope.
  • 4910. The method of claim 4886, wherein the measurement device further comprises an interferometer.
  • 4911. The method of claim 4886, wherein the measurement device further comprises an optical profilometer.
  • 4912. The method of claim 4886, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4913. The method of claim 4886, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 4914. The method of claim 4886, wherein the macro defects comprise resist contamination on a back side of the specimen.
  • 4915. The method of claim 4886, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature on the specimen.
  • 4916. The method of claim 4886, further comprising controlling the illumination system to direct energy toward a bottom surface of the specimen and controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the first property comprises a presence of defects on the bottom surface of the specimen.
  • 4917. The method of claim 4886, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 4918. The method of claim 4886, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4919. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool.
  • 4920. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
  • 4921. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
  • 4922. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 4923. The method of claim 4886, wherein the system is coupled to a lithography tool, the method further comprising controlling the system to determine the first property prior to an exposure step of the lithography process and controlling the system to determine the second property subsequent to the exposure step of the lithography process.
  • 4924. The method of claim 4886, wherein the system is coupled to a lithography tool, the method further comprising controlling the system to determine the first and second properties subsequent to an exposure step of a lithography process.
  • 4925. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 4926. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 4927. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 4928. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 4929. The method of claim 4886, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 4930. The method of claim 4886, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 4931. The method of claim 4886, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 4932. The method of claim 4886, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 4933. The method of claim 4886, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 4934. The method of claim 4886, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 4935. The method of claim 4934, further comprising controlling the illumination system and controlling the detection system during the process step to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 4936. The method of claim 4934, further comprising controlling the illumination system and controlling the detection system during the process step to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
  • 4937. The method of claim 4886, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 4938. The method of claim 4937, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
  • 4939. The method of claim 4886, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
  • 4940. The method of claim 4886, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
  • 4941. The method of claim 4940, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 4942. The method of claim 4886, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 4943. The method of claim 4886, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
  • 4944. The method of claim 4886, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
  • 4945 The method of claim 4886, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
  • 4946. The method of claim 4886, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
  • 4947. The method of claim 4886, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises determined first and second properties of a plurality of specimens.
  • 4948. The method of claim 4947, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 4949. The method of claim 4947, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 4950. The method of claim 4886, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 4951. The method of claim 4886, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 4952. The method of claim 4886, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
  • 4953. The method of claim 4886, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
  • 4954. The method of claim 4886, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
  • 4955. The method of claim 4886, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 4956. The method of claim 4955, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
  • 4957. The method of claim 4956, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 4958. The method of claim 4886, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
  • 4959. The method of claim 4886, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 4960. The method of claim 4959, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
  • 4961. The method of claim 4959, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
  • 4962. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 4963. The device of claim 4962, wherein the illumination system comprises a single energy source.
  • 4964. The device of claim 4962, wherein the illumination system comprises more than one energy source.
  • 4965. The device of claim 4962, wherein the detection system comprises a single energy sensitive device.
  • 4966. The device of claim 4962, wherein the detection system comprises more than one energy sensitive devices.
  • 4967. The device of claim 4962, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non1 imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4968. The device of claim 4962, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4969. The device of claim 4962, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 4970. The device of claim 4962, further comprising directing energy toward a bottom surface of the specimen and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 4971. The device of claim 4962, wherein the macro defects comprise resist contamination on a back side of the specimen.
  • 4972. The device of claim 4962, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature on the specimen.
  • 4973. The device of claim 4962, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 4974. The device of claim 4962, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4975. The device of claim 4962, wherein the stage and the measurement device are coupled to a process tool.
  • 4976. The device of claim 4962, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 4977. The device of claim 4962, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first property prior to an exposure step of the lithography process and determining the second property subsequent to the exposure step of the lithography process.
  • 4978. The device of claim 4962, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first and second properties subsequent to an exposure step of a lithography process.
  • 4979. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 4980. The method of claim 4979, wherein the illumination system comprises a single energy source.
  • 4981. The method of claim 4979, wherein the illumination system comprises more than one energy source.
  • 4982. The method of claim 4979, wherein the detection system comprises a single energy sensitive device.
  • 4983. The method of claim 4979, wherein the detection system comprises more than one energy sensitive devices.
  • 4984. The method of claim 4979, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4985. The method of claim 4979, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4986. The method of claim 4979, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 4987. The method of claim 4979, further comprising directing energy toward a bottom surface of the specimen and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 4988. The method of claim 4979, wherein the macro defects comprise resist contamination on a back side of the specimen.
  • 4989. The method of claim 4979, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature on the specimen.
  • 4990. The method of claim 4979, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 4991. The method of claim 4979, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 4992. The method of claim 4979, wherein the stage and the measurement device are coupled to a process tool.
  • 4993. The method of claim 4979, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 4994. The method of claim 4979, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first property prior to an exposure step of the lithography process and determining the second property subsequent to the exposure step of the lithography process.
  • 4995. The method of claim 4979, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first and second properties subsequent to an exposure step of a lithography process.
  • 4996. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises overlay misregistration of the specimen.
  • 4997. The system of claim 4996, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4998. The system of claim 4996, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 4999. The system of claim 4996, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 5000. The system of claim 4996, wherein the macro defects comprise resist contamination on a back side of the specimen.
  • 5001. The system of claim 4996, wherein the remote controller computer is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness on the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5002. The system of claim 4996, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 5003. The system of claim 4996, wherein the system is further configured to determine at least the two properties of the specimen substantially simultaneously during use.
  • 5004. The system of claim 4996, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously during use, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined substantially simultaneously.
  • 5005. The system of claim 4996, wherein the remote controller computer is further coupled to a process tool.
  • 5006. The system of claim 4996, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool comprises a lithography tool.
  • 5007. The system of claim 4996, wherein the system is coupled to a lithography tool, wherein the system is configured to determine the first property prior to an exposure step of the lithography process, and wherein the system is configured to determine the second property subsequent to the exposure step of the lithography process.
  • 5008. The system of claim 4996, wherein the system is coupled to a lithography tool, and wherein the system is further configured to determine the first and second properties subsequent to an exposure step of the lithography process.
  • 5009. The system of claim 4996, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
  • 5010. The system of claim 4996, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
  • 5011. The system of claim 4996, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 5012. The system of claim 4996, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
  • 5013. The system of claim 5012, wherein the remote controller computer is further configured to alter a parameter of one or more instruments in response to the relationship during use.
  • 5014. The system of claim 4996, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
  • 5015. The system of claim 5014, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5016. The system of claim 5014, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
  • 5017. The system of claim 4996, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 5018. The system of claim 4996, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
  • 5019. The system of claim 4996, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
  • 5020. The system of claim 4996, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
  • 5021. The system of claim 5020, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
  • 5022. The system of claim 4996, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
  • 5023. The system of claim 4996, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
  • 5024. The system of claim 4996, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
  • 5025. The system of claim 4996, wherein the remote controller computer is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
  • 5026. The system of claim 4996, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
  • 5027. The system of claim 4996, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
  • 5028. The system of claim 4996, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 5029. The system of claim 5028, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 5030. The system of claim 5028, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 5031. The system of claim 4996, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 5032. The system of claim 4996, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the plurality of process tools during use.
  • 5033. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises overlay misregistration of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5034. The method of claim 5033, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 5035. The method of claim 5033, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a coherence probe microscope, an interferometer, and an optical profilometer.
  • 5036. The method of claim 5033, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
  • 5037. The method of claim 5033, further comprising directing energy toward a bottom surface of the specimen and detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
  • 5038. The method of claim 5033, wherein the macro defects comprise resist contamination on a back side of the specimen.
  • 5039. The method of claim 5033, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature on the specimen.
  • 5040. The method of claim 5033, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
  • 5041. The method of claim 5033, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determine at the multiple locations substantially simultaneously.
  • 5042. The method of claim 5033, wherein the remote controller computer is further coupled to a process tool.
  • 5043. The method of claim 5033, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool is comprises a lithography tool.
  • 5044. The method of claim 5033, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first property prior to an exposure step of the lithography process and determining the second property subsequent to the exposure step of the lithography process.
  • 5045. The method of claim 5033, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the first and second properties subsequent to the exposure step of the lithography process.
  • 5046. The method of claim 5033, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
  • 5047. The method of claim 5033, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
  • 5048. The method of claim 5033, wherein the remote controller computer is further coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 5049. The method of claim 5048, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
  • 5050. The method of claim 5049, further comprising altering a parameter of one or more instruments coupled to the process tool in response to the relationship using the remote controller computer.
  • 5051. The method of claim 5033, wherein the illumination system and the detection system are coupled to a process chamber of a process tool, the method further comprising performing said directing and said detecting during a process step.
  • 5052. The method of claim 5051, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5053. The method of claim 5051, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
  • 5054. The method of claim 5033, further comprising: moving the specimen from a first process chamber to a second process chamber using the stage; and performing said directing and said detecting during said moving the specimen.
  • 5055. The method of claim 5054, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
  • 5056. The method of claim 5054, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
  • 5057. The method of claim 5056, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
  • 5058. The method of claim 5033, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
  • 5059. The method of claim 5033, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
  • 5060. The method of claim 5033, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
  • 5061. The method of claim 5033, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
  • 5062. The method of claim 5033, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring the measurement device using the remote-controller computer and the database.
  • 5063. The method of claim 5033, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
  • 5064. The method of claim 5063, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 5065. The method of claim 5063, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
  • 5066. The method of claim 5033, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 5067. The method of claim 5066, wherein at least one of the plurality of measurement devices is coupled to a process tool.
  • 5068. The method of claim 5067, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen.
  • 5069. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a first measurement device coupled to the stage, wherein the first measurement device is configured to generate one or more output signals responsive to at least one thin film characteristic of the specimen during use; a second measurement device coupled to the stage, wherein the second measurement device is configured to generate one or more output signals responsive to at least one electrical property of the specimen during use; and a processor coupled to the first measurement device and the second measurement device, wherein the processor is configured to determine the at least one thin film characteristic from the one or more output signals of the first measurement device during use and to determine the at least one electrical property of the specimen from the one or more output signals of the second measurement device during use.
  • 5070. The system of claim 5069, wherein the stage is further configured to move laterally during use.
  • 5071. The system of claim 5069, wherein the stage is further configured to move rotatably during use.
  • 5072. The system of claim 5069, wherein the stage is further configured to move laterally and rotatably during use.
  • 5073. The system of claim 5069, wherein the first measurement device comprises a reflectometer.
  • 5074. The system of claim 5069, wherein the first measurement device comprises a spectroscopic reflectometer.
  • 5075. The system of claim 5069, wherein the first measurement device comprises an ellipsometer.
  • 5076. The system of claim 5069, wherein the first measurement device comprises a spectroscopic ellipsometer.
  • 5077. The system of claim 5069, wherein the first measurement device comprises a beam profile ellipsometer.
  • 5078. The system of claim 5069, wherein the first measurement device comprises a photo-acoustic device.
  • 5079. The system of claim 5069, wherein the first measurement device comprises an eddy current device.
  • 5080. The system of claim 5069, wherein the first measurement device comprises an X-ray reflectometer.
  • 5081. The system of claim 5069, wherein the first measurement device comprises a grazing X-ray reflectometer.
  • 5082. The system of claim 5069, wherein the first measurement device comprises an X-ray diffractometer.
  • 5083. The system of claim 5069, wherein the first measurement device comprises at least two measurement devices, and wherein the at least two measurement devices are selected from the group consisting of a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer.
  • 5084. The system of claim 5069, wherein at least one element of the first measurement device comprise at least one element of the second measurement device.
  • 5085. The system of claim 5069, wherein the second measurement device comprises: an oven configured to anneal the specimen; a cooling device configured to reduce a temperature of the specimen subsequent to an annealing process; a device configured to deposit a charge on an upper surface of the specimen; and a sensor configured to measure the at least one electrical property of the charged upper surface of the specimen.
  • 5086. The system of claim 5069, wherein the at least one electrical property of the specimen is selected from the group consisting of a capacitance, a dielectric constant, and a resistivity.
  • 5087. The system of claim 5069, wherein the at least one electrical property comprises at least one electrical property of a layer on the specimen, and wherein the layer comprises a dielectric material formed on the specimen.
  • 5088. The system of claim 5069, wherein the processor is further configured to determine a characteristic of metal contamination on the specimen from the one or more output signals of the second measurement device during use.
  • 5089. The system of claim 5069, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals of the first or second measurement device during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5090. The system of claim 5069, wherein the system is further configured to determine the at least two properties of the specimen substantially simultaneously during use.
  • 5091. The system of claim 5069, wherein the first measurement device is further configured to generate the one or more output signals responsive to the at least one thin film characteristic of the specimen at multiple locations on the specimen substantially simultaneously during use, and wherein the processor is further configured to determine the at least one thin film characteristic at the multiple locations on the specimen from the one or more output signals during use.
  • 5092. The system of claim 5069, wherein the second measurement device is further configured to generate the one or more output signals responsive to the at least one electrical property of the specimen at multiple locations on the specimen substantially simultaneously during use, and wherein the processor is further configured to determine the at least one electrical property at the multiple locations on the specimen from the one or more output signals during use.
  • 5093. The system of claim 5069, wherein the system is coupled to a process tool.
  • 5094. The system of claim 5069, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 5095. The system of claim 5069, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 5096. The system of claim 5069, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 5097. The system of claim 5069, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
  • 5098. The system of claim 5069, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 5099. The system of claim 5069, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 5100. The system of claim 5069, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 5101. The system of claim 5069, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 5102. The system of claim 5069, wherein the system is coupled to a process tool selected from the group consisting of a chemical vapor deposition tool, an atomic layer deposition tool, a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, an ion implanter, and an etch tool.
  • 5103. The system of claim 5069, wherein the system further comprises a measurement chamber, wherein the stage and the first and second measurement devices are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 5104. The system of claim 5069, wherein the system further comprises a measurement chamber, wherein the stage and the first and second measurement devices are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 5105. The system of claim 5069, wherein the system further comprises a measurement chamber, wherein the stage and the first and second measurement devices are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 5106. The system of claim 5069, wherein the system further comprises a measurement chamber, wherein the stage and the first and second measurement devices are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 5107. The system of claim 5069, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 5108. The system of claim 5107, wherein the system is further configured to determine one or more of the at least two properties of the specimen during the process step.
  • 5109. The system of claim 5108, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5110. The system of claim 5108, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the one or more of the at least two properties using an in situ control technique during use.
  • 5111. The system of claim 5069, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 5112. The system of claim 5111, wherein the system is further configured to determine one or more of the at least two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 5113. The system of claim 5069, wherein the processor is further configured to compare one or more of the at least two properties of the specimen and properties of a plurality of specimens during use.
  • 5114. The system of claim 5069, wherein the processor is further configured to compare one or more of the at least two properties of the specimen to a predetermined range for the one or more properties during use.
  • 5115. The system of claim 5114, wherein the processor is further configured to generate an output signal if one or more of the at least two properties of the specimen is outside of the predetermined range for the property during use.
  • 5116. The system of claim 5069, wherein the processor is further configured to alter a sampling frequency of the first measurement device in response to the at least one thin film characteristic of the specimen during use.
  • 5117. The system of claim 5069, wherein the processor is further configured to alter a sampling frequency of the second measurement device in response to the at least one electrical property of the specimen during use.
  • 5118. The system of claim 5069, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic of the specimen using a feedback control technique during use.
  • 5119. The system of claim 5069, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property of the specimen using a feedback control technique during use.
  • 5120. The system of claim 5069, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic of the specimen using a feedforward control technique during use.
  • 5121. The system of claim 5069, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property of the specimen using a feedforward control technique during use.
  • 5122. The system of claim 5069, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the processor is further configured to calibrate the first and second measurement devices using the database during use.
  • 5123. The system of claim 5069, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the processor is further configured to monitor output signals generated by the first and second measurement devices using the database during use.
  • 5124. The system of claim 5069, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the database further comprises the at least one thin film characteristic and the at least one electrical property of a plurality of specimens.
  • 5125. The system of claim 5124, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 5126. The system of claim 5124, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 5127. The system of claim 5069, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 5128. The system of claim 5069, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 5129. The system of claim 5069, wherein the system is further configured to determine the at least one thin film characteristic at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to the at least one thin film characteristic of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one thin film characteristic.
  • 5130. The system of claim 5069, wherein the system is further configured to determine the at least one electrical property at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to the at least one electrical property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one electrical property.
  • 5131. The system of claim 5069, wherein the processor is further coupled to a process tool.
  • 5132. The system of claim 5069, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties of the specimen using a feedback control technique during use.
  • 5133. The system of claim 5069, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique during use.
  • 5134. The system of claim 5069, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 5135. The system of claim 5134, wherein the processor is further configured to determine a relationship between one or more of the at least two properties of the specimen and at least one of the monitored parameters during use.
  • 5136. The system of claim 5135, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 5137. The system of claim 5069, wherein the processor comprises a local processor coupled to the first and second measurement devices and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals from the first and second measurement devices during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 5138. The system of claim 5137, wherein the local processor is further configured to determine the at least one thin film characteristic and the at least one electrical property of the specimen during use.
  • 5139. The system of claim 5137, wherein the remote controller computer is further configured to determine the at least one thin film characteristic and the at least one electrical property of the specimen during use.
  • 5140. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a first measurement device and a second measurement device; generating one or more output signals responsive to at least one thin film characteristic of the specimen with the first measurement device; generating one or more output signals responsive to at least one electrical property of the specimen with the second measurement device; processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic of the specimen; and processing the one or more output signals from the second measurement device to determine the at least one electrical property of the specimen.
  • 5141. The method of claim 5140, further comprising laterally moving the stage while determining the at least two properties of the specimen.
  • 5142. The method of claim 5140, further comprising rotatably moving the stage while determining the at least two properties of the specimen.
  • 5143. The method of claim 5140, further comprising laterally and rotatably moving the stage while determining the at least two properties of the specimen.
  • 5144. The method of claim 5140, wherein the first measurement device comprises a reflectometer.
  • 5145. The method of claim 5140, wherein the first measurement device comprises a spectroscopic reflectometer.
  • 5146. The method of claim 5140, wherein the first measurement device comprises an ellipsometer.
  • 5147. The method of claim 5140, wherein the first measurement device comprises a spectroscopic ellipsometer.
  • 5148. The method of claim 5140, wherein the first measurement device comprises a beam profile ellipsometer.
  • 5149. The method of claim 5140, wherein the first measurement device comprises a photo-acoustic device.
  • 5150. The method of claim 5140, wherein the first measurement device comprises an eddy current device.
  • 5151. The method of claim 5140, wherein the first measurement device comprises an X-ray reflectometer.
  • 5152. The method of claim 5140, wherein the first measurement device comprises a grazing X-ray reflectometer.
  • 5153. The method of claim 5140, wherein the first measurement device comprises an X-ray diffractometer.
  • 5154. The method of claim 5140, wherein the first measurement device comprises at least two measurement devices, and wherein the at least two measurement devices are selected from the group consisting of a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer.
  • 5155. The method of claim 5140, wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 5156. The method of claim 5140, wherein generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device comprises: annealing the specimen; reducing a temperature of the specimen subsequent to the annealing; depositing a charge on an upper surface of the specimen; and measuring the at least one electrical property of the charged upper surface of the specimen.
  • 5157. The method of claim 5140, wherein the at least one electrical property of the specimen is selected from the group consisting of a capacitance, a dielectric constant, and a resistivity.
  • 5158. The method of claim 5140, wherein the at least one electrical property comprises at least one electrical property of a layer formed on the specimen, and wherein the layer comprises a dielectric material.
  • 5159. The method of claim 5140, further comprising processing the one or more output signals of the second measurement device to determine a characteristic of metal contamination on the specimen.
  • 5160. The method of claim 5140, further comprising processing the one or more output signals of the first or second measurement device to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5161. The method of claim 5140, further comprising processing the one or more output signals of the first measurement device and the one or more output signals of the second measurement device substantially simultaneously to determine the at least one thin film characteristic and the at least one electrical property substantially simultaneously.
  • 5162. The method of claim 5140, further comprising generating the one or more output signals responsive to the at least one thin film characteristic of the specimen with the first measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic at the multiple locations on the specimen.
  • 5163. The method of claim 5140, further comprising generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the second measurement device to determine the at least one electrical property at the multiple locations on the specimen.
  • 5164. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool.
  • 5165. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool, and wherein the stage and the first and second measurement devices are arranged laterally proximate to the process tool.
  • 5166. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool, and wherein the stage and the first and second measurement devices are disposed within the process tool.
  • 5167. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool selected from the group consisting of a chemical vapor deposition tool, an atomic layer deposition tool, a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, an ion implanter, and an etch tool.
  • 5168. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 5169. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to determining the at least two properties of the specimen using the stage.
  • 5170. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 5171. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 5172. The method of claim 5140, wherein the stage and the first and second measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 5173. The method of claim 5140, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 5174. The method of claim 5140, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 5175. The method of claim 5140, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 5176. The method of claim 5140, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 5177. The method of claim 5140, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 5178. The method of claim 5177, further comprising determining one or more of the at least two properties of the specimen during the process step.
  • 5179. The method of claim 5178, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5180. The method of claim 5178, further comprising altering a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique.
  • 5181. The method of claim 5140, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 5182. The method of claim 5181, further comprising determining one or more of the at least two properties during said moving the specimen from the first process chamber to the second process chamber.
  • 5183. The method of claim 5140, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens.
  • 5184. The method of claim 5140, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties.
  • 5185. The method of claim 5184, further comprising generating an output signal if one or more of the at least two properties of the specimen is outside of the predetermined range for the property.
  • 5186. The method of claim 5140, further comprising altering a sampling frequency of the first measurement device in response to the at least one thin film characteristic of the specimen.
  • 5187. The method of claim 5140, further comprising altering a sampling frequency of the second measurement device in response to the at least one electrical property of the specimen.
  • 5188. The method of claim 5140, further comprising altering a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic of the specimen using a feedback control technique.
  • 5189. The method of claim 5140, further comprising altering a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property of the specimen using a feedback control technique.
  • 5190. The method of claim 5140, further comprising altering a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic of the specimen using a feedforward control technique.
  • 5191. The method of claim 5140, further comprising altering a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property of the specimen using a feedforward control technique.
  • 5192. The method of claim 5140, further comprising generating a database, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, the method further comprising calibrating the first and second measurement devices using the database.
  • 5193. The method of claim 5140, further comprising generating a database, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, the method further comprising monitoring output signals of the first and second measurement devices using the database.
  • 5194. The method of claim 5140, further comprising generating a database, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the database further comprises the at least one thin film characteristic and the at least one electrical property of a plurality of specimens.
  • 5195. The method of claim 5194, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are determined using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5196. The method of claim 5194, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are determined using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 5197. The method of claim 5140, wherein a stand alone system is coupled to the first and second measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the first and second measurement devices with the stand alone system.
  • 5198. The method of claim 5140, wherein a stand alone system is coupled to the first and second measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the first and second measurement devices an at least the one additional measurement device with the stand alone system.
  • 5199. The method of claim 5140, further comprising determining the at least one thin film characteristic of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the at least one thin film characteristic of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one thin film characteristic.
  • 5200. The method of claim 5140, further comprising determining the at least one electrical property of the specimen at more than one position of the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the at least one electrical property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one electrical property.
  • 5201. The method of claim 5140, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 5202. The method of claim 5140, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 5203. The method of claim 5140, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 5204. The method of claim 5203, further comprising determining a relationship between one or more of the at least two properties and at least one of the monitored parameters.
  • 5205. The method of claim 5204, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 5206. The method of claim 5140, wherein processing the one or more output signals from the first measurement device and processing the one or more output signals from the second measurement device comprises: at least partially processing the one or more output signals from the first and second measurement devices using a local processor, wherein the local processor is coupled to the first and second measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5207. The method of claim 5206, wherein at least partially processing the one or more output signals comprises determining the at least one thin film characteristic and the at least one electrical property of the specimen.
  • 5208. The method of claim 5206, wherein further processing the partially processed one or more output signals comprises determining the at least one thin film characteristic and the at least one electrical property of the specimen.
  • 5209. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a stage configured to support the specimen, and wherein the stage is coupled to a first measurement device and a second measurement device, comprising: controlling the first measurement device to generate one or more output signals responsive to at least one thin film characteristic of the specimen; controlling the second measurement device to generate one or more output signals responsive to at least electrical property of the specimen; processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic of the specimen; and processing the one or more output signals from the second measurement device to determine the at least one electrical property of the specimen.
  • 5210. The method of claim 5209, further comprising controlling the stage to laterally move the stage while determining the at least two properties of the specimen.
  • 5211. The method of claim 5209, further comprising controlling the stage to rotatably move the stage while determining the at least two properties of the specimen.
  • 5212. The method of claim 5209, further comprising controlling the stage to laterally and rotatably move the stage while determining the at least two properties of the specimen.
  • 5213. The method of claim 5209, wherein the first measurement device comprises a reflectometer.
  • 5214. The method of claim 5209, wherein the first measurement device comprises a spectroscopic reflectometer.
  • 5215. The method of claim 5209, wherein the first measurement device comprises an ellipsometer.
  • 5216. The method of claim 5209, wherein the first measurement device comprises a spectroscopic ellipsometer.
  • 5217. The method of claim 5209, wherein the first measurement device comprises a beam profile ellipsometer.
  • 5218. The method of claim 5209, wherein the first measurement device comprises a photo-acoustic device.
  • 5219. The method of claim 5209, wherein the first measurement device comprises an eddy current device.
  • 5220. The method of claim 5209, wherein the first measurement device comprises an X-ray reflectometer.
  • 5221. The method of claim 5209, wherein the first measurement device comprises a grazing X-ray reflectometer.
  • 5222. The method of claim 5209, wherein the first measurement device comprises an X-ray diffractometer.
  • 5223. The method of claim 5209, wherein the first measurement device comprises at least two measurement devices, and wherein the at least two measurement devices are selected from the group consisting of a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer.
  • 5224. The method of claim 5209, wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 5225. The method of claim 5209, wherein controlling the second measurement device to generate one or more output signals responsive to the at least one electrical property of the specimen comprises controlling the second measurement device to: anneal the specimen; reduce a temperature of the specimen subsequent to the annealing; deposit a charge on an upper surface of the specimen; and measure the at least one electrical property of the charged upper surface of the specimen.
  • 5226. The method of claim 5209, wherein the at least one electrical property of the specimen is selected from the group consisting of a capacitance, a dielectric constant, and a resistivity.
  • 5227. The method of claim 5209, wherein the at least one electrical property comprises at least one electrical property of a layer formed on the specimen, and wherein the layer comprises a dielectric material.
  • 5228. The method of claim 5209, further comprising processing the one or more output signals of the second measurement device to determine a characteristic of metal contamination on the specimen.
  • 5229. The method of claim 5209, further comprising processing the one or more output signals of the first or second measurement device to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5230. The method of claim 5209, further comprising processing the one or more output signals of the first measurement device and the one or more output signals of the second measurement device substantially simultaneously to determine the at least one thin film characteristic and the at least one electrical property substantially simultaneously.
  • 5231. The method of claim 5209, further comprising controlling the first measurement device to generate one or more output signals responsive to the at least one thin film characteristic of the specimen at multiple locations of the specimen substantially simultaneously and processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic at the multiple locations on the specimen.
  • 5232. The method of claim 5209, further comprising controlling the second measurement device to generate one or more output signals responsive to the at least one electrical property of the specimen at the multiple locations of the specimen substantially simultaneously and processing the one or more output signals from the second measurement device to determine the at least one electrical property at the multiple locations on the specimen.
  • 5233. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool.
  • 5234. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool, and wherein the stage and the first and second measurement devices are arranged laterally proximate to the process tool.
  • 5235. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool, and wherein the stage and the first and second measurement devices are disposed within the process tool.
  • 5236. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool selected from the group consisting of a chemical vapor deposition tool, an atomic layer deposition tool, a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, an ion implanter, and an etch tool.
  • 5237. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 5238. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 5239. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that the at least two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 5240. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 5241. The method of claim 5209, wherein the stage and the first and second measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 5242. The method of claim 5209, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 5243. The method of claim 5209, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
  • 5244. The method of claim 5209, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
  • 5245. The method of claim 5209, wherein the stage and the first and second measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
  • 5246. The method of claim 5209, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 5247. The method of claim 5209, further comprising controlling at least one of the first and second measurement devices during the process step.
  • 5248. The method of claim 5247, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5249. The method of claim 5247, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique.
  • 5250. The method of claim 5209, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 5251. The method of claim 5250, further comprising controlling at least one of the first measurement and the second devices during said moving the specimen from the first process chamber to the second process chamber.
  • 5252. The method of claim 5209, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens.
  • 5253. The method of claim 5209, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties.
  • 5254. The method of claim 5253, further comprising generating an output signal if one or more of the at least two properties of the specimen is outside of the predetermined range for the property.
  • 5255. The method of claim 5209, further comprising altering a sampling frequency of the first measurement device in response to the at least one thin film characteristic of the specimen.
  • 5256. The method of claim 5209, further comprising altering a sampling frequency of the second measurement device in response to the at least one electrical property of the specimen.
  • 5257. The method of claim 5209, further comprising altering a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic using a feedback control technique.
  • 5258. The method of claim 5209, further comprising altering a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property using a feedback control technique.
  • 5259. The method of claim 5209, further comprising altering a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic using a feedforward control technique.
  • 5260. The method of claim 5209, further comprising altering a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property using a feedforward control technique.
  • 5261. The method of claim 5209, further comprising generating a database, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, the method further comprising calibrating the first and second measurement devices using the database.
  • 5262. The method of claim 5209, further comprising generating a database, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, the method further comprising monitoring output signals of the first and second measurement device using the database.
  • 5263. The method of claim 5209, further comprising generating a database, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the database further comprises the at least one thin film characteristic and the at least one electrical property of a plurality of specimens.
  • 5264. The method of claim 5263, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5265. The method of claim 5263, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 5266. The method of claim 5209, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 5267. The method of claim 5209, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 5268. The method of claim 5209, further comprising controlling the first measurement device to generate one or more signals responsive the at least one thin film characteristic of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the at least one thin film characteristic of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one thin film characteristic.
  • 5269. The method of claim 5209, further comprising controlling the second measurement device to generate one or more signals responsive the at least one electrical property of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the at least one electrical property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one electrical property.
  • 5270. The method of claim 5209, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 5271. The method of claim 5209, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 5272. The method of claim 5209, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 5273. The method of claim 5272, further comprising determining a relationship between one or more of the at least two properties and at least one of the monitored parameters.
  • 5274. The method of claim 5273, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 5275. The method of claim 5209, wherein processing the one or more output signals from the first measurement device and processing the one or more output signals from the second measurement device comprises: at least partially processing the one or more output signals from the first and second measurement devices using a local processor, wherein the local processor is coupled to the first and second measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5276. The method of claim 5275, wherein at least partially processing the one or more output signals comprises determining the at least one thin film characteristic and the at least one electrical property of the specimen.
  • 5277. The method of claim 5275, wherein further processing the partially processed one or more output signals comprises determining the at least one thin film characteristic and the at least one electrical property of the specimen.
  • 5278. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a first measurement device and a second measurement device; generating one or more output signals responsive to at least one thin film characteristic of the specimen with the first measurement device; generating one or more output signals responsive to at least one electrical property of the specimen with the second measurement device; processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic of the specimen; and processing the one or more output signals from the second measurement device to determine the at least one electrical property of the specimen.
  • 5279. The device of claim 5278, wherein the first measurement device comprises a reflectometer.
  • 5280. The device of claim 5278, wherein the first measurement device comprises a spectroscopic reflectometer.
  • 5281. The device of claim 5278, wherein the first measurement device comprises an ellipsometer.
  • 5282. The device of claim 5278, wherein the first measurement device comprises a spectroscopic ellipsometer.
  • 5283. The device of claim 5278, wherein the first measurement device comprises a beam profile ellipsometer.
  • 5284. The device of claim 5278, wherein the first measurement device comprises a photo-acoustic device.
  • 5285. The device of claim 5278, wherein the first measurement device comprises an eddy current device.
  • 5286. The device of claim 5278, wherein the first measurement device comprises an X-ray reflectometer.
  • 5287. The device of claim 5278, wherein the first measurement device comprises a grazing X-ray reflectometer.
  • 5288. The device of claim 5278, wherein the first measurement device comprises an X-ray diffractometer.
  • 5289. The device of claim 5278, wherein the first measurement device comprises at least two measurement devices, and wherein the at least two measurement devices are selected from the group consisting of a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer.
  • 5290. The device of claim 5278, wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 5291. The device of claim 5278, wherein generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device comprises: annealing the specimen; reducing a temperature of the specimen subsequent to the annealing; depositing a charge on an upper surface of the specimen; and measuring the at least one electrical property of the charged upper surface of the specimen.
  • 5292. The device of claim 5278, wherein the at least one electrical property of the specimen is selected from the group consisting of a capacitance, a dielectric constant, and a resistivity.
  • 5293. The device of claim 5278, wherein the at least one electrical property comprises at least one electrical property of a layer formed on the specimen, and wherein the layer comprises a dielectric material.
  • 5294. The device of claim 5278, further comprising processing the one or more output signals of the second measurement device to determine a characteristic of metal contamination on the specimen.
  • 5295. The device of claim 5278, further comprising processing the one or more output signals of the first or second measurement device to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5296. The device of claim 5278, further comprising processing the one or more output signals of the first measurement device and the one or more output signals of the second measurement device substantially simultaneously to determine the at least one thin film characteristic and the at least one electrical property substantially simultaneously.
  • 5297. The device of claim 5278, further comprising generating the one or more output signals responsive to the at least one thin film characteristic of the specimen with the first measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic at the multiple locations on the specimen.
  • 5298. The device of claim 5278, further comprising generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the second measurement device to determine the at least one electrical property at the multiple locations on the specimen.
  • 5299. The device of claim 5278, wherein the stage and the first and second measurement devices are coupled to a process tool.
  • 5300. The device of claim 5278, wherein the stage and the first and second measurement devices are coupled to a process tool selected from the group consisting of a chemical vapor deposition tool, an atomic layer deposition tool, a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, an ion implanter, and an etch tool.
  • 5301. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a first measurement device and a second measurement device; generating one or more output signals responsive to at least one thin film characteristic of the specimen with the first measurement device; generating one or more output signals responsive to at least one electrical property of the specimen with the second measurement device; processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic of the specimen; and processing the one or more output signals from the second measurement device to determine the at least one electrical property of the specimen.
  • 5302. The method of claim 5301, wherein the first measurement device comprises a reflectometer.
  • 5303. The method of claim 5301, wherein the first measurement device comprises a spectroscopic reflectometer.
  • 5304. The method of claim 5301, wherein the first measurement device comprises an ellipsometer.
  • 5305. The method of claim 5301, wherein the first measurement device comprises a spectroscopic ellipsometer.
  • 5306. The method of claim 5301, wherein the first measurement device comprises a beam profile ellipsometer.
  • 5307. The method of claim 5301, wherein the first measurement device comprises a photo-acoustic device.
  • 5308. The method of claim 5301, wherein the first measurement device comprises an eddy current device.
  • 5309. The method of claim 5301, wherein the first measurement device comprises an X-ray reflectometer.
  • 5310. The method of claim 5301, wherein the first measurement device comprises a grazing X-ray reflectometer.
  • 5311. The method of claim 5301, wherein the first measurement device comprises an X-ray diffractometer.
  • 5312. The method of claim 5301, wherein the first measurement device comprises at least two measurement devices, and wherein the at least two measurement devices are selected from the group consisting of a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer.
  • 5313. The method of claim 5301, wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 5314. The method of claim 5301, wherein generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device comprises: annealing the specimen; reducing a temperature of the specimen subsequent to the annealing; depositing a charge on an upper surface of the specimen; and measuring the at least one electrical property of the charged upper surface of the specimen.
  • 5315. The method of claim 5301, wherein the at least one electrical property of the specimen is selected from the group consisting of a capacitance, a dielectric constant, and a resistivity.
  • 5316. The method of claim 5301, wherein the at least one electrical property comprises at least one electrical property of a layer formed on the specimen, and wherein the layer comprises a dielectric material.
  • 5317. The method of claim 5301, further comprising processing the one or more output signals of the second measurement device to determine a characteristic of metal contamination on the specimen.
  • 5318. The method of claim 5301, further comprising processing the one or more output signals of the first or second measurement device to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5319. The method of claim 5301, further comprising processing the one or more output signals of the first measurement device and the one or more output signals of the second measurement device substantially simultaneously to determine the at least one thin film characteristic and the at least one electrical property substantially simultaneously.
  • 5320. The method of claim 5301, further comprising generating the one or more output signals responsive to the at least one thin film characteristic of the specimen with the first measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic at the multiple locations on the specimen.
  • 5321. The method of claim 5301, further comprising generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the second measurement device to determine the at least one electrical property at the multiple locations on the specimen.
  • 5322. The method of claim 5301, wherein the stage and the first and second measurement devices are coupled to a process tool.
  • 5323. The method of claim 5301, wherein the stage and the first and second measurement devices are coupled to a process tool selected from the group consisting of a chemical vapor deposition tool, an atomic layer deposition tool, a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, an ion implanter, and an etch tool.
  • 5324. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a first measurement device coupled to the stage, wherein the first measurement device is configured to generate one or more output signals responsive to at least one thin film characteristic of the specimen during use; a second measurement device coupled to the stage, wherein the second measurement device is configured to generate one or more output signals responsive to at least one electrical property during use; a local processor coupled to the first and second measurement devices, wherein the local processor is configured to at least partially process the one or more output signals from the first measurement device and the one or more output signals from the second measurement device during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals, to determine the at least one thin film characteristic from the at least partially processed output signals of the first measurement device, and to determine the at least one electrical property of the specimen from the at least partially processed output signals of the second measurement device during use.
  • 5325. The system of claim 5324, wherein the first measurement device comprises a reflectometer.
  • 5326. The system of claim 5324, wherein the first measurement device comprises a spectroscopic reflectometer.
  • 5327. The system of claim 5324, wherein the first measurement device comprises an ellipsometer.
  • 5328. The system of claim 5324, wherein the first measurement device comprises a spectroscopic ellipsometer.
  • 5329. The system of claim 5324, wherein the first measurement device comprises a beam profile ellipsometer.
  • 5330. The system of claim 5324, wherein the first measurement device comprises a photo-acoustic device.
  • 5331. The system of claim 5324, wherein the first measurement device comprises an eddy current device.
  • 5332. The system of claim 5324, wherein the first measurement device comprises an Xray reflectometer.
  • 5333. The system of claim 5324, wherein the first measurement device comprises a grazing X-ray reflectometer.
  • 5334. The system of claim 5324, wherein the first measurement device comprises an X-ray diffractometer.
  • 5335. The system of claim 5324, wherein the first measurement device comprises at least two measurement devices, and wherein the two measurement devices are selected from the group consisting of a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer.
  • 5336. The system of claim 5324, wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 5337. The system of claim 5324, wherein the second measurement device comprises: an oven configured to anneal the specimen; a cooling device configured to reduce a temperature of the specimen subsequent to an annealing process; a device configured to deposit a charge on an upper surface of the specimen; and a sensor configured to measure the at least one electrical property of the charged upper surface of the specimen.
  • 5338. The system of claim 5324, wherein the at least one electrical property of the specimen is selected from the group consisting of a capacitance, a dielectric constant, and a resistivity.
  • 5339. The system of claim 5324, wherein the at least one electrical property comprises at least one electrical property of a layer on the specimen, and wherein the layer comprises a dielectric material.
  • 5340. The system of claim 5324, wherein the remote controller computer is further configured to determine a characteristic of metal contamination on the specimen from the at least partially processed one or more output signals of the second measurement device during use.
  • 5341. The system of claim 5324, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals of the first or second measurement device during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5342. The system of claim 5324, wherein the remote controller computer is further coupled to a process tool.
  • 5343. The system of claim 5324, wherein the remote controller computer is further coupled to a process tool selected from a group consisting of a chemical vapor deposition tool, an atomic layer deposition tool, a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, an ion implanter, and an etch tool.
  • 5344. The system of claim 5324, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using a feedback control technique during use.
  • 5345. The system of claim 5324, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using a feedforward control technique during use.
  • 5346. The system of claim 5324, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 5347. The system of claim 5346, wherein the remote controller computer is further configured to determine a relationship between one or more of the at least two properties of the specimen and at least one of the monitored parameters during use.
  • 5348. The system of claim 5347, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 5349. The system of claim 5324, wherein the system is further configured to determine one or more of the at least two properties of the specimen during the process step.
  • 5350. The system of claim 5349, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5351. The system of claim 5349, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique during use.
  • 5352. The system of claim 5324, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 5353. The system of claim 5352, wherein the system is further configured to determine one or more of the at least two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 5354. The system of claim 5324, wherein the remote controller computer is further configured to compare one or more of the at least two properties of the specimen and properties of a plurality of specimens during use.
  • 5355. The system of claim 5324, wherein the remote controller computer is further configured to compare one or more of the at least two properties of the specimen to a predetermined range for the one or more properties during use.
  • 5356. The system of claim 5355, wherein the remote controller computer is further configured to generate an output signal if one or more of the at least two properties of the specimen are outside of the predetermined range for the property during use.
  • 5357. The system of claim 5324, wherein the remote controller computer is further configured to alter a sampling frequency of the first measurement device in response to the at least one thin film characteristic of the specimen during use.
  • 5358. The system of claim 5324, wherein the remote controller computer is further configured to alter a sampling frequency of the second measurement device in response to the at least one electrical property of the specimen during use.
  • 5359. The system of claim 5324, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic of the specimen using a feedback control technique during use.
  • 5360. The system of claim 5324, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property of the specimen using a feedback control technique during use.
  • 5361. The system of claim 5324, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic using a feedforward control technique during use.
  • 5362. The system of claim 5324, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property using a feedforward control technique during use.
  • 5363. The system of claim 5324, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the remote controller computer is further configured to calibrate the first and second measurement devices using the database during use.
  • 5364. The system of claim 5324, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by first and second measurement devices using the database during use.
  • 5365. The system of claim 5324, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the database further comprises the at least one thin film characteristic and the at least one electrical property of a plurality of specimens.
  • 5366. The system of claim 5365, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 5367. The system of claim 5365, wherein the at least one thin film characteristic and the at least one electrical property of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 5368. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a first measurement device and a second measurement device; generating one or more output signals responsive to at least one thin film characteristic of the specimen with the first measurement device; generating one or more output signals responsive to at least one electrical property of the specimen with the second measurement device; processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic of the specimen and the one or more output signals from the second measurement device to determine the at least one electrical property of the specimen, comprising: at least partially processing the one or more output signals from the first measurement device and the one or more output signals from the second measurement device using a local processor, wherein the local processor is coupled to the first and second measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5369. The method of claim 5368, wherein the first measurement device comprises a reflectometer.
  • 5370. The method of claim 5368, wherein the first measurement device comprises a spectroscopic reflectometer.
  • 5371. The method of claim 5368, wherein the first measurement device comprises an ellipsometer.
  • 5372. The method of claim 5368, wherein the first measurement device comprises a spectroscopic ellipsometer.
  • 5373. The method of claim 5368, wherein the first measurement device comprises a beam profile ellipsometer.
  • 5374. The method of claim 5368, wherein the first measurement device comprises a photo-acoustic device.
  • 5375. The method of claim 5368, wherein the first measurement device comprises an eddy current device.
  • 5376. The method of claim 5368, wherein the first measurement device comprises an X-ray reflectometer.
  • 5377. The method of claim 5368, wherein the first measurement device comprises a grazing X-ray reflectometer.
  • 5378. The method of claim 5368, wherein the first measurement device comprises an X-ray diffractometer.
  • 5379. The method of claim 5368, wherein the first measurement device comprises at least two measurement devices, and wherein the at least two measurement devices are selected from the group consisting of a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer.
  • 5380. The method of claim 5368, wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 5381. The method of claim 5368, wherein generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device comprises: annealing the specimen; reducing a temperature of the specimen subsequent to the annealing; depositing a charge on an upper surface of the specimen; and measuring the at least one electrical property of the charged upper surface of the specimen.
  • 5382. The method of claim 5368, wherein the at least one electrical property of the specimen is selected from the group consisting of a capacitance, a dielectric constant, and a resistivity.
  • 5383. The method of claim 5368, wherein the at least one electrical property comprises at least one electrical property of a layer formed on the specimen, and wherein the layer comprises a dielectric material.
  • 5384. The method of claim 5368, further comprising processing the one or more output signals of the second measurement device to determine a characteristic of metal contamination on the specimen.
  • 5385. The method of claim 5368, further comprising processing the one or more output signals of the first or second measurement device to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5386. The method of claim 5368, further comprising processing the one or more output signals of the first measurement device an d th e one or more output signals of the second measurement device substantially simultaneously to determine the at least one thin film characteristic an d the at leas t one electrical property substantially simultaneously.
  • 5387. The method of claim 5368, further comprising generating the one or more output signals responsive to the at least one thin film characteristic of the specimen with the first measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the first measurement device to determine the at least one thin film characteristic at the multiple locations on the specimen.
  • 5388. The method of claim 5368, further comprising generating the one or more output signals responsive to the at least one electrical property of the specimen with the second measurement device at multiple locations on the specimen substantially simultaneously and processing the one or more output signals from the second measurement device to determine the at least one electrical property at the multiple locations on the specimen.
  • 5389. The method of claim 5368, wherein the remote controller computer is coupled to a process tool.
  • 5390. The method of claim 5368, wherein the remote controller computer is coupled to a process tool selected from the group consisting of a chemical vapor deposition tool, an atomic layer deposition tool, a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool, a cleaning tool, an ion implanter, and an etch tool.
  • 5391. The method of claim 5368, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 5392. The method of claim 5368, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 5393. The method of claim 5368, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 5394. The method of claim 5393, further comprising determining a relationship between one or more of the at least two properties and at least one of the monitored parameters.
  • 5395. The method of claim 5394, further comprising altering a parameter of at least one of the instruments in response to the relationship.
  • 5396. The method of claim 5368, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 5397. The method of claim 5396, further comprising determining one or more of the at least two properties of the specimen during the process step.
  • 5398. The method of claim 5397, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5399. The method of claim 5397, further comprising altering a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique.
  • 5400. The method of claim 5368, further comprising moving the specimen from a first process chamber to a second process chamber using the stage and determining one or more of the at least two properties of the specimen during said moving the specimen from the first process chamber to the second process chamber.
  • 5401. The method of claim 5368, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens.
  • 5402. The method of claim 5368, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties.
  • 5403. The method of claim 5402, further comprising generating an output signal if one or more of the at least two properties of the specimen is outside of the predetermined range for the property.
  • 5404. The method of claim 5368, further comprising altering a sampling frequency of the first measurement device in response to the at least one thin film characteristic of the specimen.
  • 5405. The method of claim 5368, further comprising altering a sampling frequency of the second measurement device in response to the at least one electrical property of the specimen.
  • 5406. The method of claim 5368, further comprising altering a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic of the specimen using a feedback control technique.
  • 5407. The method of claim 5368, further comprising altering a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property of the specimen using a feedback control technique.
  • 5408. The method of claim 5368, further comprising altering a parameter of one or more instruments coupled to the first measurement device in response to the at least one thin film characteristic of the specimen using a feedforward control technique.
  • 5409. The method of claim 5368, further comprising altering a parameter of one or more instruments coupled to the second measurement device in response to the at least one electrical property of the specimen using a feedforward control technique.
  • 5410. The method of claim 5368, further comprising generating a database using the remote controller computer, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
  • 5411. The method of claim 5368, further comprising generating a database using the remote controller computer, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, the method further comprising monitoring output signals of the first and second measurement devices using the remote controller computer and the database.
  • 5412. The method of claim 5368, further comprising generating a database using the remote controller computer, wherein the database comprises the at least one thin film characteristic of the specimen and the at least one electrical property of the specimen, and wherein the database further comprises the at least one thin film characteristic and the at least one electrical property of a plurality of specimens.
  • 5413. The method of claim 5412, wherein the at least one thin film characteristic and the at least one electrical property of a plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 5414. The method of claim 5412, wherein the at least one thin film characteristic and the at least one electrical property of a plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
  • 5415. The method of claim 5368, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein at least one of the plurality of local processors is coupled to one of a plurality of measurement devices.
  • 5416. The method of claim 5415, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to one or more of the at least two properties of the specimen.
  • 5417. The method of claim 5415, wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
  • 5418. The method of claim 5417, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to one or more of the at least two properties of the specimen.
  • 5419. A system configured to determine at least four properties of a specimen during use, comprising: a plurality of measurement devices, wherein the plurality of measurement devices are configured to generate one or more output signals responsive to a critical dimension of the specimen, overlay misregistration of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen during use; and a processor coupled to the plurality of measurement devices, wherein the processor is configured to determine the at least four properties of the specimen from the one or more output signals during use, and wherein the at least four properties comprise the critical dimension of the specimen, the overlay misregistration of the specimen, the presence of defects on the specimen, and the thin film characteristic of the specimen.
  • 5420. The system of claim 5419, wherein the system is further configured as a cluster tool.
  • 5421. The system of claim 5419, wherein the system is further configured as a stand alone system.
  • 5422. The system of claim 5419, further comprising a stage configured to support the specimen during use, wherein the stage is coupled to at least one of the plurality of measurement devices, and wherein the stage is further configured to move laterally during use.
  • 5423. The system of claim 5419, further comprising a stage configured to support the specimen during use, wherein the stage is coupled to at least one of the plurality of measurement devices, and wherein the stage is further configured to move rotatably during use.
  • 5424. The system of claim 5419, further comprising a stage configured to support the specimen during use, wherein the stage is coupled to at least one of the plurality of measurement devices, and wherein the stage is further configured to move laterally and rotatably during use.
  • 5425. The system of claim 5419, wherein the plurality of measurement devices comprise a non-imaging scatterometer.
  • 5426. The system of claim 5419, wherein the plurality of measurement devices comprise a scatterometer.
  • 5427. The system of claim 5419, wherein the plurality of measurement devices comprise a spectroscopic scatterometer.
  • 5428. The system of claim 5419, wherein the plurality of measurement devices comprise a reflectometer.
  • 5429. The system of claim 5419, wherein the plurality of measurement devices comprise a spectroscopic reflectometer.
  • 5430. The system of claim 5419, wherein the plurality of measurement devices comprise an ellipsometer.
  • 5431. The system of claim 5419, wherein the plurality of measurement devices comprise a spectroscopic ellipsometer.
  • 5432. The system of claim 5419, wherein the plurality of measurement devices comprise a beam profile ellipsometer.
  • 5433. The system of claim 5419, wherein the plurality of measurement devices comprise a dual beam spectrophotometer.
  • 5434. The system of claim 5419, wherein the plurality of measurement devices comprise a bright field imaging device.
  • 5435. The system of claim 5419, wherein the plurality of measurement devices comprise a dark field imaging device.
  • 5436. The system of claim 5419, wherein the plurality of measurement devices comprise a bright field and a dark field imaging device.
  • 5437. The system of claim 5419, wherein the plurality of measurement devices comprise a double dark field device.
  • 5438. The system of claim 5419, wherein the plurality of measurement devices comprise a bright field non-imaging device.
  • 5439. The system of claim 5419, wherein the plurality of measurement devices comprise a dark field non-imaging device.
  • 5440. The system of claim 5419, wherein the plurality of measurement devices comprise a bright field and a dark field non-imaging device.
  • 5441. The system of claim 5419, wherein the plurality of measurement devices comprise a coherence probe microscope.
  • 5442. The system of claim 5419, wherein the plurality of measurement devices comprise an interference microscope.
  • 5443. The system of claim 5419, wherein the plurality of measurement devices comprise an optical profilometer.
  • 5444. The system of claim 5419, wherein the plurality of measurement devices comprise a photo-acoustic device.
  • 5445. The system of claim 5419, wherein the plurality of measurement devices comprise an eddy current device.
  • 5446. The system of claim 5419, wherein the plurality of measurement devices comprise an X-ray reflectometer.
  • 5447. The system of claim 5419, wherein the plurality of measurement devices comprise a grazing X-ray reflectometer.
  • 5448. The system of claim 5419, wherein the plurality of measurement devices comprise an X-ray diffractometer.
  • 5449. The system of claim 5419, wherein the plurality of measurement devices comprise at least a first measurement device and a second measurement device, and wherein elements of the first measurement device comprise elements of the second measurement device.
  • 5450. The system of claim 5419, wherein the defects comprise macro defects.
  • 5451. The system of claim 5419, wherein the presence of defects on the specimen comprises a presence of defects on a bottom surface of the specimen.
  • 5452. The system of claim 5419, wherein the processor is further configured to determine a fifth property of the specimen from the one or more output signals during use, and wherein the fifth property comprises a flatness measurement of the specimen.
  • 5453. The system of claim 5419, wherein the processor is further configured to determine a fifth property of the specimen from the one or more output signals during use, and wherein the fifth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5454. The system of claim 5419, wherein the system is further configured to determine at least four properties of the specimen substantially simultaneously during use.
  • 5455. The system of claim 5419, wherein the plurality of measurement devices are further configured to generate one or more output signals responsive to one or more of the at least four properties at multiple locations on the surface of the specimen substantially simultaneously during use such that the one or more properties can be determined at the multiple locations substantially simultaneously.
  • 5456. The system of claim 5419, wherein the processor is further configured to compare one or more of the at least four properties of the specimen and properties of a plurality of specimens during use.
  • 5457. The system of claim 5419, wherein the processor is further configured to compare one or more of the at least four properties of the specimen to a predetermined range for the one or more properties during use.
  • 5458. The system of claim 5419, wherein the processor is further configured to compare one or more of the at least four properties of the specimen to a predetermined range for the one or more properties during use, and wherein the processor is further configured to generate an output signal if the one or more properties of the specimen are outside of the predetermined range during use.
  • 5459. The system of claim 5419, wherein the processor is further configured to alter a sampling frequency of at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen during use.
  • 5460. The system of claim 5419, wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties using a feedback control technique during use.
  • 5461. The system of claim 5419, wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties using a feedforward control technique during use.
  • 5462. The system of claim 5419, wherein the processor is further configured to generate a database during use, and wherein the database comprises the at least four properties of the specimen.
  • 5463. The system of claim 5419, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 5464. The system of claim 5419, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 5465. The system of claim 5419, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen, wherein the database further comprises the at least four properties of a plurality of specimens, wherein the at least four properties of the plurality of specimens are determined using a plurality of systems, and wherein the processor is further configured to calibrate one or more measurement devices of the plurality of systems using the database during use.
  • 5466. The system of claim 5419, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen, wherein the database further comprises the at least four properties of a plurality of specimens, wherein the at least four properties of the plurality of specimens are determined using a plurality of systems, and wherein the processor is further configured to monitor output signals generated by one or more measurement devices of the plurality of systems using the database during use.
  • 5467. The system of claim 5419, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 5468. The system of claim 5419, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 5469. The system of claim 5419, wherein the system is further configured to determine at least the four properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5470. The system of claim 5419, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least four properties using a feedback control technique during use.
  • 5471. The system of claim 5419, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least four properties using a feedforward control technique during use.
  • 5472. The system of claim 5419, wherein the processor comprises a local processor coupled to the plurality of measurement devices and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 5473. The system of claim 5472, wherein the local processor is further configured to determine the at least four properties of the specimen during use.
  • 5474. The system of claim 5472, wherein the remote controller computer is further configured to determine the at least four properties of the specimen during use.
  • 5475. A method for determining at least four properties of a specimen, comprising: generating one or more output signals with a plurality of measurement devices, wherein the one or more output signals are responsive to at least four properties of the specimen; and processing the one or more output signals to determine the at least four properties of the specimen, wherein the at least four properties comprise a critical dimension of the specimen, overlay misregistration of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen.
  • 5476. The method of claim 5475, wherein the plurality of measurement devices are configured as a cluster tool.
  • 5477. The method of claim 5475, wherein the plurality of measurement devices are configured as a stand alone system.
  • 5478. The method of claim 5475, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally moving the stage while determining the at least four properties of the specimen.
  • 5479. The method of claim 5475, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising rotatably moving the stage while determining the at least four properties of the specimen.
  • 5480. The method of claim 5475, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally and rotatably moving the stage during while determining the at least four properties of the specimen.
  • 5481. The method of claim 5475, wherein the plurality of measurement devices comprise a non-imaging scatterometer.
  • 5482. The method of claim 5475, wherein the plurality of measurement devices comprise a scatterometer.
  • 5483. The method of claim 5475, wherein the plurality of measurement devices comprise a spectroscopic scatterometer.
  • 5484. The method of claim 5475, wherein the plurality of measurement devices comprise a reflectometer.
  • 5485. The method of claim 5475, wherein the plurality of measurement devices comprise a spectroscopic reflectometer.
  • 5486. The method of claim 5475, wherein the plurality of measurement devices comprise an ellipsometer.
  • 5487. The method of claim 5475, wherein the plurality of measurement devices comprise a spectroscopic ellipsometer.
  • 5488. The method of claim 5475, wherein the plurality of measurement devices comprise a beam profile ellipsometer.
  • 5489. The method of claim 5475, wherein the plurality of measurement devices comprise a dual beam spectrophotometer.
  • 5490. The method of claim 5475, wherein the plurality of measurement devices comprise a bright field imaging device.
  • 5491. The method of claim 5475, wherein the plurality of measurement devices comprise a dark field imaging device.
  • 5492. The method of claim 5475, wherein the plurality of measurement devices comprise a bright field and dark field imaging device.
  • 5493. The method of claim 5475, wherein the plurality of measurement devices comprise a double dark field device.
  • 5494. The method of claim 5475, wherein the plurality of measurement devices comprise a bright field non-imaging device.
  • 5495. The method of claim 5475, wherein the plurality of measurement devices comprise a dark field non-imaging device.
  • 5496. The method of claim 5475, wherein the plurality of measurement devices comprise a bright field and dark field non-imaging device
  • 5497. The method of claim 5475, wherein the plurality of measurement devices comprise a coherence probe microscope.
  • 5498. The method of claim 5475, wherein the plurality of measurement devices comprise an interference microscope.
  • 5499. The method of claim 5475, wherein the plurality of measurement devices comprise an optical profilometer.
  • 5500. The method of claim 5475, wherein the plurality of measurement devices comprise a photo-acoustic device.
  • 5501. The method of claim 5475, wherein the plurality of measurement devices comprise an eddy current device.
  • 5502. The method of claim 5475, wherein the plurality of measurement devices comprise an X-ray reflectometer.
  • 5503. The method of claim 5475, wherein the plurality of measurement devices comprise a grazing X-ray reflectometer.
  • 5504. The method of claim 5475, wherein the plurality of measurement devices comprise an X-ray diffractometer.
  • 5505. The method of claim 5475, wherein the plurality of measurement devices comprises at least a first measurement device and a second measurement device, and wherein elements of the first measurement device comprise elements of the second measurement device.
  • 5506. The method of claim 5475, wherein the defects comprise macro defects.
  • 5507. The method of claim 5475, wherein the presence of defects on the specimen comprises a presence of defects on a bottom surface of the specimen.
  • 5508. The method of claim 5475, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property comprises a flatness measurement of the specimen.
  • 5509. The method of claim 5475, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5510. The method of claim 5475, wherein processing the one or more output signals to determine the at least four properties of the specimen comprises substantially simultaneously determining the at least four properties of the specimen.
  • 5511. The method of claim 5475, further comprising generating the one or more output signals responsive to one or more of the at least four properties at multiple locations on the surface of the specimen substantially simultaneously such that the one or more properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5512. The method of claim 5475, further comprising comparing one or more of the at least four properties of the specimen and properties of a plurality of specimens.
  • 5513. The method of claim 5475, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties.
  • 5514. The method of claim 5475, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more properties of the specimen are outside of the predetermined range.
  • 5515. The method of claim 5475, further comprising altering a sampling frequency of at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen.
  • 5516. The method of claim 5475, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5517. The method of claim 5475, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5518. The method of claim 5475, further comprising generating a database, wherein the database comprises the at least four properties of the specimen.
  • 5519. The method of claim 5475, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5520. The method of claim 5475, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method monitoring output signals generated by the plurality of measurement devices using the database.
  • 5521. The method of claim 5475, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems.
  • 5522. The method of claim 5475, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising calibrating the plurality of systems using the database.
  • 5523. The method of claim 5475, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising monitoring output signals generated by the plurality of systems using the database.
  • 5524. The method of claim 5475, wherein a stand alone system is coupled to the plurality of measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices with the stand alone system.
  • 5525. The method of claim 5475, wherein a stand alone system is coupled to the plurality of measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices and at least the one additional measurement device with the stand alone system.
  • 5526. The method of claim 5475, further comprising determining at least the four properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5527. The method of claim 5475, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen.
  • 5528. The method of claim 5475, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5529. The method of claim 5475, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5530. The method of claim 5475, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the plurality of measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5531. The method of claim 5530, wherein at least partially processing the one or more output signals comprises determining the at least four properties of the specimen.
  • 5532. The method of claim 5530, wherein further processing the partially processed one or more output signals comprises determining the at least four properties of the specimen.
  • 5533. A computer-implemented method for controlling a system configured to determine at least four properties of a specimen during use, wherein the system comprises a plurality of measurement devices, comprising: controlling the plurality of measurement devices to generate one or more output signals responsive to at least four properties of the specimen; and processing the one or more output signals to determine the at least four properties of the specimen, wherein the at least four properties of the specimen comprises a critical dimension of the specimen, overlay misregistration of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen.
  • 5534. The method of claim 5533, wherein the system is further configured as a cluster tool.
  • 5535. The method of claim 5533, wherein the system is further configured as a stand alone system.
  • 5536. The method of claim 5533, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement device, and controlling the stage to move laterally while determining the at least four properties of the specimen.
  • 5537. The method of claim 5533, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement device, and controlling the stage to move rotatably while determining the at least four properties of the specimen.
  • 5538. The method of claim 5533, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement device, and controlling the stage to move laterally and rotatably while determining the at least four properties of the specimen.
  • 5539. The method of claim 5533, wherein the plurality of measurement devices comprise a non-imaging scatterometer.
  • 5540. The method of claim 5533, wherein the plurality of measurement devices comprise a scatterometer.
  • 5541. The method of claim 5533, wherein the plurality of measurement devices comprise a spectroscopic scatterometer.
  • 5542. The method of claim 5533, wherein the plurality of measurement devices comprise a reflectometer.
  • 5543. The method of claim 5533, wherein the plurality of measurement devices comprise a spectroscopic reflectometer.
  • 5544. The method of claim 5533, wherein the plurality of measurement devices comprise an ellipsometer.
  • 5545. The method of claim 5533, wherein the plurality of measurement devices comprise a spectroscopic ellipsometer.
  • 5546. The method of claim 5533, wherein the plurality of measurement devices comprise a beam profile ellipsometer.
  • 5547. The method of claim 5533, wherein the plurality of measurement devices comprise a dual beam spectrophotometer.
  • 5548. The method of claim 5533, wherein the plurality of measurement devices comprise a bright field imaging device.
  • 5549. The method of claim 5533, wherein the plurality of measurement devices comprise a dark field imaging device.
  • 5550. The method of claim 5533, wherein the plurality of measurement devices comprise a bright field and dark field imaging device.
  • 5551. The method of claim 5533, wherein the plurality of measurement devices comprise a double dark field device.
  • 5552. The method of claim 5533, wherein the plurality of measurement devices comprise a bright field non-imaging device.
  • 5553. The method of claim 5533, wherein the plurality of measurement devices comprise a dark field non-imaging device.
  • 5554. The method of claim 5533, wherein the plurality of measurement devices comprise a bright field and dark field non-imaging device.
  • 5555. The method of claim 5533, wherein the plurality of measurement devices comprise a coherence probe microscope.
  • 5556. The method of claim 5533, wherein the plurality of measurement devices comprise an interference microscope.
  • 5557. The method of claim 5533, wherein the plurality of measurement devices comprise an optical profilometer.
  • 5558. The method of claim 5533, wherein the plurality of measurement devices comprise a photo-acoustic device.
  • 5559. The method of claim 5533, wherein the plurality of measurement devices comprise an eddy current device.
  • 5560. The method of claim 5533, wherein the plurality of measurement devices comprise an X-ray reflectometer.
  • 5561. The method of claim 5533, wherein the plurality of measurement devices comprise a grazing X-ray reflectometer.
  • 5562. The method of claim 5533, wherein the plurality of measurement devices comprise an X-ray diffractometer.
  • 5563. The method of claim 5533, wherein the plurality of measurement devices comprise at least a first measurement device and a second measurement device, and wherein elements of the first measurement device comprise elements of the second measurement device.
  • 5564. The method of claim 5533, wherein the defects comprise macro defects.
  • 5565. The method of claim 5533, wherein the presence of defects on the specimen comprises a presence of defects on a bottom surface of the specimen.
  • 5566. The method of claim 5533, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property comprises a flatness measurement of the specimen.
  • 5567. The method of claim 5533, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5568. The method of claim 5533, wherein processing the one or more output signals to determine the at least four properties of the specimen comprises substantially simultaneously determining the at least four properties of the specimen.
  • 5569. The method of claim 5533, further comprising controlling one or more of the plurality of measurement devices to generate one or more output signals responsive to one or more of the at least four properties of the specimen at multiple locations on the surface of the specimen substantially simultaneously such that one or more properties can be determined at the multiple locations substantially simultaneously.
  • 5570. The method of claim 5533, further comprising comparing one or more of the at least four properties of the specimen and properties of a plurality of specimens.
  • 5571. The method of claim 5533, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties.
  • 5572. The method of claim 5533, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more properties of the specimen are outside of the predetermined range.
  • 5573. The method of claim 5533, further comprising altering a sampling frequency of at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen.
  • 5574. The method of claim 5533, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5575. The method of claim 5533, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5576. The method of claim 5533, further comprising generating a database, wherein the database comprises the at least four properties of the specimen.
  • 5577. The method of claim 5533, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, and calibrating the plurality of measurement devices using the database.
  • 5578. The method of claim 5533, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, and monitoring output signals of the plurality of measurement devices using the database.
  • 5579. The method of claim 5533, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising calibrating the plurality of systems using the database.
  • 5580. The method of claim 5533, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising monitoring output signals of the plurality of systems using the database.
  • 5581. The method of claim 5533, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 5582. The method of claim 5533, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 5583. The method of claim 5533, wherein the system is further configured to determine one or more of the at least four properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5584. The method of claim 5533, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen.
  • 5585. The method of claim 5533, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5586. The method of claim 5533, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5587. The method of claim 5533, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the plurality of measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5588. The method of claim 5587, wherein at least partially processing the one or more output signals comprises determining the at least four properties of the specimen.
  • 5589. The method of claim 5587, wherein further processing the partially processed one or more output signals comprises determining the at least four properties of the specimen.
  • 5590. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; generating one or more output signals with a plurality of measurement devices, wherein the one or more output signals are responsive to at least four properties of the specimen; and processing the one or more output signals to determine the at least four properties of the specimen, wherein the at least four properties comprise a critical dimension of the specimen, overlay misregistration of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen.
  • 5591. The device of claim 5590, wherein the plurality of measurement devices are configured as a cluster tool.
  • 5592. The device of claim 5590, wherein the plurality of measurement devices are configured as a stand alone system.
  • 5593. The device of claim 5590, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally moving the stage while determining the at least four properties of the specimen.
  • 5594. The device of claim 5590, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising rotatably moving the stage while determining the at least four properties of the specimen.
  • 5595. The device of claim 5590, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally and rotatably moving the stage during while determining the at least four properties of the specimen.
  • 5596. The device of claim 5590, wherein the plurality of measurement devices comprise a non-imaging scatterometer.
  • 5597. The device of claim 5590, wherein the plurality of measurement devices comprise a scatterometer.
  • 5598. The device of claim 5590, wherein the plurality of measurement devices comprise a spectroscopic scatterometer.
  • 5599. The device of claim 5590, wherein the plurality of measurement devices comprise a reflectometer.
  • 5600. The device of claim 5590, wherein the plurality of measurement devices comprise a spectroscopic reflectometer.
  • 5601. The device of claim 5590, wherein the plurality of measurement devices comprise an ellipsometer.
  • 5602. The device of claim 5590, wherein the plurality of measurement devices comprise a spectroscopic ellipsometer.
  • 5603. The device of claim 5590, wherein the plurality of measurement devices comprise a beam profile ellipsometer.
  • 5604. The device of claim 5590, wherein the plurality of measurement devices comprise a dual beam spectrophotometer.
  • 5605. The device of claim 5590, wherein the plurality of measurement devices comprise a bright field imaging device.
  • 5606. The device of claim 5590, wherein the plurality of measurement devices comprise a dark field imaging device.
  • 5607. The device of claim 5590, wherein the plurality of measurement devices comprise a bright field and dark field imaging device.
  • 5608. The device of claim 5590, wherein the plurality of measurement devices comprise a double dark field device.
  • 5609. The device of claim 5590, wherein the plurality of measurement devices comprise a bright field non-imaging device.
  • 5610. The device of claim 5590, wherein the plurality of measurement devices comprise a dark field non-imaging device.
  • 5611. The device of claim 5590, wherein the plurality of measurement devices comprise a bright field and dark field non-imaging device
  • 5612. The device of claim 5590, wherein the plurality of measurement devices comprise a coherence probe microscope.
  • 5613. The device of claim 5590, wherein the plurality of measurement devices comprise an interference microscope.
  • 5614. The device of claim 5590, wherein the plurality of measurement devices comprise an optical profilometer.
  • 5615. The device of claim 5590, wherein the plurality of measurement devices comprise a photo-acoustic device.
  • 5616. The device of claim 5590, wherein the plurality of measurement devices comprise an eddy current device.
  • 5617. The device of claim 5590, wherein the plurality of measurement devices comprise an X-ray reflectometer.
  • 5618. The device of claim 5590, wherein the plurality of measurement devices comprise a grazing X-ray reflectometer.
  • 5619. The device of claim 5590, wherein the plurality of measurement devices comprise a n X-ray diffractometer.
  • 5620. The device of claim 5590, wherein the plurality of measurement devices comprises at least a first measurement device and a second measurement device, and wherein elements of the first measurement device comprise elements of the second measurement device.
  • 5621. The device of claim 5590, wherein the defects comprise macro defects.
  • 5622. The device of claim 5590, wherein the presence of defects on the specimen comprises a presence of defects on a bottom surface of the specimen.
  • 5623. The device of claim 5590, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property comprises a flatness measurement of the specimen.
  • 5624. The device of claim 5590, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5625. The device of claim 5590, wherein processing the one or more output signals to determine the at least four properties of the specimen comprises substantially simultaneously determining the at least four properties of the specimen.
  • 5626. The device of claim 5590, further comprising generating the one or more output signals responsive to one or more of the at least four properties at multiple locations on the surface of the specimen substantially simultaneously such that the one or more properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5627. The device of claim 5590, further comprising comparing one or more of the at least four properties of the specimen and properties of a plurality of specimens.
  • 5628. The device of claim 5590, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties.
  • 5629. The device of claim 5590, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more properties of the specimen are outside of the predetermined range.
  • 5630. The device of claim 5590, further comprising altering a sampling frequency of at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen.
  • 5631. The device of claim 5590, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5632. The device of claim 5590, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5633. The device of claim 5590, further comprising generating a database, wherein the database comprises the at least four properties of the specimen.
  • 5634. The device of claim 5590, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5635. The device of claim 5590, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method monitoring output signals generated by the plurality of measurement devices using the database.
  • 5636. The device of claim 5590, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems.
  • 5637. The device of claim 5590, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising calibrating the plurality of systems using the database.
  • 5638. The device of claim 5590, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising monitoring output signals generated by the plurality of systems using the database.
  • 5639. The device of claim 5590, wherein a stand alone system is coupled to the plurality of measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices with the stand alone system.
  • 5640. The device of claim 5590, wherein a stand alone system is coupled to the plurality of measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices and at least the one additional measurement device with the stand alone system.
  • 5641. The device of claim 5590, further comprising determining at least the four properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5642. The device of claim 5590, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen.
  • 5643. The device of claim 5590, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5644. The device of claim 5590, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5645. The device of claim 5590, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the plurality of measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5646. The device of claim 5645, wherein at least partially processing the one or more output signals comprises determining the at least four properties of the specimen.
  • 5647. The device of claim 5645, wherein further processing the partially processed one or more output signals comprises determining the at least four properties of the specimen.
  • 5648. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; generating one or more output signals with a plurality of measurement devices, wherein the one or more output signals are responsive to at least four properties of the specimen; and processing the one or more output signals to determine the at least four properties of the specimen, wherein the at least four properties comprise a critical dimension of the specimen, overlay misregistration of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen.
  • 5649. The method of claim 5648, wherein the plurality of measurement devices are configured as a cluster tool.
  • 5650. The method of claim 5648, wherein the plurality of measurement devices are configured as a stand alone system.
  • 5651. The method of claim 5648, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally moving the stage while determining the at least four properties of the specimen.
  • 5652. The method of claim 5648, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising rotatably moving the stage while determining the at least four properties of the specimen.
  • 5653. The method of claim 5648, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally and rotatably moving the stage during while determining the at least four properties of the specimen.
  • 5654. The method of claim 5648, wherein the plurality of measurement devices comprise a non-imaging scatterometer.
  • 5655. The method of claim 5648, wherein the plurality of measurement devices comprise a scatterometer.
  • 5656. The method of claim 5648, wherein the plurality of measurement devices comprise a spectroscopic scatterometer.
  • 5657. The method of claim 5648, wherein the plurality of measurement devices comprise a reflectometer.
  • 5658. The method of claim 5648, wherein the plurality of measurement devices comprise a spectroscopic reflectometer.
  • 5659. The method of claim 5648, wherein the plurality of measurement devices comprise an ellipsometer.
  • 5660. The method of claim 5648, wherein the plurality of measurement devices comprise a spectroscopic ellipsometer.
  • 5661. The method of claim 5648, wherein the plurality of measurement devices comprise a beam profile ellipsometer.
  • 5662. The method of claim 5648, wherein the plurality of measurement devices comprise a dual beam spectrophotometer.
  • 5663. The method of claim 5648, wherein the plurality of measurement devices comprise a bright field imaging device.
  • 5664. The method of claim 5648, wherein the plurality of measurement devices comprise a dark field imaging device.
  • 5665. The method of claim 5648, wherein the plurality of measurement devices comprise a bright field and dark field imaging device.
  • 5666. The method of claim 5648, wherein the plurality of measurement devices comprise a double dark field device.
  • 5667. The method of claim 5648, wherein the plurality of measurement devices comprise a bright field non-imaging device.
  • 5668. The method of claim 5648, wherein the plurality of measurement devices comprise a dark field non-imaging device.
  • 5669. The method of claim 5648, wherein the plurality of measurement devices comprise a bright field and dark field non-imaging device
  • 5670. The method of claim 5648, wherein the plurality of measurement devices comprise a coherence probe microscope.
  • 5671. The method of claim 5648, wherein the plurality of measurement devices comprise an interference microscope.
  • 5672. The method of claim 5648, wherein the plurality of measurement devices comprise an optical profilometer.
  • 5673. The method of claim 5648, wherein the plurality of measurement devices comprise a photo-acoustic device.
  • 5674. The method of claim 5648, wherein the plurality of measurement devices comprise an eddy current device.
  • 5675. The method of claim 5648, wherein the plurality of measurement devices comprise an X-ray reflectometer.
  • 5676. The method of claim 5648, wherein the plurality of measurement devices comprise a grazing X-ray reflectometer.
  • 5677. The method of claim 5648, wherein the plurality of measurement devices comprise an X-ray diffractometer.
  • 5678. The method of claim 5648, wherein the plurality of measurement devices comprises at least a first measurement device and a second measurement device, and wherein elements of the first measurement device comprise elements of the second measurement device.
  • 5679. The method of claim 5648, wherein the defects comprise macro defects.
  • 5680. The method of claim 5648, wherein the presence of defects on the specimen comprises a presence of defects on a bottom surface of the specimen.
  • 5681. The method of claim 5648, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property comprises a flatness measurement of the specimen.
  • 5682. The method of claim 5648, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5683. The method of claim 5648, wherein processing the one or more output signals to determine the at least four properties of the specimen comprises substantially simultaneously determining the at least four properties of the specimen.
  • 5684. The method of claim 5648, further comprising generating the one or more output signals responsive to one or more of the at least four properties at multiple locations on the surface of the specimen substantially simultaneously such that the one or more properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5685. The method of claim 5648, further comprising comparing one or more of the at least four properties of the specimen and properties of a plurality of specimens.
  • 5686. The method of claim 5648, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties.
  • 5687. The method of claim 5648, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more properties of the specimen are outside of the predetermined range.
  • 5688. The method of claim 5648, further comprising altering a sampling frequency of at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen.
  • 5689. The method of claim 5648, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5690. The method of claim 5648, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5691. The method of claim 5648, further comprising generating a database, wherein the database comprises the at least four properties of the specimen.
  • 5692. The method of claim 5648, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5693. The method of claim 5648, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method monitoring output signals generated by the plurality of measurement devices using the database.
  • 5694. The method of claim 5648, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems.
  • 5695. The method of claim 5648, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising calibrating the plurality of systems using the database.
  • 5696. The method of claim 5648, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising monitoring output signals generated by the plurality of systems using the database.
  • 5697. The method of claim 5648, wherein a stand alone system is coupled to the plurality of measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices with the stand alone system.
  • 5698. The method of claim 5648, wherein a stand alone system is coupled to the plurality of measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices and at least the one additional measurement device with the stand alone system.
  • 5699. The method of claim 5648, further comprising determining at least the four properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5700. The method of claim 5648, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen.
  • 5701. The method of claim 5648, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5702. The method of claim 5648, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5703. The method of claim 5648, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the plurality of measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5704. The method of claim 5703, wherein at least partially processing the one or more output signals comprises determining the at least four properties of the specimen.
  • 5705. The method of claim 5703, wherein further processing the partially processed one or more output signals comprises determining the at least four properties of the specimen.
  • 5706. A system configured to determine at least four properties of a specimen during use, comprising: a plurality of measurement devices, wherein the plurality of measurement devices are configured to generate one or more output signals responsive to the at least four properties of the specimen; a local processor coupled to the plurality of measurement devices, wherein the local processor is configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals during use and to determine the at least four properties of the specimen during use, and wherein the at least four properties comprise a critical dimension of the specimen, overlay misregistration of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen.
  • 5707. The system of claim 5706, wherein the system is further configured as a cluster tool.
  • 5708. The system of claim 5706, wherein the system is further configured as a stand alone system.
  • 5709. The system of claim 5706, further comprising a stage configured to support the specimen during use, wherein the stage is coupled to at least one of the plurality of measurement devices, and wherein the stage is further configured to move laterally during use.
  • 5710. The system of claim 5706, further comprising a stage configured to support the specimen during use, wherein the stage is coupled to at least one of the plurality of measurement devices, and wherein the stage is further configured to move rotatably during use.
  • 5711. The system of claim 5706, further comprising a stage configured to support the specimen during use, wherein the stage is coupled to at least one of the plurality of measurement devices, and wherein the stage is further configured to move laterally and rotatably during use.
  • 5712. The system of claim 5706, wherein the plurality of measurement devices comprise a non-imaging scatterometer.
  • 5713. The system of claim 5706, wherein the plurality of measurement devices comprise a scatterometer.
  • 5714. The system of claim 5706, wherein the plurality of measurement devices comprise a spectroscopic scatterometer.
  • 5715. The system of claim 5706, wherein the plurality of measurement devices comprise a reflectometer.
  • 5716. The system of claim 5706, wherein the plurality of measurement devices comprise a spectroscopic reflectometer.
  • 5717. The system of claim 5706, wherein the plurality of measurement devices comprise an ellipsometer.
  • 5718. The system of claim 5706, wherein the plurality of measurement devices comprise a spectroscopic ellipsometer.
  • 5719. The system of claim 5706, wherein the plurality of measurement devices comprise a beam profile ellipsometer.
  • 5720. The system of claim 5706, wherein the plurality of measurement devices comprise a dual beam spectrophotometer.
  • 5721. The system of claim 5706, wherein the plurality of measurement devices comprise a bright field imaging device.
  • 5722. The system of claim 5706, wherein the plurality of measurement devices comprise a dark field imaging device.
  • 5723. The system of claim 5706, wherein the plurality of measurement devices comprise a bright field and dark field imaging device.
  • 5724. The system of claim 5706, wherein the plurality of measurement devices comprise a bright field non-imaging device.
  • 5725. The system of claim 5706, wherein the plurality of measurement devices comprise a dark field non-imaging device.
  • 5726. The system of claim 5706, wherein the plurality of measurement devices comprise a bright field and dark field non-imaging device.
  • 5727. The system of claim 5706, wherein the plurality of measurement devices comprise a coherence probe microscope.
  • 5728. The system of claim 5706, wherein the plurality of measurement devices comprise an interference microscope.
  • 5729. The system of claim 5706, wherein the plurality of measurement devices comprise an optical profilometer.
  • 5730. The system of claim 5706, wherein the plurality of measurement devices comprise a photo-acoustic device.
  • 5731. The system of claim 5706, wherein the plurality of measurement devices comprise an eddy current device.
  • 5732. The system of claim 5706, wherein the plurality of measurement devices comprise an X-ray reflectometer.
  • 5733. The system of claim 5706, wherein the plurality of measurement devices comprise a grazing X-ray reflectometer.
  • 5734. The system of claim 5706, wherein the plurality of measurement devices comprise an X-ray diffractometer.
  • 5735. The system of claim 5706, wherein the plurality of measurement devices comprise at least a first measurement device and a second measurement device, and wherein at least one element of the first measurement device comprises at least one element of the second measurement device.
  • 5736. The system of claim 5706, wherein the defects comprise macro defects.
  • 5737. The system of claim 5706, wherein the presence of defects on the specimen comprises a presence of defects on a bottom surface of the specimen.
  • 5738. The system of claim 5706, wherein the remote controller computer is further configured to determine a fifth property of the specimen from the at least partially processed one or more output signals during use, and wherein the fifth property comprises a flatness measurement on the specimen.
  • 5739. The system of claim 5706, wherein the remote controller computer is further configured to determine a fifth property of the specimen from the at least partially processed one or more output signals during use, and wherein the fifth property is selected from the group consisting a roughness of the specimen, a specimen of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5740. The system of claim 5706, wherein the system is further configured to determine at least four properties of the specimen substantially simultaneously.
  • 5741. The system of claim 5706, wherein the plurality of measurement devices are further configured to generate one or more output signals responsive to one or more of the at least four properties at multiple locations on the surface of the specimen substantially simultaneously during use such that one or more of the one or more properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5742. The system of claim 5706, wherein the remote controller computer is further configured to compare one or more of the at least four properties of the specimen and properties of a plurality of specimens during use.
  • 5743. The system of claim 5706, wherein the remote controller computer is further configured to compare one or more of the at least four properties of the specimen to a predetermined range for the one or more properties during use.
  • 5744. The system of claim 5706, wherein the remote controller computer is further configured to compare one or more of the at least four properties of the specimen to a predetermined range for the one or more properties during use, and wherein the remote controller computer is further configured to generate an output signal if the one or more properties of the specimen are outside of the predetermined range during use.
  • 5745. The system of claim 5706, wherein the remote controller computer is further configured to alter a sampling frequency of at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen during use.
  • 5746. The system of claim 5706, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedback control technique during use.
  • 5747. The system of claim 5706, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedforward control technique during use.
  • 5748. The system of claim 5706, wherein the remote controller computer is further configured to generate a database during use, and wherein the database comprises the at least four properties of the specimen.
  • 5749. The system of claim 5706, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 5750. The system of claim 5706, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 5751. The system of claim 5706, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, wherein the at least four properties of the plurality of specimens are determined using a plurality of systems, wherein the remote controller computer is further coupled to the plurality of systems, and wherein the remote controller computer is further configured to calibrate one or more measurement devices of the plurality of systems using the database during use.
  • 5752. The system of claim 5706, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, wherein the at least four properties of the plurality of specimens are determined using a plurality of systems, wherein the remote controller computer is further coupled to the plurality of systems, and wherein the remote controller computer is further configured to monitor output signals generated by one or more measurement devices of the plurality of systems using the database during use.
  • 5753. The system of claim 5706, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 5754. The system of claim 5706, further comprising a stand alone system coupled to the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 5755. The system of claim 5706, wherein the system is further configured to determine at least the four properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the remote controller computer is further configured to alter at least one parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5756. The system of claim 5706, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least four properties using a feedback control technique during use.
  • 5757. The system of claim 5706, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least four properties using a feedforward control technique during use.
  • 5758. A method for determining at least four properties of a specimen, comprising: generating one or more output signals with a plurality of output signals, wherein the one or more output signals are responsive to at least four properties of the specimen; and processing the one or more output signals to determine the at least four properties of the specimen, wherein the at least four properties comprise a critical dimension of the specimen, overlay misregistration of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the plurality of measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5759. The method of claim 5758, wherein the plurality of measurement devices are configured as a cluster tool.
  • 5760. The method of claim 5758, wherein the plurality of measurement devices are configured as a stand alone system.
  • 5761. The method of claim 5758, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally moving the stage while determining the at least four properties of the specimen.
  • 5762. The method of claim 5758, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising rotatably moving the stage while determining the at least four properties of the specimen.
  • 5763. The method of claim 5758, further comprising supporting the specimen on a stage, wherein the stage is coupled to at least one of the plurality of measurement devices, the method further comprising laterally and rotatably moving the stage during while determining the at least four properties of the specimen.
  • 5764. The method of claim 5758, wherein the plurality of measurement devices comprise a non-imaging scatterometer.
  • 5765. The method of claim 5758, wherein the plurality of measurement devices comprise a scatterometer.
  • 5766. The method of claim 5758, wherein the plurality of measurement devices comprise a spectroscopic scatterometer.
  • 5767. The method of claim 5758, wherein the plurality of measurement devices comprise a reflectometer.
  • 5768. The method of claim 5758, wherein the plurality of measurement devices comprise a spectroscopic reflectometer.
  • 5769. The method of claim 5758, wherein the plurality of measurement devices comprise an ellipsometer.
  • 5770. The method of claim 5758, wherein the plurality of measurement devices comprise a spectroscopic ellipsometer.
  • 5771. The method of claim 5758, wherein the plurality of measurement devices comprise a beam profile ellipsometer.
  • 5772. The method of claim 5758, wherein the plurality of measurement devices comprise a dual beam spectrophotometer.
  • 5773. The method of claim 5758, wherein the plurality of measurement devices comprise a bright field imaging device.
  • 5774. The method of claim 5758, wherein the plurality of measurement devices comprise a dark field imaging device.
  • 5775. The method of claim 5758, wherein the plurality of measurement devices comprise a bright field and dark field imaging device.
  • 5776. The method of claim 5758, wherein the plurality of measurement devices comprise a double dark field device.
  • 5777. The method of claim 5758, wherein the plurality of measurement devices comprise a bright field non-imaging device.
  • 5778. The method of claim 5758, wherein the plurality of measurement devices comprise a dark field non-imaging device.
  • 5779. The method of claim 5758, wherein the plurality of measurement devices comprise a bright field and dark field non-imaging device
  • 5780. The method of claim 5758, wherein the plurality of measurement devices comprise a coherence probe microscope.
  • 5781. The method of claim 5758, wherein the plurality of measurement devices comprise an interference microscope.
  • 5782. The method of claim 5758, wherein the plurality of measurement devices comprise an optical profilometer.
  • 5783. The method of claim 5758, wherein the plurality of measurement devices comprise a photo-acoustic device.
  • 5784. The method of claim 5758, wherein the plurality of measurement devices comprise an eddy current device.
  • 5785. The method of claim 5758, wherein the plurality of measurement devices comprise an X-ray reflectometer.
  • 5786. The method of claim 5758, wherein the plurality of measurement devices comprise a grazing X-ray reflectometer.
  • 5787. The method of claim 5758, wherein the plurality of measurement devices comprise an X-ray diffractometer.
  • 5788. The method of claim 5758, wherein the plurality of measurement devices comprises at least a first measurement device and a second measurement device, and wherein elements of the first measurement device comprise elements of the second measurement device.
  • 5789. The method of claim 5758, wherein the defects comprise macro defects.
  • 5790. The method of claim 5758, wherein the presence of defects on the specimen comprises a presence of defects on a bottom surface of the specimen.
  • 5791. The method of claim 5758, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property comprises a flatness measurement of the specimen.
  • 5792. The method of claim 5758, further comprising processing the one or more output signals to determine a fifth property of the specimen, wherein the fifth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 5793. The method of claim 5758, wherein processing the one or more output signals to determine the at least four properties of the specimen comprises substantially simultaneously determining the at least four properties of the specimen.
  • 5794. The method of claim 5758, further comprising generating the one or more output signals responsive to one or more of the at least four properties at multiple locations on the surface of the specimen substantially simultaneously such that the one or more properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5795. The method of claim 5758, further comprising comparing one or more of the at least four properties of the specimen and properties of a plurality of specimens.
  • 5796. The method of claim 5758, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties.
  • 5797. The method of claim 5758, further comprising comparing one or more of the at least four properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more properties of the specimen are outside of the predetermined range.
  • 5798. The method of claim 5758, further comprising altering a sampling frequency of at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen.
  • 5799. The method of claim 5758, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5800. The method of claim 5758, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5801. The method of claim 5758, further comprising generating a database, wherein the database comprises the at least four properties of the specimen.
  • 5802. The method of claim 5758, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5803. The method of claim 5758, further comprising generating a database, wherein the database comprises the at least four properties of the specimen, the method monitoring output signals generated by the plurality of measurement devices using the database.
  • 5804. The method of claim 5758, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems.
  • 5805. The method of claim 5758, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising calibrating the plurality of systems using the database.
  • 5806. The method of claim 5758, further comprising generating a database, wherein the database comprises the at least four properties of the specimen and the at least four properties of a plurality of specimens, and wherein the at least four properties of the plurality of specimens are generated using a plurality of systems, the method further comprising monitoring output signals generated by the plurality of systems using the database.
  • 5807. The method of claim 5758, where in a stand alone system is coupled to the plurality of measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices with the stand alone system.
  • 5808. The method of claim 5758, wherein a stand alone system is coupled to the plurality of measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the plurality of measurement devices and at least the one additional measurement device with the stand alone system.
  • 5809. The method of claim 5758, further comprising determining at least the four properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5810. The method of claim 5758, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen.
  • 5811. The method of claim 5758, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedback control technique.
  • 5812. The method of claim 5758, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least four properties of the specimen using a feedforward control technique.
  • 5813. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; two or more measurement devices coupled to the stage, wherein the two or more measurement devices are configured to generate one or more output signals in response to one or more of the at least two properties of the specimen during use; and a processor coupled to the two or more measurement devices, wherein the processor is configured to determine the at least two properties of the specimen from the one or more output signals during use, and wherein the at least two properties comprise a thickness of a structure on the specimen and at least one additional property of the specimen.
  • 5814. The system of claim 5813, wherein the stage is further configured to move laterally during use.
  • 5815. The system of claim 5813, wherein the stage is further configured to move rotatably during use.
  • 5816. The system of claim 5813, wherein the stage is further configured to move laterally and rotatably during use.
  • 5817. The system of claim 5813, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a grazing X-ray reflectometer.
  • 5818. The system of claim 5813, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • 5819. The system of claim 5813, further comprising a pattern recognition system coupled to the stage and the processor, wherein the pattern recognition system is configured to generate one or more output signals during use, and wherein the processor is further configured to process the one or more output signals from the pattern recognition system during use.
  • 5820. The system of claim 5813, wherein at least one element of a first of the two or more measurement devices comprises at least one element of a second of the two or more measurement devices.
  • 5821. The system of claim 5813, wherein the at least one additional property is selected from the group consisting of an index of refraction, a velocity of sound, a density, a critical dimension, and a profile of a layer or a feature formed on the specimen.
  • 5822. The system of claim 5813, wherein the structure comprises a single layer formed on the specimen.
  • 5823. The system of claim 5813, wherein the structure comprises a single layer formed on the specimen, and wherein the single layer is selected from the group consisting of a substantially transparent film, a semi-transparent film, and an opaque metal film.
  • 5824. The system of claim 5813, wherein the structure comprises multiple layers formed on the specimen.
  • 5825. The system of claim 5813, wherein the structure comprises multiple layers formed on the specimen, and wherein the multiple layers comprise two or more layers selected from the group consisting of a substantially transparent film, a semi-transparent film, an opaque metal film, and any combination thereof.
  • 5826. The system of claim 5813, wherein the specimen comprises a blanket wafer.
  • 5827. The system of claim 5813, wherein the specimen comprises a patterned wafer.
  • 5828. The system of claim 5813, further comprising a handling robot configured to dispose the specimen on the stage, wherein the handling robot is coupled to the two or more measurement devices.
  • 5829. The system of claim 5813, further comprising a power supply, wherein the power supply is coupled to the first measurement device and the second measurement device.
  • 5830. The system of claim 5813, further comprising an autofocus mechanism, wherein the autofocus mechanism is configured to bring a specimen substantially into focus for the two or more measurement devices.
  • 5831. The system of claim 5813, wherein the system is coupled to a chemical-mechanical polishing tool.
  • 5832. The system of claim 5813, wherein the system is further configured to determine the at least two properties of the specimen substantially simultaneously during use.
  • 5833. The system of claim 5813, wherein the two or more measurement devices are further configured to generate the one or more output signals in response to one or more of the at least two properties of the specimen at multiple locations on the surface of the specimen substantially simultaneously such that the one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5834. The system of claim 5813, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
  • 5835. The system of claim 5813, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
  • 5836. The system of claim 5813, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
  • 5837. The system of claim 5813, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen from the system to the process tool during use.
  • 5838. The system of claim 5813, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
  • 5839. The system of claim 5813, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
  • 5840. The system of claim 5813, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 5841. The system of claim 5813, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 5842. The system of claim 5813, wherein the system comprises a measurement chamber, wherein the stage and the two or more measurement devices are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 5843. The system of claim 5813, wherein the system comprises a measurement chamber, wherein the stage and the two or more measurement devices are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 5844. The system of claim 5813, wherein the system comprises a measurement chamber, wherein the stage and the two or more measurement devices are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 5845. The system of claim 5813, wherein the system comprises a measurement chamber, wherein the stage and the two or more measurement devices are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 5846. The system of claim 5813, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
  • 5847. The system of claim 5813, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, and wherein the processor is further configured to determine the at least two properties of the specimen during the process step.
  • 5848. The system of claim 5813, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5849. The system of claim 5813, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique during use.
  • 5850. The system of claim 5813, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 5851. The system of claim 5813, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the processor is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 5852. The system of claim 5813, wherein the processor is further configured to compare the at least two properties of the specimen and properties of a plurality of specimens during use.
  • 5853. The system of claim 5813, wherein the processor is further configured to compare one or more of the at least two properties of the specimen to a predetermined range for the one or more properties during use.
  • 5854. The system of claim 5813, wherein the processor is further configured to compare one or more of the at least two properties of the specimen to a predetermined range for the one or more properties during use, and wherein the processor is further configured to generate an output signal if the one or more properties of the specimen are outside of the predetermined range during use.
  • 5855. The system of claim 5813, wherein the processor is further configured to alter a sampling frequency of at least one of the two or more measurement devices in response to one or more of the at least two properties during use.
  • 5856. The system of claim 5813, wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties using a feedback control technique during use.
  • 5857. The system of claim 5813, wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties using a feedforward control technique during use.
  • 5858. The system of claim 5813, wherein the processor is further configured to generate a database during use, and wherein the database comprises the at least two properties of the specimen.
  • 5859. The system of claim 5813, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen, and wherein the processor is further configured to calibrate the two or more measurement devices using the database during use.
  • 5860. The system of claim 5813, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen, and wherein the processor is further configured to monitor output signals generated by the two or more measurement devices using the database during use.
  • 5861. The system of claim 5813, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
  • 5862. The system of claim 5813, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 5863. The system of claim 5813, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 5864. The system of claim 5813, further comprising a stand alone system coupled to the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 5865. The system of claim 5813, wherein the system is further configured to determine one or more of the at least two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to the one or more of the at least two properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more properties.
  • 5866. The system of claim 5813, wherein the processor is coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using a feedback control technique during use.
  • 5867. The system of claim 5813, wherein the processor is coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using a feedforward control technique during use.
  • 5868. The system of claim 5813, wherein the processor is coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 5869. The system of claim 5868, wherein the processor is further configured to determine a relationship between one or more of the at least two properties and at least one of the monitored parameters during use.
  • 5870. The system of claim 5869, wherein the processor is further configured to alter a parameter of the one or more instruments in response to the determined relationship during use.
  • 5871. The system of claim 5813, wherein the processor comprises a local processor coupled to the two or more measurement devices and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 5872. The system of claim 5871, wherein the local processor is further configured to determine the at least two properties of the specimen during use.
  • 5873. The system of claim 5871, wherein the remote controller computer is further configured to determine the at least two properties of the specimen during use.
  • 5874. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to two or more measurement devices; generating one or more output signals with the two or more measurement devices, wherein the one or more output signals are responsive to the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen, wherein the at least two properties of the specimen comprise a thickness of a structure on the specimen and at least one additional property of the specimen.
  • 5875. The method of claim 5874, further comprising laterally moving the stage while determining the at least two properties of the specimen.
  • 5876. The method of claim 5874, further comprising rotatably moving the stage while determining the at least two properties of the specimen.
  • 5877. The method of claim 5874, further comprising laterally and rotatably moving the stage while determining the at least two properties of the specimen.
  • 5878. The method of claim 5874, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a grazing X-ray reflectometer.
  • 5879. The method of claim 5874, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • 5880. The method of claim 5874, wherein the stage is further coupled to a pattern recognition system, the method further comprising generating one or more output signals with the pattern recognition system and processing the one or more output signals from the pattern recognition system.
  • 5881. The method of claim 5874, wherein at least one element of a first of the two measurement devices comprises at least one element of a second of the two measurement devices.
  • 5882. The method of claim 5874, wherein the at least one additional property is selected from the group consisting of an index of refraction, a velocity of sound, a density, a critical dimension, and a profile of a layer or a feature formed on the specimen.
  • 5883. The method of claim 5874, wherein the structure comprises a single layer formed on the specimen.
  • 5884. The method of claim 5874, wherein the structure comprises a single layer formed on the specimen, and wherein the single layer is selected from the group consisting of a substantially transparent film, a semi-transparent film, and an opaque metal film.
  • 5885. The method of claim 5874, wherein the structure comprises multiple layers formed on the specimen.
  • 5886. The method of claim 5874, wherein the structure comprises multiple layers formed on the specimen, and wherein the multiple layers comprise two or more layers selected from the group consisting of a substantially transparent film, a semi-transparent film, an opaque metal film, and any combination thereof.
  • 5887. The method of claim 5874, wherein the specimen comprises a blanket wafer.
  • 5888. The method of claim 5874, wherein the specimen comprises a patterned wafer.
  • 5889. The method of claim 5874, wherein disposing the specimen on the stage comprises disposing the specimen on the stage with a handling robot, wherein the handling robot is coupled to the two or more measurement devices.
  • 5890. The method of claim 5874, further comprising bringing the specimen substantially into focus for the two or more measurement devices with an autofocus mechanism.
  • 5891. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a chemical-mechanical polishing tool.
  • 5892. The method of claim 5874, wherein processing the one or more output signals to determine the at least two properties of the specimen comprises substantially simultaneously determining the at least two properties of the specimen.
  • 5893. The method of claim 5874, further comprising generating the one or more output signals responsive to one or more of the at least two properties of the specimen at multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5894. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are arranged laterally proximate to the process tool.
  • 5895. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are disposed within the process tool.
  • 5896. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 5897. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to generating the one or more output signals.
  • 5898. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 5899. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 5900. The method of claim 5874, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 5901. The method of claim 5874, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 5902. The method of claim 5874, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 5903. The method of claim 5874, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 5904. The method of claim 5874, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 5905. The method of claim 5874, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 5906. The method of claim 5874, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising determining the at least two properties of the specimen during the process step.
  • 5907. The method of claim 5874, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5908. The method of claim 5874, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising altering a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique.
  • 5909. The method of claim 5874, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 5910. The method of claim 5874, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool, the method further comprising determining the at least two properties of the specimen during said moving the specimen from the first process chamber to the second process chamber.
  • 5911. The method of claim 5874, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens.
  • 5912. The method of claim 5874, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties.
  • 5913. The method of claim 5874, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more of the at least two properties of the specimen are outside of the predetermined range.
  • 5914. The method of claim 5874, further comprising altering a sampling frequency of at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen.
  • 5915. The method of claim 5874, further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 5916. The method of claim 5874, further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 5917. The method of claim 5874, further comprising generating a database, wherein the database comprises the at least two properties of the specimen.
  • 5918. The method of claim 5874, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising calibrating the two or more measurement devices using the database.
  • 5919. The method of claim 5874, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising monitoring output signals generated by the two or more measurement devices using the database.
  • 5920. The method of claim 5874, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, and wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5921. The method of claim 5874, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, and wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 5922. The method of claim 5874, wherein a stand alone system is coupled to the two or more measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the two or more measurement devices with the stand alone system.
  • 5923. The method of claim 5874, wherein a stand alone system is coupled to the two or more measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the two or more measurement devices and at least the one additional measurement device with the stand alone system.
  • 5924. The method of claim 5874, further comprising determining one or more of the at least two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the one or more of the at least two properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more of the at least two properties.
  • 5925. The method of claim 5874, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 5926. The method of claim 5874, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 5927. The method of claim 5874, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 5928. The method of claim 5874, further comprising monitoring a parameter of one or more instruments coupled to a process tool and determining a relationship between one or more of the at least two properties and at least one of the monitored parameters.
  • 5929. The method of claim 5874, further comprising monitoring a parameter of one or more instruments coupled to a process tool, determining a relationship between one or more of the at least two properties and at least one of the monitored parameters, and altering the parameter of the one or more instruments in response to the relationship.
  • 5930. The method of claim 5874, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the two or more measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5931. The method of claim 5930, wherein at least partially processing the one or more output signals comprises determining the at least two properties of the specimen.
  • 5932. The method of claim 5930, wherein further processing the partially processed one or more output signals comprises determining the at least two properties of the specimen.
  • 5933. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a stage coupled to two or more measurement devices, and wherein the stage is configured to support the specimen during use, the method comprising: controlling the two or more measurement devices to generate one or more output signals responsive to the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen, wherein the at least two properties of the specimen comprise a thickness of a structure on the specimen and at least one additional property of the specimen.
  • 5934. The method of claim 5933, further comprising controlling the stage to move laterally while determining the at least two properties of the specimen.
  • 5935. The method of claim 5933, further comprising controlling the stage to move rotatably while determining the at least two properties of the specimen.
  • 5936. The method of claim 5933, further comprising controlling the stage to move laterally and rotatably while determining the at least two properties of the specimen.
  • 5937. The method of claim 5933, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a grazing X-ray reflectometer.
  • 5938. The method of claim 5933, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • 5939. The method of claim 5933, wherein the system further comprises a pattern recognition system, the method further comprising controlling the pattern recognition system to generate one or more output signals with the pattern recognition system and processing the one or more output signals from the pattern recognition system.
  • 5940. The method of claim 5933, wherein at least one element of a first of the two or more measurement devices comprises at least one element of a second of the two or more measurement devices.
  • 5941. The method of claim 5933, wherein the at least one additional property is selected from the group consisting of an index of refraction, a velocity of sound, a density, a critical dimension, and a profile of a layer or a feature formed on the specimen.
  • 5942. The method of claim 5933, wherein the structure comprises a single layer formed on the specimen.
  • 5943. The method of claim 5933, wherein the structure comprises a single layer formed on the specimen, and wherein the single layer is selected from the group consisting of a substantially transparent film, a semi-transparent film, and an opaque metal film.
  • 5944. The method of claim 5933, wherein the structure comprises multiple layers formed on the specimen.
  • 5945. The method of claim 5933, wherein the structure comprises multiple layers formed on the specimen, and wherein the multiple layers comprise two or more layers selected from the group consisting of a substantially transparent film, a semi-transparent film, an opaque metal film, and any combination thereof.
  • 5946. The method of claim 5933, wherein the specimen comprises a blanket wafer.
  • 5947. The method of claim 5933, wherein the specimen comprises a patterned wafer.
  • 5948. The method of claim 5933, further comprising controlling a handling robot to disposed the specimen on the specimen, wherein the handling robot is coupled to the two or more measurement devices.
  • 5949. The method of claim 5933, further comprising bringing the specimen substantially into focus for the two or more measurement devices with an autofocus mechanism.
  • 5950. The method of claim 5933, wherein the system is coupled to a chemical-mechanical polishing tool.
  • 5951. The method of claim 5933, wherein processing the one or more output signals to determine the at least two properties of the specimen comprises substantially simultaneously determining the at least two properties of the specimen.
  • 5952. The method of claim 5933, further comprising controlling the two or more measurement devices to generate the one or more output signals responsive to one or more of the at least two properties of the specimen at multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 5953. The method of claim 5933, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are arranged laterally proximate to the process tool.
  • 5954. The method of claim 5933, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are disposed within the process tool.
  • 5955. The method of claim 5933, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
  • 5956. The method of claim 5933, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
  • 5957. The method of claim 5933, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
  • 5958. The method of claim 5933, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 5959. The method of claim 5933, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 5960. The method of claim 5933, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 5961. The method of claim 5933, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 5962. The method of claim 5933, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 5963. The method of claim 5933, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 5964. The method of claim 5933, wherein the stage comprises a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 5965. The method of claim 5933, wherein the stage comprises a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising controlling the two or more measurement devices to generate the one or more output signals during the process step.
  • 5966. The method of claim 5933, wherein the stage comprises a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 5967. The method of claim 5933, wherein the stage comprises a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique.
  • 5968. The method of claim 5933, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 5969. The method of claim 5933, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool, the method further comprising controlling the two or more measurement devices to generate the one or more output signals during said moving the specimen from the first process chamber to the second process chamber.
  • 5970. The method of claim 5933, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens.
  • 5971. The method of claim 5933, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties.
  • 5972. The method of claim 5933, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more of the at least two properties of the specimen are outside of the predetermined range.
  • 5973. The method of claim 5933, further comprising altering a sampling frequency of at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen.
  • 5974. The method of claim 5933, further comprising altering a parameter of one or more instruments coupled to the at least one of the two or more measurement devices in response to one or more of the at least two properties using a feedback control technique.
  • 5975. The method of claim 5933, further comprising altering a parameter of one or more instruments coupled to the at least one of the two or more measurement devices in response to one or more of the at least two properties using a feedforward control technique.
  • 5976. The method of claim 5933, further comprising generating a database, wherein the database comprises the at least two properties of the specimen.
  • 5977. The method of claim 5933, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising calibrating the two or more measurement devices using the database.
  • 5978. The method of claim 5933, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising monitoring output signals of the two or more measurement devices using the database.
  • 5979. The method of claim 5933, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least the two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 5980. The method of claim 5933, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least the two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
  • 5981. The method of claim 5933, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 5982. The method of claim 5933, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
  • 5983. The method of claim 5933, wherein the system is further configured to determine one or more of the at least two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the one or more of the at least two properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more of the at least two properties.
  • 5984. The method of claim 5933, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 5985. The method of claim 5933, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 5986. The method of claim 5933, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 5987. The method of claim 5933, further comprising monitoring a parameter of one or more instruments coupled to the process tool and determining a relationship between one or more of the at least two properties of the specimen and at least one of the monitored parameters.
  • 5988. The method of claim 5933, further comprising monitoring a parameter of one or more instruments coupled to the process tool, determining a relationship between one or more of the at least two properties of the specimen and at least one of the monitored parameters, and altering the parameter of at least one of the instruments in response to the relationship.
  • 5989. The method of claim 5933, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the two or more measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 5990. The method of claim 5989, wherein at least partially processing the one or more output signals comprises determining the at least two properties of the specimen.
  • 5991. The method of claim 5989, wherein further processing the partially processed one or more output signals comprises determining the at least two properties of the specimen.
  • 5992. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to two or more measurement devices; generating one or more output signals with the two or more measurement devices, wherein the one or more output signals are responsive to the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen, wherein the at least two properties of the specimen comprise a thickness of a structure on the specimen and at least one additional property of the specimen.
  • 5993. The device of claim 5992, further comprising laterally moving the stage while determining the at least two properties of the specimen.
  • 5994. The device of claim 5992, further comprising rotatably moving the stage while determining the at least two properties of the specimen.
  • 5995. The device of claim 5992, further comprising laterally and rotatably moving the stage while determining the at least two properties of the specimen.
  • 5996. The device of claim 5992, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a grazing X-ray reflectometer.
  • 5997. The device of claim 5992, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • 5998. The device of claim 5992, wherein the stage is further coupled to a pattern recognition system, the method further comprising generating one or more output signals with the pattern recognition system and processing the one or more output signals from the pattern recognition system.
  • 5999. The device of claim 5992, wherein at least one element of a first of the two measurement devices comprises at least one element of a second of the two measurement devices.
  • 6000. The device of claim 5992, wherein the at least one additional property is selected from the group consisting of an index of refraction, a velocity of sound, a density, a critical dimension, and a profile of a layer or a feature formed on the specimen.
  • 6001. The device of claim 5992, wherein the structure comprises a single layer formed on the specimen.
  • 6002. The device of claim 5992, wherein the structure comprises a single layer formed on the specimen, and wherein the single layer is selected from the group consisting of a substantially transparent film, a semi-transparent film, and an opaque metal film.
  • 6003. The device of claim 5992, wherein the structure comprises multiple layers formed on the specimen.
  • 6004. The device of claim 5992, wherein the structure comprises multiple layers formed on the specimen, and wherein the multiple layers comprise two or more layers selected from the group consisting of a substantially transparent film, a semi-transparent film, an opaque metal film, and any combination thereof.
  • 6005. The device of claim 5992, wherein the specimen comprises a blanket wafer.
  • 6006. The device of claim 5992, wherein the specimen comprises a patterned wafer.
  • 6007. The device of claim 5992, wherein disposing the specimen on the stage comprises disposing the specimen on the stage with a handling robot, wherein the handling robot is coupled to the two or more measurement devices.
  • 6008. The device of claim 5992, further comprising bringing the specimen substantially into focus for the two or more measurement devices with an autofocus mechanism.
  • 6009. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a chemical-mechanical polishing tool.
  • 6010. The device of claim 5992, wherein processing the one or more output signals to determine the at least two properties of the specimen comprises substantially simultaneously determining the at least two properties of the specimen.
  • 6011. The device of claim 5992, further comprising generating the one or more output signals responsive to one or more of the at least two properties of the specimen at multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 6012. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are arranged laterally proximate to the process tool.
  • 6013. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are disposed within the process tool.
  • 6014. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 6015. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to generating the one or more output signals.
  • 6016. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 6017. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 6018. The device of claim 5992, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 6019. The device of claim 5992, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 6020. The device of claim 5992, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 6021. The device of claim 5992, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 6022. The device of claim 5992, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 6023. The device of claim 5992, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 6024. The device of claim 5992, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising determining the at least two properties of the specimen during the process step.
  • 6025. The device of claim 5992, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 6026. The device of claim 5992, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising altering a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique.
  • 6027. The device of claim 5992, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 6028. The device of claim 5992, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool, the method further comprising determining the at least two properties of the specimen during said moving the specimen from the first process chamber to the second process chamber.
  • 6029. The device of claim 5992, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens.
  • 6030. The device of claim 5992, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties.
  • 6031. The device of claim 5992, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more of the at least two properties of the specimen are outside of the predetermined range.
  • 6032. The device of claim 5992, further comprising altering a sampling frequency of at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen.
  • 6033. The device of claim 5992, further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 6034. The device of claim 5992, further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 6035. The device of claim 5992, further comprising generating a database, wherein the database comprises the at least two properties of the specimen.
  • 6036. The device of claim 5992, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising calibrating the two or more measurement devices using the database.
  • 6037. The device of claim 5992, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising monitoring output signals generated by the two or more measurement devices using the database.
  • 6038. The device of claim 5992, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, and wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 6039. The device of claim 5992, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, and wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 6040. The device of claim 5992, wherein a stand alone system is coupled to the two or more measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the two or more measurement devices with the stand alone system.
  • 6041. The device of claim 5992, wherein a stand alone system is coupled to the two or more measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the two or more measurement devices and at least the one additional measurement device with the stand alone system.
  • 6042. The device of claim 5992, further comprising determining one or more of the at least two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the one or more of the at least two properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more of the at least two properties.
  • 6043. The device of claim 5992, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 6044. The device of claim 5992, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 6045. The device of claim 5992, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 6046. The device of claim 5992, further comprising monitoring a parameter of one or more instruments coupled to a process tool and determining a relationship between one or more of the at least two properties and at least one of the monitored parameters.
  • 6047. The device of claim 5992, further comprising monitoring a parameter of one or more instruments coupled to a process tool, determining a relationship between one or more of the at least two properties and at least one of the monitored parameters, and altering the parameter of the one or more instruments in response to the relationship.
  • 6048. The device of claim 5992, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the two or more measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6049. The device of claim 6048, wherein at least partially processing the one or more output signals comprises determining the at least two properties of the specimen.
  • 6050. The device of claim 6048, wherein further processing the partially processed one or more output signals comprises determining the at least two properties of the specimen.
  • 6051. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to two or more measurement devices; generating one or more output signals with the two or more measurement devices, wherein the one or more output signals are responsive to the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen, wherein the at least two properties of the specimen comprise a thickness of a structure on the specimen and at least one additional property of the specimen.
  • 6052. The method of claim 6051, further comprising laterally moving the stage while determining the at least two properties of the specimen.
  • 6053. The method of claim 6051, further comprising rotatably moving the stage while determining the at least two properties of the specimen.
  • 6054. The method of claim 6051, further comprising laterally and rotatably moving the stage while determining the at least two properties of the specimen.
  • 6055. The method of claim 6051, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a grazing X-ray reflectometer.
  • 6056. The method of claim 6051, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • 6057. The method of claim 6051, wherein the stage is further coupled to a pattern recognition system, the method further comprising generating one or more output signals with the pattern recognition system and processing the one or more output signals from the pattern recognition system.
  • 6058. The method of claim 6051, wherein at least one element of a first of the two measurement devices comprises at least one element of a second of the two measurement devices.
  • 6059. The method of claim 6051, wherein the at least one additional property is selected from the group consisting of an index of refraction, a velocity of sound, a density, a critical dimension, and a profile of a layer or a feature formed on the specimen.
  • 6060. The method of claim 6051, wherein the structure comprises a single layer formed on the specimen.
  • 6061. The method of claim 6051, wherein the structure comprises a single layer formed on the specimen, and wherein the single layer is selected from the group consisting of a substantially transparent film, a semi-transparent film, and an opaque metal film.
  • 6062. The method of claim 6051, wherein the structure comprises multiple layers formed on the specimen.
  • 6063. The method of claim 6051, wherein the structure comprises multiple layers formed on the specimen, and wherein the multiple layers comprise two or more layers selected from the group consisting of a substantially transparent film, a semi-transparent film, an opaque metal film, and any combination thereof.
  • 6064. The method of claim 6051, wherein the specimen comprises a blanket wafer.
  • 6065. The method of claim 6051, wherein the specimen comprises a patterned wafer.
  • 6066. The method of claim 6051, wherein disposing the specimen on the stage comprises disposing the specimen on the stage with a handling robot, wherein the handling robot is coupled to the two or more measurement devices.
  • 6067. The method of claim 6051, further comprising bringing the specimen substantially into focus for the two or more measurement devices with an autofocus mechanism.
  • 6068. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a chemical-mechanical polishing tool.
  • 6069. The method of claim 6051, wherein processing the one or more output signals to determine the at least two properties of the specimen comprises substantially simultaneously determining the at least two properties of the specimen.
  • 6070. The method of claim 6051, further comprising generating the one or more output signals responsive to one or more of the at least two properties of the specimen at multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 6071. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are arranged laterally proximate to the process tool.
  • 6072. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a process tool, and wherein the stage and the two or more measurement devices are disposed within the process tool.
  • 6073. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
  • 6074. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to generating the one or more output signals.
  • 6075. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
  • 6076. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
  • 6077. The method of claim 6051, wherein the stage and the two or more measurement devices are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
  • 6078. The method of claim 6051, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
  • 6079. The method of claim 6051, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
  • 6080. The method of claim 6051, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
  • 6081. The method of claim 6051, wherein the stage and the two or more measurement devices are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
  • 6082. The method of claim 6051, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
  • 6083. The method of claim 6051, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising determining the at least two properties of the specimen during the process step.
  • 6084. The method of claim 6051, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 6085. The method of claim 6051, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising altering a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique.
  • 6086. The method of claim 6051, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
  • 6087. The method of claim 6051, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool, the method further comprising determining the at least two properties of the specimen during said moving the specimen from the first process chamber to the second process chamber.
  • 6088. The method of claim 6051, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens.
  • 6089. The method of claim 6051, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties.
  • 6090. The method of claim 6051, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties and generating an output signal if the one or more of the at least two properties of the specimen are outside of the predetermined range.
  • 6091. The method of claim 6051, further comprising altering a sampling frequency of at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen.
  • 6092. The method of claim 6051, further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 6093. The method of claim 6051, further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 6094. The method of claim 6051, further comprising generating a database, wherein the database comprises the at least two properties of the specimen.
  • 6095. The method of claim 6051, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising calibrating the two or more measurement devices using the database.
  • 6096. The method of claim 6051, further comprising generating a database, wherein the database comprises the at least two properties of the specimen, the method further comprising monitoring output signals generated by the two or more measurement devices using the database.
  • 6097. The method of claim 6051, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, and wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
  • 6098. The method of claim 6051, further comprising generating a database, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, and wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
  • 6099. The method of claim 6051, wherein a stand alone system is coupled to the two or more measurement devices, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the two or more measurement devices with the stand alone system.
  • 6100. The method of claim 6051, wherein a stand alone system is coupled to the two or more measurement devices and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the two or more measurement devices and at least the one additional measurement device with the stand alone system.
  • 6101. The method of claim 6051, further comprising determining one or more of the at least two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to the one or more of the at least two properties of the specimen at the more than one position on the specimen to reduce within wafer variation of the one or more of the at least two properties.
  • 6102. The method of claim 6051, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 6103. The method of claim 6051, further comprising altering a parameter of one or more instruments coupled to a process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 6104. The method of claim 6051, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
  • 6105. The method of claim 6051, further comprising monitoring a parameter of one or more instruments coupled to a process tool and determining a relationship between one or more of the at least two properties and at least one of the monitored parameters.
  • 6106. The method of claim 6051, further comprising monitoring a parameter of one or more instruments coupled to a process tool, determining a relationship between one or more of the at least two properties and at least one of the monitored parameters, and altering the parameter of the one or more instruments in response to the relationship.
  • 6107. The method of claim 6051, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the two or more measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6108. The method of claim 6107, wherein at least partially processing the one or more output signals comprises determining the at least two properties of the specimen.
  • 6109. The method of claim 6107, wherein further processing the partially processed one or more output signals comprises determining the at least two properties of the specimen.
  • 6110. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; two or more measurement devices coupled to the stage, wherein the two or more measurement devices are configured to generate one or more output signals in response to one or more of the at least two properties of the specimen during use; a local processor coupled to the two or more measurement devices and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine the at least two properties of the specimen from the at least partially processed one or more output signals during use, and wherein the at least two properties comprise a thickness of a structure on the specimen and at least one additional property of the specimen.
  • 6111. The system of claim 6110, wherein the stage is further configured to move laterally during use.
  • 6112. The system of claim 6110, wherein the stage is further configured to move rotatably during use.
  • 6113. The system of claim 6110, wherein the stage is further configured to move laterally and rotatably during use.
  • 6114. The system of claim 6110, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a grazing X-ray reflectometer.
  • 6115. The system of claim 6110, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • 6116. The system of claim 6110, further comprising a pattern recognition system coupled to the stage and the local processor, wherein the pattern recognition system is configured to generate one or more output signals during use, and wherein the remote controller computer is further configured to process the one or more output signals from the pattern recognition system during use.
  • 6117. The system of claim 6110, wherein at least one element of a first of the two or more measurement devices comprises at least one element of a second of the two or more measurement devices.
  • 6118. The system of claim 6110, wherein the at least one additional property is selected from the group consisting of an index of refraction, a velocity of sound, a density, a critical dimension, and a profile of a layer or a feature formed on the specimen.
  • 6119. The system of claim 6110, wherein the structure comprises a single layer formed on the specimen.
  • 6120. The system of claim 6110, wherein the structure comprises a single layer formed on the specimen, and wherein the single layer is selected from the group consisting of a substantially transparent film, a semi-transparent film, and an opaque metal film.
  • 6121. The system of claim 6110, wherein the structure comprises multiple layers formed on the specimen.
  • 6122. The system of claim 6110, wherein the structure comprises multiple layers formed on the specimen, and wherein the multiple layers comprise two or more layers selected from the group consisting of a substantially transparent film, a semi-transparent film, an opaque metal film, and any combination thereof.
  • 6123. The system of claim 6110, wherein the specimen comprises a blanket wafer.
  • 6124. The system of claim 6110, wherein the specimen comprises a patterned wafer.
  • 6125. The system of claim 6110, further comprising a handling robot configured to dispose the specimen on the stage, wherein the handling robot is coupled to the two or more measurement devices.
  • 6126. The system of claim 6110, further comprising a power supply, wherein the power supply is coupled to the two measurement devices.
  • 6127. The system of claim 6110, further comprising an autofocus mechanism, wherein the autofocus mechanism is configured to bring a specimen substantially into focus for the two or more measurement devices.
  • 6128. The system of claim 6110, wherein the system is coupled to a chemical-mechanical polishing tool.
  • 6129. The system of claim 6110, wherein the system is further configured to determine the at least two properties of the specimen substantially simultaneously during use.
  • 6130. The system of claim 6110, wherein the two or more measurement devices are further configured to generate the one or more output signals in response to one or more of the at least two properties of the specimen at multiple locations on the surface of the specimen substantially simultaneously such that the one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 6131. The system of claim 6110, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties of the specimen using a feedback control technique during use.
  • 6132. The system of claim 6110, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties of the specimen using a feedforward control technique during use.
  • 6133. The system of claim 6110, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
  • 6134. The system of claim 6110, wherein the remote controller computer is coupled to a process tool, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, and wherein the remote controller computer is further configured to determine a relationship between one or more of the at least two properties and at least one of the monitored parameters during use.
  • 6135. The system of claim 6110, wherein the remote controller computer is coupled to a process tool, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, wherein the remote controller computer is further configured to determine a relationship between one or more of the at least two properties and at least one of the monitored parameters during use, and wherein the remote controller computer is further configured to alter the parameter of at least one of the instruments in response to the relationship during use.
  • 6136. The system of claim 6110, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, and wherein the two or more measurement devices are configured to generate the one or more output signals during the process step.
  • 6137. The system of claim 6110, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, wherein the two or more measurement devices are configured to generate the one or more output signals during the process step, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
  • 6138. The system of claim 6110, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, wherein the stage is further configured to support the specimen during a process step, wherein the two or more measurement devices are configured to generate the one or more output signals during the process step, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to one or more of the at least two properties using an in situ control technique during use.
  • 6139. The system of claim 6110, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
  • 6140. The system of claim 6110, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the system is further configured to determine one or more of the at least two properties of the specimen during said moving.
  • 6141. The system of claim 6110, wherein the remote controller computer is further configured to compare one or more of the at least two properties of the specimen and properties of a plurality of specimens during use.
  • 6142. The system of claim 6110, wherein the remote controller computer is further configured to compare one or more of the at least two properties of the specimen to a predetermined range for the one or more properties during use.
  • 6143. The system of claim 6110, wherein the remote controller computer is further configured to compare one or more of the at least two properties of the specimen to a predetermined range for the one or more properties during use, wherein the remote controller computer is further configured to generate an output signal if one or more of the at least two properties of the specimen are outside of the predetermined range during use.
  • 6144. The system of claim 6110, wherein the remote controller computer is further configured to alter a sampling frequency of at least one of the two or more measurement devices in response to one or more of the at least two properties of the specimen during use.
  • 6145. The system of claim 6110, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties using a feedback control technique during use.
  • 6146. The system of claim 6110, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the two or more measurement devices in response to one or more of the at least two properties using a feedforward control technique during use.
  • 6147. The system of claim 6110, wherein the remote controller computer is further configured to generate a database during use, and wherein the database comprises the at least two properties of the specimen.
  • 6148. The system of claim 6110, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen, and wherein the remote controller computer is further configured to calibrate the two or more measurement devices using the database during use.
  • 6149. The system of claim 6110, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by the two or more measurement devices using the database during use.
  • 6150. The system of claim 6110, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
  • 6151. The system of claim 6110, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least two properties of the specimen and at least two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
  • 6152. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to two or more measurement devices; generating one or more output signals with the two or more measurement devices, wherein the one or more output signals are responsive to the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen, wherein the at least two properties of the specimen comprise a thickness of a structure on the specimen and at least one additional property of the specimen, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the two or more measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6153. The method of claim 6152, further comprising laterally moving the stage while determining the at least two properties of the specimen.
  • 6154. The method of claim 6152, further comprising rotatably moving the stage while determining the at least two properties of the specimen.
  • 6155. The method of claim 6152, further comprising laterally and rotatably moving the stage while determining the at least two properties of the specimen.
  • 6156. The method of claim 6152, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a grazing X-ray reflectometer.
  • 6157. The method of claim 6152, wherein the two or more measurement devices comprise a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • 6158. The method of claim 6152, wherein the stage is further coupled to a pattern recognition system, the method further comprising generating one or more output signals with the pattern recognition system and processing the one or more output signals from the pattern recognition system.
  • 6159. The method of claim 6152, wherein at least one element of a first of the two or more measurement devices comprises at least one element of a second of the two or more measurement devices.
  • 6160. The method of claim 6152, wherein the at least one additional property is selected from the group consisting of an index of refraction, a velocity of sound, a density, a critical dimension, and a profile of a layer or a feature formed on the specimen.
  • 6161. The method of claim 6152, wherein the structure comprises a single layer formed on the specimen.
  • 6162. The method of claim 6152, wherein the structure comprises a single layer formed on the specimen, and wherein the single layer is selected from the group consisting of a substantially transparent film, a semi-transparent film, and an opaque metal film.
  • 6163. The method of claim 6152, wherein the structure comprises multiple layers formed on the specimen.
  • 6164. The method of claim 6152, wherein the structure comprises multiple layers formed on the specimen, and wherein the multiple layers comprise two or more layers selected from the group consisting of a substantially transparent film, a semi-transparent film, an opaque metal film, and any combination thereof.
  • 6165. The method of claim 6152, wherein the specimen comprises a blanket wafer.
  • 6166. The method of claim 6152, wherein the specimen comprises a patterned wafer.
  • 6167. The method of claim 6152, wherein disposing the specimen on the stage comprises disposing the specimen on the stage with a handling robot, wherein the handling robot is coupled to the two or more measurement devices.
  • 6168. The method of claim 6152, further comprising bringing the specimen substantially into focus for the two or more measurement devices with an autofocus mechanism.
  • 6169. The method of claim 6152, wherein the stage and the two or more measurement devices are coupled to a chemical-mechanical polishing tool.
  • 6170. The method of claim 6152, further comprising generating the one or more output signals responsive to one or more of the at least two properties of the specimen at multiple locations on a surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
  • 6171. The method of claim 6152, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 6172. The method of claim 6152, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 6173. The method of claim 6152, wherein the remote controller computer is further coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
  • 6174. The method of claim 6152, wherein the remote controller computer is further coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer and determining a relationship between one or more of the at least two properties and at least one of the monitored parameters using the remote controller computer.
  • 6175. The method of claim 6152, wherein the remote controller computer is further coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer, determining a relationship between one or more of the at least two properties and at least one of the monitored parameters using the remote controller computer, and altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
  • 6176. The method of claim 6152, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising determining the at least two properties of the specimen during the process step.
  • 6177. The method of claim 6152, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
  • 6178. The method of claim 6152, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to one or more of the at least two properties using an in situ control technique.
  • 6179. The method of claim 6152, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool, the method further comprising determining the at least two properties of the specimen during said detecting during said moving the specimen.
  • 6180. The method of claim 6152, further comprising comparing one or more of the at least two properties of the specimen and properties of a plurality of specimens using the remote controller computer.
  • 6181. The method of claim 6152, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties using the remote controller computer.
  • 6182. The method of claim 6152, further comprising comparing one or more of the at least two properties of the specimen to a predetermined range for the one or more properties using the remote controller computer and generating an output signal using the remote controller computer if the one or more of the at least two properties of the specimen are outside of the predetermined range for the one or more properties.
  • 6183. The method of claim 6152, wherein the remote controller computer is coupled to the two or more measurement devices, the method further comprising altering a sampling frequency of at least one of the two or more measurement devices using the remote controller computer in response to one or more of the at least two properties of the specimen.
  • 6184. The method of claim 6152, wherein the remote controller computer is coupled to the two or more measurement devices, the method further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices using the remote controller computer in response to one or more of the at least two properties of the specimen using a feedback control technique.
  • 6185. The method of claim 6152, wherein the remote controller computer is coupled to the two or more measurement devices, the method further comprising altering a parameter of one or more instruments coupled to at least one of the two or more measurement devices using the remote controller computer in response to one or more of the at least two properties of the specimen using a feedforward control technique.
  • 6186. The method of claim 6152, further comprising generating a database using the remote controller computer, wherein the database comprises the at least two properties of the specimen.
  • 6187. The method of claim 6152, further comprising generating a database using the remote controller computer, wherein the database comprises the at least two properties of the specimen, the method further comprising calibrating the two or more measurement devices using the database and the remote controller computer.
  • 6188. The method of claim 6152, further comprising generating a database using the remote controller computer, wherein the database comprises the at least two properties of the specimen, the method further comprising monitoring output signals of the two or more measurement devices using the remote controller computer.
  • 6189. The method of claim 6152, further comprising generating a database using the remote controller computer, wherein the database comprises the at least two properties of the specimen at least two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
  • 6190. The method of claim 6152, further comprising generating a database using the remote controller computer, wherein the database comprises the at least two properties of the specimen at least two properties of a plurality of specimens, wherein the at least two properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
  • 6191. The method of claim 6152, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to at least one of a plurality of measurement devices.
  • 6192. A system configured to determine at least one property of a specimen during use, comprising: a lithography track configured to perform one or more steps of a lithography process on the specimen during use; a spectroscopic ellipsometer coupled to the lithography track, wherein the spectroscopic ellipsometer is configured to generate one or more output signals responsive to the at least one property of the specimen during use; and a processor coupled to the spectroscopic ellipsometer, wherein the processor is configured to determine the at least one property of the specimen from the one or more output signals during use.
  • 6193. The system of claim 6192, further comprising a stage coupled to the spectroscopic ellipsometer, wherein the stage is configured to move laterally during use.
  • 6194. The system of claim 6192, further comprising a stage coupled to the spectroscopic ellipsometer, wherein the stage is configured to move rotatably during use.
  • 6195. The system of claim 6192, further comprising a stage coupled to the spectroscopic ellipsometer, wherein the stage is configured to move laterally and rotatably during use.
  • 6196. The system of claim 6192, wherein the at least one property is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a profile of a structure on the specimen.
  • 6197. The system of claim 6192, further comprising an additional measurement device coupled to the lithography track, wherein the processor is further coupled to the additional measurement device, and wherein the processor is further configured to determine an additional property of the specimen from one or more output signals generated by the additional measurement device.
  • 6198. The system of claim 6192, wherein the processor is further configured to determine an additional property of the specimen from the one or more output signals during use, and wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
  • 6199. The system of claim 6192, wherein the processor is further configured to determine a presence of defects on the specimen from the one or more output signals during use.
  • 6200. The system of claim 6192, wherein the processor is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 6201. The system of claim 6192, wherein the spectroscopic ellipsometer is further configured to image at least an area of the specimen onto a one-dimensional detector such that at least the one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6202. The system of claim 6192, wherein the spectroscopic ellipsometer is further configured to image at least an area of the specimen onto a two-dimensional detector such that at least the one property of the specimen can be determined at multiple locations substantially simultaneously.
  • 6203. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track.
  • 6204. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a resist apply process performed in the process chamber.
  • 6205. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a post apply bake process performed in the process chamber.
  • 6206. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a chill process performed in the process chamber.
  • 6207. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a process step performed in the process chamber, and wherein the process step is performed subsequent to a develop process step.
  • 6208. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals prior to an exposure step of the lithography process.
  • 6209. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals subsequent to an exposure step of the lithography process, and wherein the at least one property of the specimen comprises at least one property of a latent image formed on the specimen by the exposure step.
  • 6210. The system of claim 6192, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track.
  • 6211. The system of claim 6192, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track, and wherein the lithography track comprises a wafer handler configured to move the specimen to a stage coupled to the spectroscopic ellipsometer during use.
  • 6212. The system of claim 6192, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track, and wherein a stage coupled to the spectroscopic ellipsometer is configured to move the specimen from the spectroscopic ellipsometer to the lithography track during use.
  • 6213. The system of claim 6192, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track, and wherein a stage coupled to the spectroscopic ellipsometer is configured to move the specimen to the process chamber of the lithography track during use.
  • 6214. The system of claim 6192, wherein the system is further configured to determine at least the one property of the specimen while the specimen is waiting between the one or more steps of the lithography process.
  • 6215. The system of claim 6192, wherein the lithography track comprises a support device configured to support the specimen during at least one of the one or more process steps, and wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6216. The system of claim 6192, wherein the lithography track comprises a support device configured to support the specimen during at least one of the one or more process steps, and wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6217. The system of claim 6192, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the lithography track.
  • 6218. The system of claim 6192, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the lithography track.
  • 6219. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, and wherein the stage is configured to support the specimen during at least one of the one or more process steps.
  • 6220. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, and wherein the processor is further configured to determine at least the one property of the specimen during at least one of the one or more process steps.
  • 6221. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, wherein the processor is further configured to obtain a signature characterizing at least one of the one or more process steps during use, and wherein the signature comprises at least one singularity representative of an end of the at least one of the one or more process steps.
  • 6222. The system of claim 6192, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, wherein the processor is further coupled to the lithography track, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the lithography track in response to the at least one property using an in situ control technique during use.
  • 6223. The system of claim 6192, wherein the lithography track comprises a first process chamber and a second process chamber, wherein a stage coupled to the spectroscopic ellipsometer is configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 6224. The system of claim 6223, wherein the first process chamber is configured to chill the specimen during use, and wherein the second process chamber is configured to apply resist to the specimen during use.
  • 6225. The system of claim 6223, wherein the first process chamber is configured to chill the specimen subsequent to a post apply bake process step during use, and wherein the second process chamber is configured to expose the specimen during use.
  • 6226. The system of claim 6223, wherein the first process chamber is configured to expose the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to exposure of the specimen during use.
  • 6227. The system of claim 6223, wherein the first process chamber is configured to chill the specimen subsequent to a post exposure bake process step during use, and wherein the second process chamber is configured to develop the specimen during use.
  • 6228. The system of claim 6223, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to a develop process step during use.
  • 6229. The system of claim 6223, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to receive the specimen in a wafer cassette during use.
  • 6230. The system of claim 6192, wherein the processor is further configured to compare the at least one property of the specimen and properties of a plurality of specimens during use.
  • 6231. The system of claim 6192, wherein the processor is further configured to compare the at least one property of the specimen to a predetermined range for the at least one property during use.
  • 6232. The system of claim 6192, wherein the processor is further configured to compare the at least one property of the specimen to a predetermined range for the at least one property during use, and wherein the processor is further configured to generate an output signal if the at least one property is outside of the predetermined range for the at least one property during use.
  • 6233. The system of claim 6192, wherein the processor is further configured to alter a sampling frequency of the spectroscopic ellipsometer in response to the at least one property during use.
  • 6234. The system of claim 6192, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property using a feedback control technique during use.
  • 6235. The system of claim 6192, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property using a feedforward control technique during use.
  • 6236. The system of claim 6192, wherein the processor is further configured to generate a database during use, and wherein the database comprises the at least one property of the specimen.
  • 6237. The system of claim 6192, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen, and wherein the processor is further configured to calibrate the spectroscopic ellipsometer using the database during use.
  • 6238. The system of claim 6192, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen, and wherein the processor is further configured to monitor output signals generated by the spectroscopic ellipsometer using the database during use.
  • 6239. The system of claim 6192, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are determined using a plurality of spectroscopic ellipsometers, wherein the processor is further coupled to the plurality of spectroscopic ellipsometers, and wherein the processor is further configured to calibrate the plurality of spectroscopic ellipsometers using the database during use.
  • 6240. The system of claim 6192, wherein the processor is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are determined using a plurality of spectroscopic ellipsometers, wherein the processor is further coupled to the plurality of spectroscopic ellipsometers, and wherein the processor is further configured to monitor output signals generated by the plurality of spectroscopic ellipsometers using the database during use.
  • 6241. The system of claim 6192, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 6242. The system of claim 6192, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 6243. The system of claim 6192, wherein the system is further configured to determine the at one property of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is further configured to alter at least one parameter of one or more instruments coupled to the lithography track in response to the at least one property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one property.
  • 6244. The system of claim 6192, wherein the processor is further coupled to the lithography track, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedback control technique during use.
  • 6245. The system of claim 6192, wherein the processor is further coupled to the lithography track, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedforward control technique during use.
  • 6246. The system of claim 6192, wherein the processor is further coupled to the lithography track, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the lithography track during use.
  • 6247. The system of claim 6192, wherein the processor is further coupled to the lithography track, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the lithography track during use, and wherein the processor is further configured to determine a relationship between the at least one property and at least one of the monitored parameters during use.
  • 6248. The system of claim 6192, wherein the processor is further coupled to the lithography track, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the lithography track during use, wherein the processor is further configured to determine a relationship between the at least one property and at least one of the monitored parameters during use, and wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 6249. The system of claim 6192, wherein the processor comprises a local processor coupled to the spectroscopic ellipsometer and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
  • 6250. The system of claim 6249, wherein the local processor is further configured to determine the at least one property during use.
  • 6251. The system of claim 6249, wherein the remote controller computer is further configured to determine the property during use.
  • 6252. A method for determining at least one property of a specimen, comprising: processing the specimen with one or more steps of a lithography process in a lithography track; generating one or more output signals responsive to the at least one property of the specimen with a spectroscopic ellipsometer, wherein the spectroscopic ellipsometer is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6253. The method of claim 6252, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally moving the stage while determining the at least one property of the specimen.
  • 6254. The method of claim 6252, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising rotatably moving the stage while determining the at least one property of the specimen.
  • 6255. The method of claim 6252, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally and rotatably moving the stage while determining the at least one property of the specimen.
  • 6256. The method of claim 6252, wherein the at least one property is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a profile of a structure of the specimen.
  • 6257. The method of claim 6252, comprising processing one or more output signals generated by an additional measurement device coupled to the lithography track to determine an additional property of the specimen.
  • 6258. The method of claim 6252, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 6259. The method of claim 6252, further comprising processing the one or more output signals to determine a presence of defects on the specimen.
  • 6260. The method of claim 6252, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 6261. The method of claim 6252, further comprising imaging at least an area of the specimen onto a one-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6262. The method of claim 6252, further comprising imaging at least an area of the specimen onto a two-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6263. The method of claim 6252, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track.
  • 6264. The method of claim 6252, further comprising generating the one or more output signals during a resist apply process performed in a process chamber of the lithography track.
  • 6265. The method of claim 6252, further comprising generating the one or more output signals during a post apply bake process performed in a process chamber of the lithography track.
  • 6266. The method of claim 6252, further comprising generating the one or more output signals during a chill process performed in a process chamber of the lithography track.
  • 6267. The method of claim 6252, further comprising generating the one or more output signals during a process step performed in a process chamber of the lithography track, wherein the process step is performed subsequent to a develop process step of the lithography process.
  • 6268. The method of claim 6252, further comprising generating the one or more output signals prior to an exposure step of the lithography process.
  • 6269. The method of claim 6252, further comprising generating the one or more output signals subsequent to an exposure step of the lithography process, wherein the at least one property of the specimen comprises at least one property of a latent image formed on the specimen by the exposure step.
  • 6270. The method of claim 6252, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track.
  • 6271. The method of claim 6252, further comprising moving the specimen to a stage coupled to the spectroscopic ellipsometer with a wafer handler of the lithography track.
  • 6272. The method of claim 6252, further comprising moving the specimen from the spectroscopic ellipsometer to the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6273. The method of claim 6252, further comprising moving the specimen to a process chamber of the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6274. The method of claim 6252, further comprising determining at least the one property of the specimen while the specimen is waiting between process steps.
  • 6275. The method of claim 6252, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6276. The method of claim 6252, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6277. The method of claim 6252, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the lithography track.
  • 6278. The method of claim 6252, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the lithography track.
  • 6279. The method of claim 6252, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a stage coupled to the spectroscopic ellipsometer.
  • 6280. The method of claim 6252, wherein processing the one or more output signals comprises determining the at least one property of the specimen during at least one of the one or more steps of the lithography process.
  • 6281. The method of claim 6252, further comprising obtaining a signature characterizing at least one of the one or more steps of the lithography process, wherein the signature comprises at least one singularity representative of an end of the at least one step.
  • 6282. The method of claim 6252, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using an in situ control technique.
  • 6283. The method of claim 6252, wherein the lithography track comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the spectroscopic ellipsometer and generating the one or more output signals as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 6284. The method of claim 6283, further comprising chilling the specimen in the first process chamber and applying resist to the specimen in the second process chamber.
  • 6285. The method of claim 6283, further comprising chilling the specimen in the first process chamber subsequent to a post apply bake process and exposing the specimen in the second process chamber.
  • 6286. The method of claim 6283, further comprising exposing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6287. The method of claim 6283, further comprising chilling the specimen in the first process chamber subsequent to a post exposure bake process and developing the specimen in the second process chamber.
  • 6288. The method of claim 6283, further comprising developing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6289. The method of claim 6283, further comprising developing the specimen in the first process chamber and receiving the specimen in a wafer cassette in the second process chamber.
  • 6290. The method of claim 6252, further comprising comparing the at least one property of the specimen and properties of a plurality of specimens.
  • 6291. The method of claim 6252, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property.
  • 6292. The method of claim 6252, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property and generating an output signal if the at least one property is outside of the predetermined range.
  • 6293. The method of claim 6252, further comprising altering a sampling frequency of the spectroscopic ellipsometer in response to the at least one property of the specimen.
  • 6294. The method of claim 6252, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedback control technique.
  • 6295. The method of claim 6252, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedforward control technique.
  • 6296. The method of claim 6252, further comprising generating a database, wherein the database comprises the at least one property of the specimen.
  • 6297. The method of claim 6252, further comprising generating a database comprising the at least one property of the specimen and calibrating the spectroscopic ellipsometer using the database.
  • 6298. The method of claim 6252, further comprising generating a database comprising the at least one property of the specimen and monitoring output signals generated by the spectroscopic ellipsometer using the database.
  • 6299. The method of claim 6252, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising calibrating the plurality of spectroscopic ellipsometers using the database.
  • 6300. The method of claim 6252, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising monitoring output signals generated by the plurality of spectroscopic ellipsometers using the database.
  • 6301. The method of claim 6252, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer with the stand alone system.
  • 6302. The method of claim 6252, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer and at least one additional measurement device with the stand alone system.
  • 6303. The method of claim 6252, further comprising determining the at least one property of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to the lithography track in response to the at least one property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one property.
  • 6304. The method of claim 6252, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedback control technique.
  • 6305. The method of claim 6252, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedforward control technique.
  • 6306. The method of claim 6252, further comprising monitoring a parameter of one or more instruments coupled to the lithography track.
  • 6307. The method of claim 6252, further comprising monitoring a parameter of one or more instruments coupled to the lithography track and determining a relationship between the at least one property and at least one of the monitored parameters.
  • 6308. The method of claim 6252, further comprising monitoring a parameter of one or more instruments coupled to the lithography track, determining a relationship between the at least one property and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 6309. The method of claim 6252, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the spectroscopic ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6310. The method of claim 6309, wherein at least partially processing the one or more output signals comprises determining the at least one property.
  • 6311. The method of claim 6309, wherein further processing the partially processed one or more output signals comprises determining the at least one property.
  • 6312. A computer-implemented method for controlling a system configured to determine at least one property of a specimen during use, wherein the system comprises a spectroscopic ellipsometer, the method comprising: controlling the spectroscopic ellipsometer to generate one or more output signals responsive to the at least one property of the specimen, wherein the spectroscopic ellipsometer is coupled to a lithography track, and wherein the lithography track is configured to perform one or more steps of a lithography process on the specimen during use; processing the one or more output signals to determine the at least one property of the specimen.
  • 6313. The method of claim 6312, further comprising supporting the specimen with a stage coupled to the spectroscopic ellipsometer and controlling the stage to move laterally while controlling the spectroscopic ellipsometer.
  • 6314. The method of claim 6312, further comprising supporting the specimen with a stage coupled to the spectroscopic ellipsometer and controlling the stage to move rotatably while controlling the spectroscopic ellipsometer.
  • 6315. The method of claim 6312, further comprising supporting the specimen with a stage coupled to the spectroscopic ellipsometer and controlling the stage to move laterally and rotatably while controlling the spectroscopic ellipsometer.
  • 6316. The method of claim 6312, wherein the at least one property is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a profile of a structure on the specimen.
  • 6317. The method of claim 6312, wherein the system further comprises an additional measurement device coupled to the lithography track, the method further comprising processing one or more output signals generated by the additional measurement device to determine an additional property of the specimen.
  • 6318. The method of claim 6312, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 6319. The method of claim 6312, further comprising processing the one or more output signals to determine a presence of defects on the specimen.
  • 6320. The method of claim 6312, wherein processing the one or more output signals comprises substantially simultaneously determining at least two properties of the specimen.
  • 6321. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to image at least an area of the specimen onto a one-dimensional detector such that at least the one property of the specimen can be determined at multiple locations substantially simultaneously.
  • 6322. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to image at least an area of the specimen onto a two-dimensional detector such that at least the one property of the specimen can be determined at multiple location substantially simultaneously.
  • 6323. The method of claim 6312, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track.
  • 6324. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to generate the one or more output signals during a resist apply process performed in a process chamber of the lithography track.
  • 6325. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to generate the one or more output signals during a post apply bake process performed in a process chamber of the lithography track.
  • 6326. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to generate the one or more output signals during a chill process performed in a process chamber of the lithography track.
  • 6327. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to generate the one or more output signals during a process step performed in a process chamber of the lithography track, wherein the process step is performed subsequent to a develop process step of the lithography process.
  • 6328. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to generate the one or more output signals prior to an exposure step of the lithography process.
  • 6329. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to generate the one or more output signals subsequent to an exposure step of the lithography process, and wherein the at least one property of the specimen comprises at least one property of a latent image formed on the specimen by the exposure step.
  • 6330. The method of claim 6312, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track.
  • 6331. The method of claim 6312, further comprising controlling a wafer handler coupled to the lithography track to move the specimen to a stage coupled to the spectroscopic ellipsometer.
  • 6332. The method of claim 6312, further comprising controlling a stage coupled to the spectroscopic ellipsometer to move the specimen from the spectroscopic ellipsometer to the lithography track.
  • 6333. The method of claim 6312, further comprising controlling a stage coupled to the spectroscopic ellipsometer to move the specimen to a process chamber of the lithography track.
  • 6334. The method of claim 6312, the method further comprising controlling a wafer handler to move the specimen to a stage coupled to the spectroscopic ellipsometer such that at least the one property of the specimen can be determined while the specimen is waiting between process steps.
  • 6335. The method of claim 6312, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6336. The method of claim 6312, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6337. The method of claim 6312, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the lithography track.
  • 6338. The method of claim 6312, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the lithography track.
  • 6339. The method of claim 6312, further comprising controlling a stage coupled to the spectroscopic ellipsometer to support the specimen during at least one of the one or more steps of the lithography process.
  • 6340. The method of claim 6312, further comprising processing the one or more output signals to determine the at least one property of the specimen during at least one of the one or more steps of the lithography process.
  • 6341. The method of claim 6312, further comprising controlling the spectroscopic ellipsometer to obtain a signature characterizing at least one of the one or more steps of the lithography process, wherein the signature comprises at least one singularity representative of an end of the at least one of the one or more steps.
  • 6342. The method of claim 6312, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using an in situ control technique.
  • 6343. The method of claim 6312, wherein the lithography track comprises a first process chamber and a second process chamber, the method further comprising controlling a stage coupled to the spectroscopic ellipsometer to move the specimen from the first process chamber to the second process chamber and controlling the spectroscopic ellipsometer to generate the one or more output signals as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 6344. The method of claim 6343, further comprising chilling the specimen in the first process chamber and applying resist to the specimen in the second process chamber.
  • 6345. The method of claim 6343, further comprising chilling the specimen in the first process chamber subsequent to a post apply bake process and exposing the specimen in the second process chamber.
  • 6346. The method of claim 6343, further comprising exposing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6347. The method of claim 6343, further comprising chilling the specimen in the first process chamber subsequent to a post exposure bake process and developing the specimen in the second process chamber.
  • 6348. The method of claim 6343, further comprising developing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6349. The method of claim 6343, further comprising developing the specimen in the first process chamber and receiving the specimen in a wafer cassette in the second process chamber.
  • 6350. The method of claim 6312, further comprising comparing the at least one property of the specimen and properties of a plurality of specimens.
  • 6351. The method of claim 6312, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property.
  • 6352. The method of claim 6312, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property and generating an output signal if the at least one property is outside of the predetermined range.
  • 6353. The method of claim 6312, further comprising altering a sampling frequency of the spectroscopic ellipsometer in response to the at least one property.
  • 6354. The method of claim 6312, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property using a feedback control technique.
  • 6355. The method of claim 6312, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property using a feedforward control technique.
  • 6356. The method of claim 6312, further comprising generating a database, wherein the database comprises the at least one property.
  • 6357. The method of claim 6312, further comprising generating a database, wherein the database comprises the at least one property, and calibrating the spectroscopic ellipsometer using the database.
  • 6358. The method of claim 6312, further comprising generating a database, wherein the database comprises the at least one property, and monitoring output signals generated by the spectroscopic ellipsometer using the database.
  • 6359. The method of claim 6312, further comprising generating a database, wherein the database comprises the at least one property and properties of a plurality of specimens, and wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising calibrating the plurality of spectroscopic ellipsometers using the database.
  • 6360. The method of claim 6312, further comprising generating a database, wherein the database comprises the at least one property and properties of a plurality of specimens, and wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising monitoring output signals generated by the plurality of spectroscopic ellipsometers using the database.
  • 6361. The method of claim 6312, further comprising controlling a stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
  • 6362. The method of claim 6312, further comprising controlling a stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least one additional system.
  • 6363. The method of claim 6312, wherein the system is further configured to determine the at least one property of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to the lithography track in response to the at least one property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one property.
  • 6364. The method of claim 6312, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedback control technique.
  • 6365. The method of claim 6312, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedforward control technique.
  • 6366. The method of claim 6312, further comprising monitoring a parameter of one or more instruments coupled to the lithography track.
  • 6367. The method of claim 6312, further comprising monitoring a parameter of one or more instruments coupled to the lithography track and determining a relationship between the at least one property and at least one of the monitored parameters.
  • 6368. The method of claim 6312, further comprising monitoring a parameter of one or more instruments coupled to the lithography track, determining a relationship between the at least one property and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 6369. The method of claim 6312, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the spectroscopic ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6370. The method of claim 6369, wherein at least partially processing the one or more output signals comprises determining the at least one property.
  • 6371. The method of claim 6369, wherein further processing the partially processed one or more output signals comprises determining the at least one property.
  • 6372. A semiconductor device fabricated by a method, the method comprising: processing the specimen with one or more steps of a lithography process in a lithography track to form a patterned resist on the specimen, wherein the patterned resist can be used to form at least a portion of the semiconductor device; generating one or more output signals responsive to the at least one property of the specimen with a spectroscopic ellipsometer, wherein the spectroscopic ellipsometer is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6373. The device of claim 6372, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally moving the stage while determining the at least one property of the specimen.
  • 6374. The device of claim 6372, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising rotatably moving the stage while determining the at least one property of the specimen.
  • 6375. The device of claim 6372, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally and rotatably moving the stage while determining the at least one property of the specimen.
  • 6376. The device of claim 6372, wherein the at least one property is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a profile of a structure of the specimen.
  • 6377. The device of claim 6372, comprising processing one or more output signals generated by an additional measurement device coupled to the lithography track to determine an additional property of the specimen.
  • 6378. The device of claim 6372, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 6379. The device of claim 6372, further comprising processing the one or more output signals to determine a presence of defects on the specimen.
  • 6380. The device of claim 6372, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 6381. The device of claim 6372, further comprising imaging at least an area of the specimen onto a one-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6382. The device of claim 6372, further comprising imaging at least an area of the specimen onto a two-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6383. The device of claim 6372, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track.
  • 6384. The device of claim 6372, further comprising generating the one or more output signals during a resist apply process performed in a process chamber of the lithography track.
  • 6385. The device of claim 6372, further comprising generating the one or more output signals during a post apply bake process performed in a process chamber of the lithography track.
  • 6386. The device of claim 6372, further comprising generating the one or more output signals during a chill process performed in a process chamber of the lithography track.
  • 6387. The device of claim 6372, further comprising generating the one or more output signals during a process step performed in a process chamber of the lithography track, wherein the process step is performed subsequent to a develop process step of the lithography process.
  • 6388. The device of claim 6372, further comprising generating the one or more output signals prior to an exposure step of the lithography process.
  • 6389. The device of claim 6372, further comprising generating the one or more output signals subsequent to an exposure step of the lithography process, wherein the at least one property of the specimen comprises at least one property of a latent image formed on the specimen by the exposure step.
  • 6390. The device of claim 6372, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track.
  • 6391. The device of claim 6372, further comprising moving the specimen to a stage coupled to the spectroscopic ellipsometer with a wafer handler of the lithography track.
  • 6392. The device of claim 6372, further comprising moving the specimen from the spectroscopic ellipsometer to the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6393. The device of claim 6372, further comprising moving the specimen to a process chamber of the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6394. The device of claim 6372, further comprising determining at least the one property of the specimen while the specimen is waiting between process steps.
  • 6395. The device of claim 6372, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6396. The device of claim 6372, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6397. The device of claim 6372, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the lithography track.
  • 6398. The device of claim 6372, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the lithography track.
  • 6399. The device of claim 6372, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a stage coupled to the spectroscopic ellipsometer.
  • 6400. The device of claim 6372, wherein processing the one or more output signals comprises determining the at least one property of the specimen during at least one of the one or more steps of the lithography process.
  • 6401. The device of claim 6372, further comprising obtaining a signature characterizing at least one of the one or more steps of the lithography process, wherein the signature comprises at least one singularity representative of an end of the at least one step.
  • 6402. The device of claim 6372, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using an in situ control technique.
  • 6403. The device of claim 6372, wherein the lithography track comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the spectroscopic ellipsometer and generating the one or more output signals as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 6404. The device of claim 6403, further comprising chilling the specimen in the first process chamber and applying resist to the specimen in the second process chamber.
  • 6405. The device of claim 6403, further comprising chilling the specimen in the first process chamber subsequent to a post apply bake process and exposing the specimen in the second process chamber.
  • 6406. The device of claim 6403, further comprising exposing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6407. The device of claim 6403, further comprising chilling the specimen in the first process chamber subsequent to a post exposure bake process and developing the specimen in the second process chamber.
  • 6408. The device of claim 6403, further comprising developing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6409. The device of claim 6403, further comprising developing the specimen in the first process chamber and receiving the specimen in a wafer cassette in the second process chamber.
  • 6410. The device of claim 6372, further comprising comparing the at least one property of the specimen and properties of a plurality of specimens.
  • 6411. The device of claim 6372, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property.
  • 6412. The device of claim 6372, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property and generating an output signal if the at least one property is outside of the predetermined range.
  • 6413. The device of claim 6372, further comprising altering a sampling frequency of the spectroscopic ellipsometer in response to the at least one property of the specimen.
  • 6414. The device of claim 6372, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedback control technique.
  • 6415. The device of claim 6372, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedforward control technique.
  • 6416. The device of claim 6372, further comprising generating a database, wherein the database comprises the at least one property of the specimen.
  • 6417. The device of claim 6372, further comprising generating a database comprising the at least one property of the specimen and calibrating the spectroscopic ellipsometer using the database.
  • 6418. The device of claim 6372, further comprising generating a database comprising the at least one property of the specimen and monitoring output signals generated by the spectroscopic ellipsometer using the database.
  • 6419. The device of claim 6372, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising calibrating the plurality of spectroscopic ellipsometers using the database.
  • 6420. The device of claim 6372, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising monitoring output signals generated by the plurality of spectroscopic ellipsometers using the database.
  • 6421. The device of claim 6372, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer with the stand alone system.
  • 6422. The device of claim 6372, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer and at least one additional measurement device with the stand alone system.
  • 6423. The device of claim 6372, further comprising determining the at least one property of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to the lithography track in response to the at least one property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one property.
  • 6424. The device of claim 6372, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedback control technique.
  • 6425. The device of claim 6372, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedforward control technique.
  • 6426. The device of claim 6372, further comprising monitoring a parameter of one or more instruments coupled to the lithography track.
  • 6427. The device of claim 6372, further comprising monitoring a parameter of one or more instruments coupled to the lithography track and determining a relationship between the at least one property and at least one of the monitored parameters.
  • 6428. The device of claim 6372, further comprising monitoring a parameter of one or more instruments coupled to the lithography track, determining a relationship between the at least one property and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 6429. The device of claim 6372, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the spectroscopic ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6430. The device of claim 6429, wherein at least partially processing the one or more output signals comprises determining the at least one property.
  • 6431. The device of claim 6429, wherein further processing the partially processed one or more output signals comprises determining the at least one property.
  • 6432. A method for fabricating a semiconductor device, comprising: processing the specimen with one or more steps of a lithography process in a lithography track to form a patterned resist on the specimen, wherein the patterned resist can be used to form at least a portion of the semiconductor device; generating one or more output signals responsive to the at least one property of the specimen with a spectroscopic ellipsometer, wherein the spectroscopic ellipsometer is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6433. The method of claim 6432, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally moving the stage while determining the at least one property of the specimen.
  • 6434. The method of claim 6432, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising rotatably moving the stage while determining the at least one property of the specimen.
  • 6435. The method of claim 6432, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally and rotatably moving the stage while determining the at least one property of the specimen.
  • 6436. The method of claim 6432, wherein the at least one property is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a profile of a structure of the specimen.
  • 6437. The method of claim 6432, comprising processing one or more output signals generated by an additional measurement device coupled to the lithography track to determine an additional property of the specimen.
  • 6438. The method of claim 6432, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 6439. The method of claim 6432, further comprising processing the one or more output signals to determine a presence of defects on the specimen.
  • 6440. The method of claim 6432, wherein processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 6441. The method of claim 6432, further comprising imaging at least an area of the specimen onto a one-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6442. The method of claim 6432, further comprising imaging at least an area of the specimen onto a two-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6443. The method of claim 6432, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track.
  • 6444. The method of claim 6432, further comprising generating the one or more output signals during a resist apply process performed in a process chamber of the lithography track.
  • 6445. The method of claim 6432, further comprising generating the one or more output signals during a post apply bake process performed in a process chamber of the lithography track.
  • 6446. The method of claim 6432, further comprising generating the one or more output signals during a chill process performed in a process chamber of the lithography track.
  • 6447. The method of claim 6432, further comprising generating the one or more output signals during a process step performed in a process chamber of the lithography track, wherein the process step is performed subsequent to a develop process step of the lithography process.
  • 6448. The method of claim 6432, further comprising generating the one or more output signals prior to an exposure step of the lithography process.
  • 6449. The method of claim 6432, further comprising generating the one or more output signals subsequent to an exposure step of the lithography process, wherein the at least one property of the specimen comprises at least one property of a latent image formed on the specimen by the exposure step.
  • 6450. The method of claim 6432, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track.
  • 6451. The method of claim 6432, further comprising moving the specimen to a stage coupled to the spectroscopic ellipsometer with a wafer handler of the lithography track.
  • 6452. The method of claim 6432, further comprising moving the specimen from the spectroscopic ellipsometer to the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6453. The method of claim 6432, further comprising moving the specimen to a process chamber of the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6454. The method of claim 6432, further comprising determining at least the one property of the specimen while the specimen is waiting between process steps.
  • 6455. The method of claim 6432, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6456. The method of claim 6432, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6457. The method of claim 6432, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the lithography track.
  • 6458. The method of claim 6432, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the lithography track.
  • 6459. The method of claim 6432, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a stage coupled to the spectroscopic ellipsometer.
  • 6460. The method of claim 6432, wherein processing the one or more output signals comprises determining the at least one property of the specimen during at least one of the one or more steps of the lithography process.
  • 6461. The method of claim 6432, further comprising obtaining a signature characterizing at least one of the one or more steps of the lithography process, wherein the signature comprises at least one singularity representative of an end of the at least one step.
  • 6462. The method of claim 6432, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using an in situ control technique.
  • 6463. The method of claim 6432, wherein the lithography track comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the spectroscopic ellipsometer and generating the one or more output signals as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 6464. The method of claim 6463, further comprising chilling the specimen in the first process chamber and applying resist to the specimen in the second process chamber.
  • 6465. The method of claim 6463, further comprising chilling the specimen in the first process chamber subsequent to a post apply bake process and exposing the specimen in the second process chamber.
  • 6466. The method of claim 6463, further comprising exposing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6467. The method of claim 6463, further comprising chilling the specimen in the first process chamber subsequent to a post exposure bake process and developing the specimen in the second process chamber.
  • 6468. The method of claim 6463, further comprising developing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6469. The method of claim 6463, further comprising developing the specimen in the first process chamber and receiving the specimen in a wafer cassette in the second process chamber.
  • 6470. The method of claim 6432, further comprising comparing the at least one property of the specimen and properties of a plurality of specimens.
  • 6471. The method of claim 6432, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property.
  • 6472. The method of claim 6432, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property and generating an output signal if the at least one property is outside of the predetermined range.
  • 6473. The method of claim 6432, further comprising altering a sampling frequency of the spectroscopic ellipsometer in response to the at least one property of the specimen.
  • 6474. The method of claim 6432, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedback control technique.
  • 6475. The method of claim 6432, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedforward control technique.
  • 6476. The method of claim 6432, further comprising generating a database, wherein the database comprises the at least one property of the specimen.
  • 6477. The method of claim 6432, further comprising generating a database comprising the at least one property of the specimen and calibrating the spectroscopic ellipsometer using the database.
  • 6478. The method of claim 6432, further comprising generating a database comprising the at least one property of the specimen and monitoring output signals generated by the spectroscopic ellipsometer using the database.
  • 6479. The method of claim 6432, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising calibrating the plurality of spectroscopic ellipsometers using the database.
  • 6480. The method of claim 6432, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising monitoring output signals generated by the plurality of spectroscopic ellipsometers using the database.
  • 6481. The method of claim 6432, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer with the stand alone system.
  • 6482. The method of claim 6432, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer and at least one additional measurement device with the stand alone system.
  • 6483. The method of claim 6432, further comprising determining the at least one property of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to the lithography track in response to the at least one property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one property.
  • 6484. The method of claim 6432, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedback control technique.
  • 6485. The method of claim 6432, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedforward control technique.
  • 6486. The method of claim 6432, further comprising monitoring a parameter of one or more instruments coupled to the lithography track.
  • 6487. The method of claim 6432, further comprising monitoring a parameter of one or more instruments coupled to the lithography track and determining a relationship between the at least one property and at least one of the monitored parameters.
  • 6488. The method of claim 6432, further comprising monitoring a parameter of one or more instruments coupled to the lithography track, determining a relationship between the at least one property and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 6489. The method of claim 6432, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the spectroscopic ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6490. The method of claim 6489, wherein at least partially processing the one or more output signals comprises determining the at least one property.
  • 6491. The method of claim 6489, wherein further processing the partially processed one or more output signals comprises determining the at least one property.
  • 6492. A system configured to determine at least one property of a specimen during use, comprising: a lithography track configured to perform one or more steps of a lithography process on the specimen during use; a spectroscopic ellipsometer coupled to the lithography track, wherein the spectroscopic ellipsometer is configured to generate one or more output signals responsive to the at least one property of the specimen during use; a local processor coupled to the spectroscopic ellipsometer, wherein the local processor is configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to further process the one or more output signals to determine the at least one property of the specimen during use.
  • 6493. The system of claim 6492, further comprising a stage coupled to the spectroscopic ellipsometer, wherein the stage is configured to move laterally during use.
  • 6494. The system of claim 6492, further comprising a stage coupled to the spectroscopic ellipsometer, wherein the stage is configured to move rotatably during use.
  • 6495. The system of claim 6492, further comprising a stage coupled to the spectroscopic ellipsometer, wherein the stage is configured to move laterally and rotatably during use.
  • 6496. The system of claim 6492, wherein the at least one property is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a profile of a structure on the specimen.
  • 6497. The system of claim 6492, further comprising an additional measurement device coupled to the lithography track, wherein the local processor is further coupled to the additional measurement device, and wherein the remote controller computer is further configured to determine an additional property of the specimen from one or more output signals generated by the additional measurement device.
  • 6498. The system of claim 6492, wherein the remote controller computer is further configured to determine a presence of defects on the specimen from the one or more output signals during use.
  • 6499. The system of claim 6492, wherein the remote controller computer is further configured to determine at least two properties of the specimen substantially simultaneously during use.
  • 6500. The system of claim 6492, wherein the spectroscopic ellipsometer is further configured to image at least an area of the specimen onto a one-dimensional detector such that at least the one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6501. The system of claim 6492, wherein the spectroscopic ellipsometer is further configured to image at least an area of the specimen onto a two-dimensional detector such that at least the one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6502. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track.
  • 6503. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a resist apply process performed in the process chamber.
  • 6504. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a post apply bake process performed in the process chamber.
  • 6505. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a chill process performed in the process chamber.
  • 6506. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals during a process step performed in the process chamber, and wherein the process step is preformed subsequent to a develop process step of the lithography process.
  • 6507. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals prior to an exposure step of the lithography process.
  • 6508. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals subsequent to an exposure step of the lithography process, and wherein the at least one property of the specimen comprises at least one property of a latent image formed on the specimen by the exposure step.
  • 6509. The system of claim 6492, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track.
  • 6510. The system of claim 6492, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track, and wherein the lithography track comprises a wafer handler configured to move the specimen to a stage coupled to the spectroscopic ellipsometer during use.
  • 6511. The system of claim 6492, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track, and wherein a stage coupled to the spectroscopic ellipsometer is configured to move the specimen from the spectroscopic ellipsometer to the lithography track during use.
  • 6512. The system of claim 6492, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track, and wherein a stage coupled to the spectroscopic ellipsometer is configured to move the specimen to the process chamber of the lithography track during use.
  • 6513. The system of claim 6492, wherein the system is further configured to determine at least the one property of the specimen while the specimen is waiting between the one or more steps of the lithography process.
  • 6514. The system of claim 6492, wherein the lithography track comprises a support device configured to support the specimen during at least one of the one or more process steps, and wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6515. The system of claim 6492, wherein the lithography track comprises a support device configured to support the specimen during at least one of the one or more process steps, and wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6516. The system of claim 6492, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the lithography track.
  • 6517. The system of claim 6492, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the lithography track.
  • 6518. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, and wherein the stage is configured to support the specimen during at least one of the one or more process steps.
  • 6519. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, and wherein the remote controller computer is further configured to determine at least the one property of the specimen during at least one of the one or more process steps.
  • 6520. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, wherein the remote controller computer is further configured to obtain a signature characterizing at least one of the one or more process steps during use, and wherein the signature comprises at least one singularity representative of an end of the at least one of the one or more process steps.
  • 6521. The system of claim 6492, wherein the spectroscopic ellipsometer is further coupled to a stage disposed within a process chamber of the lithography track, wherein the remote controller computer is further coupled to the lithography track, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the lithography track in response to the at least one property using an in situ control technique during use.
  • 6522. The system of claim 6492, wherein the lithography track comprises a first process chamber and a second process chamber, wherein a stage coupled to the spectroscopic ellipsometer is configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the spectroscopic ellipsometer is further configured to generate the one or more output signals as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 6523. The system of claim 6522, wherein the first process chamber is configured to chill the specimen during use, and wherein the second process chamber is configured to apply resist to the specimen during use.
  • 6524. The system of claim 6522, wherein the first process chamber is configured to chill the specimen subsequent to a post apply bake process step during use, and wherein the second process chamber is configured to expose the specimen during use.
  • 6525. The system of claim 6522, wherein the first process chamber is configured to expose the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to exposure of the specimen during use.
  • 6526. The system of claim 6522, wherein the first process chamber is configured to chill the specimen subsequent to a post exposure bake process step during use, and wherein the second process chamber is configured to develop the specimen during use.
  • 6527. The system of claim 6522, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to a develop process step during use.
  • 6528. The system of claim 6522, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to receive the specimen in a wafer cassette during use.
  • 6529. The system of claim 6492, wherein the remote controller computer is further configured to compare the at least one property of the specimen and properties of a plurality of specimens during use.
  • 6530. The system of claim 6492, wherein the remote controller computer is further configured to compare the at least one property of the specimen to a predetermined range for the at least one property during use.
  • 6531. The system of claim 6492, wherein the remote controller computer is further configured to compare the at least one property of the specimen to a predetermined range for the at least one property during use, and wherein the remote controller computer is further configured to generate an output signal if the at least one property is outside of the predetermined range for the at least one property during use.
  • 6532. The system of claim 6492, wherein the remote controller computer is further configured to alter a sampling frequency of the spectroscopic ellipsometer in response to the at least one property during use.
  • 6533. The system of claim 6492, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property using a feedback control technique during use.
  • 6534. The system of claim 6492, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property using a feedforward control technique during use.
  • 6535. The system of claim 6492, wherein the remote controller computer is further configured to generate a database during use, and wherein the database comprises the at least one property of the specimen.
  • 6536. The system of claim 6492, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen, and wherein the remote controller computer is further configured to calibrate the spectroscopic ellipsometer using the database during use.
  • 6537. The system of claim 6492, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by the spectroscopic ellipsometer using the database during use.
  • 6538. The system of claim 6492, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are determined using a plurality of spectroscopic ellipsometers, wherein the remote controller computer is further coupled to the plurality of spectroscopic ellipsometers, and wherein the remote controller computer is further configured to calibrate the plurality of spectroscopic ellipsometers using the database during use.
  • 6539. The system of claim 6492, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are determined using a plurality of spectroscopic ellipsometers, wherein the remote controller computer is further coupled to the plurality of spectroscopic ellipsometers, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of spectroscopic ellipsometers using the database during use.
  • 6540. The system of claim 6492, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
  • 6541. The system of claim 6492, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
  • 6542. The system of claim 6492, wherein the system is further configured to determine the at one property of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the remote controller computer is further configured to alter at least one parameter of one or more instruments coupled to the lithography track in response to the at least one property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one property.
  • 6543. The system of claim 6492, wherein the remote controller computer is further coupled to the lithography track, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedback control technique during use.
  • 6544. The system of claim 6492, wherein the remote controller computer is further coupled to the lithography track, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedforward control technique during use.
  • 6545. The system of claim 6492, wherein the remote controller computer is further coupled to the lithography track, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the lithography track during use.
  • 6546. The system of claim 6492, wherein the remote controller computer is further coupled to the lithography track, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the lithography track during use, and wherein the remote controller computer is further configured to determine a relationship between the at least one property and at least one of the monitored parameters during use.
  • 6547. The system of claim 6492, wherein the remote controller computer is further coupled to the lithography track, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the lithography track during use, wherein the remote controller computer is further configured to determine a relationship between the at least one property and at least one of the monitored parameters during use, and wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
  • 6548. A method for determining at least one property of a specimen, comprising: performing one or more steps of a lithography process on the specimen in a lithography track; generating one or more output signals responsive to the at last one property of the specimen with a spectroscopic ellipsometer, wherein the spectroscopic ellipsometer is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the spectroscopic ellipsometer; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6549. The method of claim 6548, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally moving the stage while determining the at least one property of the specimen.
  • 6550. The method of claim 6548, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising rotatably moving the stage while determining the at least one property of the specimen.
  • 6551. The method of claim 6548, wherein a stage is coupled to the spectroscopic ellipsometer, the method further comprising laterally and rotatably moving the stage while determining the at least one property of the specimen.
  • 6552. The method of claim 6548, wherein the at least one property is selected from the group consisting of a thickness, an index of refraction, an extinction coefficient, a critical dimension, and a profile of a structure of the specimen.
  • 6553. The method of claim 6548, comprising processing one or more output signals generated by an additional measurement device coupled to the lithography track to determine an additional property of the specimen.
  • 6554. The method of claim 6548, further comprising processing the one or more output signals to determine an additional property of the specimen, wherein the additional property is selected from the group consisting of a roughness of the specimen, a roughness of the layer on the specimen, and a roughness of a feature of the specimen.
  • 6555. The method of claim 6548, further comprising processing the one or more output signals to determine a presence of defects on the specimen.
  • 6556. The method of claim 6548, where in processing the one or more output signals comprises processing the one or more output signals to determine at least two properties of the specimen substantially simultaneously.
  • 6557. The method of claim 6548, further comprising imaging at least an area of the specimen onto a one-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6558. The method of claim 6548, further comprising imaging at least an area of the specimen onto a two-dimensional detector with the spectroscopic ellipsometer such that the at least one property of the specimen can be determined at multiple locations on the specimen substantially simultaneously.
  • 6559. The method of claim 6548, wherein the spectroscopic ellipsometer is further coupled to a process chamber of the lithography track.
  • 6560. The method of claim 6548, further comprising generating the one or more output signals during a resist apply process performed in a process chamber of the lithography track.
  • 6561. The method of claim 6548, further comprising generating the one or more output signals during a post apply bake process performed in a process chamber of the lithography track.
  • 6562. The method of claim 6548, further comprising generating the one or more output signals during a chill process performed in a process chamber of the lithography track.
  • 6563. The method of claim 6548, further comprising generating the one or more output signals during a process step performed in a process chamber of the lithography track, wherein the process step is performed subsequent to a develop process step of the lithography process.
  • 6564. The method of claim 6548, further comprising generating the one or more output signals prior to an exposure step of the lithography process.
  • 6565. The method of claim 6548, further comprising generating the one or more output signals subsequent to an exposure step of the lithography process, wherein the at least one property of the specimen comprises at least one property of a latent image formed on the specimen by the exposure step.
  • 6566. The method of claim 6548, wherein the spectroscopic ellipsometer is arranged laterally proximate to a process chamber of the lithography track.
  • 6567. The method of claim 6548, further comprising moving the specimen to a stage coupled to the spectroscopic ellipsometer with a wafer handler of the lithography track.
  • 6568. The method of claim 6548, further comprising moving the specimen from the spectroscopic ellipsometer to the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6569. The method of claim 6548, further comprising moving the specimen to a process chamber of the lithography track with a stage coupled to the spectroscopic ellipsometer.
  • 6570. The method of claim 6548, further comprising determining at least the one property of the specimen while the specimen is waiting between process steps.
  • 6571. The method of claim 6548, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially parallel to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6572. The method of claim 6548, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a support device of the lithography track, wherein an upper surface of the support device is substantially perpendicular to an upper surface of a stage coupled to the spectroscopic ellipsometer.
  • 6573. The method of claim 6548, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the lithography track.
  • 6574. The method of claim 6548, wherein the spectroscopic ellipsometer is disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the lithography track.
  • 6575. The method of claim 6548, further comprising supporting the specimen during at least one of the one or more steps of the lithography process with a stage coupled to the spectroscopic ellipsometer.
  • 6576. The method of claim 6548, wherein processing the one or more output signals comprises determining the at least one property of the specimen during at least one of the one or more steps of the lithography process.
  • 6577. The method of claim 6548, further comprising obtaining a signature characterizing at least one of the one or more steps of the lithography process, wherein the signature comprises at least one singularity representative of an end of the at least one step.
  • 6578. The method of claim 6548, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using an in situ control technique.
  • 6579. The method of claim 6548, wherein the lithography track comprises a first process chamber and a second process chamber, the method further comprising moving the specimen from the first process chamber to the second process chamber using a stage coupled to the spectroscopic ellipsometer and generating the one or more output signals as the stage is moving the specimen from the first process chamber to the second process chamber.
  • 6580. The method of claim 6579, further comprising chilling the specimen in the first process chamber and applying resist to the specimen in the second process chamber.
  • 6581. The method of claim 6579, further comprising chilling the specimen in the first process chamber subsequent to a post apply bake process and exposing the specimen in the second process chamber.
  • 6582. The method of claim 6579, further comprising exposing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6583. The method of claim 6579, further comprising chilling the specimen in the first process chamber subsequent to a post exposure bake process and developing the specimen in the second process chamber.
  • 6584. The method of claim 6579, further comprising developing the specimen in the first process chamber and baking the specimen in the second process chamber.
  • 6585. The method of claim 6579, further comprising developing the specimen in the first process chamber and receiving the specimen in a wafer cassette in the second process chamber.
  • 6586. The method of claim 6548, further comprising comparing the at least one property of the specimen and properties of a plurality of specimens.
  • 6587. The method of claim 6548, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property.
  • 6588. The method of claim 6548, further comprising comparing the at least one property of the specimen to a predetermined range for the at least one property and generating an output signal if the at least one property is outside of the predetermined range.
  • 6589. The method of claim 6548, further comprising altering a sampling frequency of the spectroscopic ellipsometer in response to the at least one property of the specimen.
  • 6590. The method of claim 6548, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedback control technique.
  • 6591. The method of claim 6548, further comprising altering a parameter of one or more instruments coupled to the spectroscopic ellipsometer in response to the at least one property of the specimen using a feedforward control technique.
  • 6592. The method of claim 6548, further comprising generating a database, wherein the database comprises the at least one property of the specimen.
  • 6593. The method of claim 6548, further comprising generating a database comprising the at least one property of the specimen and calibrating the spectroscopic ellipsometer using the database.
  • 6594. The method of claim 6548, further comprising generating a database comprising the at least one property of the specimen and monitoring output signals generated by the spectroscopic ellipsometer using the database.
  • 6595. The method of claim 6548, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising calibrating the plurality of spectroscopic ellipsometers using the database.
  • 6596. The method of claim 6548, further comprising generating a database comprising the at least one property of the specimen and properties of a plurality of specimens, wherein the properties of the plurality of specimens are generated using a plurality of spectroscopic ellipsometers, the method further comprising monitoring output signals generated by the plurality of spectroscopic ellipsometers using the database.
  • 6597. The method of claim 6548, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer with the stand alone system.
  • 6598. The method of claim 6548, further comprising calibrating a stand alone system with a calibration standard and calibrating the spectroscopic ellipsometer and at least one additional measurement device with the stand alone system.
  • 6599. The method of claim 6548, further comprising determining the at least one property of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to the lithography track in response to the at least one property of the specimen at the more than one position on the specimen to reduce within wafer variation of the at least one property.
  • 6600. The method of claim 6548, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedback control technique.
  • 6601. The method of claim 6548, further comprising altering a parameter of one or more instruments coupled to the lithography track in response to the at least one property using a feedforward control technique.
  • 6602. The method of claim 6548, further comprising monitoring a parameter of one or more instruments coupled to the lithography track.
  • 6603. The method of claim 6548, further comprising monitoring a parameter of one or more instruments coupled to the lithography track and determining a relationship between the at least one property and at least one of the monitored parameters.
  • 6604. The method of claim 6548, further comprising monitoring a parameter of one or more instruments coupled to the lithography track, determining a relationship between the at least one property and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
  • 6605. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a processor coupled to the measurement device and configured to determine the at least two properties of the specimen from the one or more output signals during use.
  • 6606. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine the at least two properties of the specimen.
  • 6607. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising: controlling the illumination system to direct energy toward a surface of the specimen; controlling the detection system to detect energy propagating from the surface of the specimen; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine the at least two properties of the specimen.
  • 6608. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine the at least two properties of the portion of the semiconductor device.
  • 6609. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine the at least two properties of the portion of the semiconductor device.
  • 6610. A system configured to determine at least two properties of a specimen during use, comprising: a stage configured to support the specimen during use; a measurement device coupled to the stage, comprising: an illumination system configured to direct energy toward a surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine the at least two properties of the specimen from the at least partially processed one or more output signals during use.
  • 6611. A method for determining at least two properties of a specimen, comprising: disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system; directing energy toward a surface of the specimen using the illumination system; detecting energy propagating from the surface of the specimen using the detection system; generating one or more output signals in response to the detected energy; and processing the one or more output signals to determine the at least two properties of the specimen, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6612. A system configured to determine at least one property of a specimen during use, comprising: a process tool configured to process the specimen during use; a measurement device coupled to the process tool, comprising: an illumination system configured to direct energy toward the surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a processor coupled to the measurement device and configured to determine the at least one property of the specimen from the one or more output signals during use.
  • 6613. A method for determining at least one property of a specimen, comprising: processing the specimen in a process tool; directing energy toward a surface of the specimen using an illumination system; detecting energy propagating from the surface of the specimen using a detection system, wherein illumination system and the detection system comprises a measurement device, and wherein the measurement device is coupled to the process tool; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6614. A computer-implemented method for controlling a system configured to determine at least one property of a specimen during use, wherein the system comprises a measurement device coupled to a process tool, and wherein the process tool is configured to process the specimen during use, the method comprising: controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, comprising: controlling the illumination system to direct energy toward a surface of the specimen during use; controlling the detection system to detect energy propagating from the surface of the specimen during use; and generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6615. A semiconductor device fabricated by a method, the method comprising: processing a specimen in a process tool to perform at least a step of a process on the specimen; directing energy toward a surface of the specimen using an illumination system; detecting energy propagating from the surface of the specimen using a detection system, wherein illumination system and the detection system comprises a measurement device, and wherein the measurement device is coupled to the process tool; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine at least the one property of the specimen.
  • 6616. A method for fabricating a semiconductor device, comprising: processing a specimen in a process tool to perform at least a step of a process on the specimen; directing energy toward a surface of the specimen using an illumination system; detecting energy propagating from the surface of the specimen using a detection system, wherein illumination system and the detection system comprises a measurement device, and wherein the measurement device is coupled to the process tool; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine at least the one property of the specimen.
  • 6617. A system configured to determine at least one property of a specimen during use, comprising: a process tool configured to process the specimen during use; a measurement device coupled to the process tool, comprising: an illumination system configured to direct energy toward the surface of the specimen during use; and a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine the at least one property of the specimen from the at least partially processed one or more output signals.
  • 6618. A method for determining at least one property of a specimen, comprising: processing the specimen in a process tool; directing energy toward a surface of the specimen using an illumination system; detecting energy propagating from the surface of the specimen using a detection system, wherein the illumination system and the detection system comprises a measurement device, and wherein the measurement device is coupled to the process tool; generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine at least the one property of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6619. A system configured to determine at least two properties of a specimen during use, comprising: two or more measurement devices, wherein the two or more measurement devices are configured to generate one or more output signals responsive to one or more of the at least two properties of the specimen during use; and a processor coupled to the two or more measurement devices, wherein the processor is configured to determine the at least two properties of the specimen from the one or more output signals during use.
  • 6620. A method for determining at least two properties of a specimen, comprising: generating one or more output signals with two or more measurement devices, wherein the one or more output signals are responsive to one or more of the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen.
  • 6621. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises two or more measurement devices, comprising: controlling the two or more measurement devices to generate one or more output signals responsive to one or more of the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen.
  • 6622. A semiconductor device fabricated by a method, the method comprising: forming a portion of the semiconductor device upon a specimen; generating one or more output signals with two or more measurement devices, wherein the one or more output signals are responsive to one or more of at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen.
  • 6623. A method for fabricating a semiconductor device, comprising: forming a portion of the semiconductor device upon a specimen; generating one or more output signals with two or more measurement devices, wherein the one or more output signals are responsive to one or more of at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen.
  • 6624. A system configured to determine at least two properties of a specimen during use, comprising: two or more measurement devices, wherein the two or more measurement devices are configured to generate one or more output signals responsive to one or more of the at least two properties of the specimen; a local processor coupled to the two or more measurement devices, wherein the local processor is configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals during use and to determine the at least two properties of the specimen during use.
  • 6625. A method for determining at least two properties of a specimen, comprising: generating one or more output signals with two or more measurement devices, wherein the one or more output signals are responsive to one or more of the at least two properties of the specimen; and processing the one or more output signals to determine the at least two properties of the specimen, wherein processing the one or more output signals comprises: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the two or more measurement devices; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
  • 6626. A system configured to determine at least one property of a specimen during use, comprising: a lithography track configured to perform one or more steps of a lithography process on the specimen during use; a measurement device coupled to the lithography track, wherein the measurement device is configured to generate one or more output signals responsive to the at least one property of the specimen during use; and a processor coupled to the measurement device, wherein the processor is configured to determine the at least one property of the specimen from the one or more output signals during use.
  • 6627. A method for determining at least one property of a specimen, comprising: processing the specimen with one or more steps of a lithography process in a lithography track; generating one or more output signals responsive to the at least one property of the specimen with a measurement device, wherein the measurement device is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6628. A computer-implemented method for controlling a system configured to determine at least one property of a specimen during use, wherein the system comprises a measurement device, the method comprising: controlling the measurement device to generate one or more output signals responsive to the at least one property of the specimen, wherein the measurement device is coupled to a lithography track, and wherein the lithography track is configured to perform one or more steps of a lithography process on the specimen during use; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6629. A semiconductor device fabricated by a method, the method comprising: processing the specimen with one or more steps of a lithography process in a lithography track to form a patterned resist on the specimen, wherein the patterned resist can be used to form at least a portion of the semiconductor device; generating one or more output signals responsive to the at least one property of the specimen with a measurement device, wherein the measurement device is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6630. A method for fabricating a semiconductor device, comprising: processing the specimen with one or more steps of a lithography process in a lithography track to form a patterned resist on the specimen, wherein the patterned resist can be used to form at least a portion of the semiconductor device; generating one or more output signals responsive to the at least one property of the specimen with a measurement device, wherein the measurement device is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen.
  • 6631. A system configured to determine at least one property of a specimen during use, comprising: a lithography track configured to perform one or more steps of a lithography process on the specimen during use; a measurement device coupled to the lithography track, wherein the measurement device is configured to generate one or more output signals responsive to the at least one property of the specimen during use; a local processor coupled to the measurement device, wherein the local processor is configured to at least partially process the one or more output signals during use; and a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to further process the one or more output signals to determine the at least one property of the specimen during use.
  • 6632. A method for determining at least one property of a specimen, comprising: performing one or more steps of a lithography process on the specimen in a lithography track; generating one or more output signals responsive to the at least one property of the specimen with a measurement device, wherein the measurement device is coupled to the lithography track; and processing the one or more output signals to determine the at least one property of the specimen, comprising: at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device; sending the partially processed one or more output signals from the local processor to a remote controller computer; and further processing the partially processed one or more output signals using the remote controller computer.
PRIORITY CLAIM

[0001] This application claims priority to U.S. Provisional Application No. 60/234,323 entitled “Methods and Systems for Semiconductor Fabrication Processes,” filed Sep. 20, 2000.

Provisional Applications (1)
Number Date Country
60234323 Sep 2000 US