Multi-metal contact structure

Information

  • Patent Grant
  • 11894326
  • Patent Number
    11,894,326
  • Date Filed
    Thursday, July 8, 2021
    2 years ago
  • Date Issued
    Tuesday, February 6, 2024
    3 months ago
Abstract
A first conductive material having a first hardness is disposed within a recess or opening of a microelectronic component, in a first preselected pattern, and forms a first portion of an interconnect structure. A second conductive material having a second hardness different from the first hardness is disposed within the recess or opening in a second preselected pattern and forms a second portion of the interconnect structure.
Description
FIELD

The following description relates to processing of integrated circuits (“ICs”). More particularly, the following description relates to devices and techniques for processing substrates.


BACKGROUND

Semiconductor chips are fabricated on suitable flat substrate wafers, such as GaAs, diamond coated substrates, silicon carbide, silicon wafers, etc. After making the active devices, a series of steps are performed to connect the various devices with highly conducting wiring structures, so they can have communication with each other to perform logic or memory storage operations. These wiring structures or interconnect structures are essentially a skeletal network of conducting materials, typically metals, in a matrix of dielectric materials. In high performance devices and to improve device density and yield, it may be desirable to minimize topographic features within the interconnect layers for any given device and across the entire substrate. One common method of forming these high performance interconnect layers is the damascene process.


Multiple types of damascene structures are known, however single and dual damascene processes are the most common. In single damascene, each metal or via layer is fabricated in a series of operations, while in dual damascene, a metal layer and a via layer may be fabricated in a similar operation. Of these two, the dual damascene technique may be preferred because of lower cost and higher device performance.


In the single damascene process, a suitable substrate with or without devices is coated with a suitable resist layer. The resist layer is imaged to define desirable patterns by lithographic methods on the substrate. Cavities are etched on the patterned substrates typically by reactive ion etching (RIE) methods. The etched cavities are cleaned to remove RIE residues. The patterned substrate is then coated with a suitable barrier/seed layer prior to overfilling the cavities with a suitable metal, typically copper, by electro-deposition from a superfilling plating bath chemistry.


The damascene process may be repeated to form multiple layers of interconnects, and the top surface of the substrate is polished. As a result of the discontinuity in the properties (difference in mechanical properties, polishing rates, etc.) of the metal and insulator, and their respective interactions with the polishing pad, polishing slurry, and other process parameters, the polishing produces erosion in high metal pattern density features and dishing in large metal structures. The higher the metal pattern density, the higher the erosion in the dielectric layer. Similarly, the larger the size of the metal cavity, the worse the gravity of the dishing defect. These deleterious defects can cause a variety of defects in subsequent layers, reducing device yield.


Similar results are observed in cross section topographic profiles of polished through silicon via (TSV) structures. The centers of the vias are typically lower than the surface of the insulators.


Among the consequences of dishing on the interconnect structures is poor flatness of the conductor and much higher temperatures typically needed to bond devices to the dished substrate or for wafer to wafer bonding using so called hybrid bonding, i.e. DBI® techniques. With very large pads, dishing can be too deep for the opposing device's surfaces to mate intimately and to form a grain contact or inter-diffusion bond, as the metal may not expand enough at annealing temperatures to form the diffusion bond. Also, the poor flatness on the conductor surface often produces defective bonds, when the surface is bonded or attached to other devices or substrates.


Attempts to reduce the impact of these defects have included the incorporation of dummy dielectric features within large copper structures in dual damascene features for chip interconnects. This can effectively reduce the dishing in copper pads, since the metal width is significantly reduced as compared to previous large pads without dummy dielectric features within them. This approach has been helpful, but it has also increased mask design complexity and the associated loss of freedom of structure placement on the modified pads.





BRIEF DESCRIPTION OF THE DRAWINGS

The detailed description is set forth with reference to the accompanying figures. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. The use of the same reference numbers in different figures indicates similar or identical items.


For this discussion, the devices and systems illustrated in the figures are shown as having a multiplicity of components. Various implementations of devices and/or systems, as described herein, may include fewer components and remain within the scope of the disclosure. Alternately, other implementations of devices and/or systems may include additional components, or various combinations of the described components, and remain within the scope of the disclosure.



FIG. 1(A) shows a profile view and a plan view of dishing of a large contact pad as a result of example substrate processing. FIG. 1(B) shows a profile view and a plan view of a polished plated substrate with added conforming dielectric layer(s) used to reduce dishing of the contact area.



FIG. 2(A) shows a profile view and a plan view of dishing of a large contact pad as a result of example substrate processing. FIG. 2(B) shows a profile view and a plan view of a substrate with an embedded metallic grid used to reduce dishing of a contact area. FIG. 2(C) shows a profile view of dishing of substrate with an embedded metallic grid.



FIG. 3(A) shows a profile view and a plan view of the substrate with embedded metallic grid of FIG. 2(B). FIG. 3(B) shows a profile view and a plan view of a substrate with an example multi-metal contact area, according to an embodiment.



FIG. 4(A) shows a plan view of a substrate with an example multi-metal contact area according to a first embodiment. FIG. 4(B) shows a plan view of a substrate with an example multi-metal contact area according to a second embodiment. FIG. 4(C) shows a plan view of a substrate with an example multi-metal contact area according to a third embodiment.



FIG. 5 illustrates an example process of forming and bonding two substrates with multi-metal contact areas, according to an embodiment.



FIG. 6 illustrates another example process of forming and bonding two substrates with multi-metal contact areas, according to an embodiment.



FIG. 7 illustrates another examples process of forming and bonding two substrates with multi-metal contact areas, according to an embodiment.





SUMMARY

Various embodiments of techniques and devices for improving the conductivity of contact pads and associated devices, are disclosed. The embodiments comprise techniques to remedy the erosion or “dishing” of contact pads on substrates resulting from chemical mechanical polishing/planarizing (CMP) of the substrates, as well as improving the conductivity of the remedied contact pads on the substrates.


A microelectronic component can include a substrate including a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography. A first conductive material, having a first hardness, may be disposed within the recess or opening in a first preselected pattern and may form a first portion of an interconnect structure of the microelectronic component, the first portion of the interconnect structure extending normal to a plane of the substrate. Additionally, a second conductive material, having a second hardness different from the first hardness, may be disposed within the recess or opening in a second preselected pattern and may form a second portion of the interconnect structure of the microelectronic component, the second portion of the interconnect structure extending normal to the plane of the substrate.


In a first embodiment, a layer of the first conductive material may be disposed over an exposed surface of the second portion of the interconnect structure. Additionally, a layer of a third conductive material, different from the first and second conductive materials, may be disposed over an exposed surface of the first and second portions of the interconnect structure. Further, the first conductive material may comprise copper or a copper alloy and the second conductive material may comprise nickel or a nickel alloy. Still yet, the first conductive material or the second conductive material may comprise at least one of copper, nickel, tin, indium, gallium, or gold, or an alloy of at least one of copper, nickel, tin, indium, gallium, or gold.


In a second embodiment, a conductive layer may be disposed at a preselected depth below the first surface of the substrate and extending parallel to the plane of the substrate, the first portion of the interconnect structure and the second portion of the interconnect structure formed on a first surface of the conductive layer. Further, one or more additional conductive materials having one or more additional hardness characteristics different from the first hardness and the second hardness, may be disposed within the recess or opening in one or more preselected patterns and forming one or more additional portions of the interconnect structure of the microelectronic component. Still yet, the first portion of the interconnect structure and the second portion of the interconnect structure may be adjacent vertical layers, extending normal to the plane of the substrate.


In a third embodiment, the second hardness of the second conductive material may be greater than the first hardness of the first conductive material.


In a fourth embodiment, an exposed surface of the second portion of the interconnect structure may protrude above an exposed surface of the first portion of the interconnect structure and may be level with or recessed below the first surface of the substrate.


In a fifth embodiment, a coefficient of thermal expansion (CTE) of the first conductive material may be greater than a CTE of the second conductive material.


In a sixth embodiment, the first preselected pattern may comprise a grid pattern and the second preselected pattern may comprise a fill between portions of the grid pattern. Additionally, the first preselected pattern and the second preselected patterns may comprise alternating concentric rings. Further, the second preselected pattern may comprise a first elliptical or polygonal shape and the first preselected pattern may comprise a plurality of smaller elliptical or polygonal shapes, the plurality of smaller elliptical or polygonal shapes arranged within a perimeter of the first elliptical or polygonal shape.


A microelectronic component can include a substrate including a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography. A first material, having a first hardness, may be disposed within the recess or opening in a grid pattern and may form a first portion of an interconnect structure of the microelectronic component, the first portion of the interconnect structure extending normal to a plane of the substrate. Additionally, a second material, having a second hardness different from the first hardness, may be disposed within the recess or opening in a pattern comprising a fill between portions of the grid pattern and may form a second portion of the interconnect structure of the microelectronic component, the second portion of the interconnect structure extending normal to the plane of the substrate, wherein one of the first and second materials may comprise a conductive material and the other of the first and second materials comprises a non-conductive material or dielectric.


A microelectronic component can include a substrate including a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography. A first material, having a first hardness, may be disposed within the recess or opening in a first pattern and may form a first portion of an interconnect structure of the microelectronic component, the first portion of the interconnect structure extending normal to a plane of the substrate. Additionally, a second material, having a second hardness different from the first hardness, may be disposed within the recess or opening in a second pattern and may form a second portion of the interconnect structure of the microelectronic component, the second portion of the interconnect structure extending normal to the plane of the substrate, wherein one of the first and second materials may comprise a conductive material and the other of the first and second materials may comprise a non-conductive material or dielectric and wherein the first and second patterns may comprise alternating concentric rings.


A method of forming a microelectronic component can include forming a recessed portion in a first surface of a substrate, the recessed portion extending a preselected depth below the first surface of the substrate. A first conductive material may be disposed having a first hardness within the recessed portion in a first preselected pattern to form a first portion of an interconnect structure of the microelectronic component, the first portion of the interconnect structure extending normal to a plane of the substrate. Additionally, a second conductive material may be disposed having a second hardness different than the first hardness within the recessed portion in a second preselected pattern to form a second portion of the interconnect structure of the microelectronic component, the second portion of the interconnect structure extending normal to the plane of the substrate. Further, the first surface of the substrate may be planarized for intimate surface bonding, the planarizing forming an exposed surface of the first portion of the interconnect structure recessed below the first surface of the substrate and may form an exposed surface of the second portion of the interconnect structure protruding above the exposed surface of the first portion of the interconnect structure and level with or recessed below the first surface of the substrate.


In a seventh embodiment, a layer of the first conductive material may be deposited over the exposed surface of the second portion of the interconnect structure. Additionally, the first interconnect structure and the second interconnect structure comprise one of an electrical contact pad or a via in pad. Further, the first portion of the interconnect structure or the second portion of the interconnect structure may be formed of a reflowable metal material. The first portion of the interconnect structure and the second portion of the interconnect structure may additionally be formed as adjacent vertical layers, extending normal to the plane of the substrate.


In an eighth embodiment, the microelectronic component may be a first microelectronic component and the interconnect structure may be a first interconnect structure, and a surface of a second microelectronic component may be contacted to the first surface of the first microelectronic component to bond the second microelectronic component to the first microelectronic component without an adhesive layer, and a second interconnect structure of the second microelectronic component may be bonded to the first interconnect structure by a metal to metal diffusion bond without an intervening reflowable material.


In a ninth embodiment, a conductive layer may be formed within the recessed portion of the substrate at the preselected depth below the first surface of the substrate and extending parallel to the plane of the substrate, and the first portion of the interconnect structure and the second portion of the interconnect structure may be formed on a first surface of the conductive layer.


A microelectronic component can include a substrate including a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography. A first conductive material, having a first melting point, may be disposed within the recess or opening in a first preselected pattern and may form a first portion of an interconnect structure of the microelectronic component, the first portion of the interconnect structure extending normal to a plane of the substrate. Additionally, a second conductive material, having a second melting point greater than the first melting point, may be disposed within the recess or opening in a second preselected pattern and may form a second portion of the interconnect structure of the microelectronic component, the second portion of the interconnect structure extending normal to the plane of the substrate and may have an exposed surface of the second portion of the interconnect structure protruding above the exposed surface of the first portion of the interconnect structure and level with or recessed below the first surface of the substrate.


In a tenth embodiment, one or more additional conductive materials having one or more additional and different melting points may be disposed within the recess or opening in one or more preselected patterns and forming one or more additional portions of the interconnect structure of the microelectronic component.


A microelectronic component can include a substrate including a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography. A first conductive material, having a first melting point, may be disposed within the recess or opening at a conducting layer of the substrate and may form a first portion of an interconnect structure of the microelectronic component, the first portion of the interconnect structure extending normal to a plane of the substrate and having a thickness greater than one micrometer. Additionally, a second conductive material having a second melting point different than the first melting point, may be disposed within the recess or opening at the conducting layer of the substrate and may form a second portion of the interconnect structure of the microelectronic component, the second portion of the interconnect structure extending normal to the plane of the substrate and having a thickness greater than one micrometer.


A microelectronic component can include a substrate including a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography. A first conductive material, having a first hardness, may be disposed within the recess or opening in a first preselected pattern and may form a first portion of an interconnect structure of the microelectronic component, the first portion of the interconnect structure extending normal to a plane of the substrate. Additionally, a second non-conductive material, having a second hardness different from the first hardness, may be disposed within the recessed portion in a second preselected pattern and may form a second portion of the interconnect structure of the microelectronic component, the second portion of the interconnect structure extending normal to the plane of the substrate. Further, the second preselected pattern may comprise a first elliptical or polygonal shape and the first preselected pattern may comprise a plurality of smaller elliptical or polygonal shapes, the plurality of smaller elliptical or polygonal shapes arranged within a perimeter of the first elliptical or polygonal shape.


The disclosed processes can be implemented in any suitable manufacturing or processing apparatus or system, along with any hardware, software, firmware, or a combination thereof, without departing from the scope of the subject matter described herein. Implementations are explained in more detail below using a plurality of examples. Although various implementations and examples are discussed here and below, further implementations and examples may be possible by combining the features and elements of individual implementations and examples.


DETAILED DESCRIPTION

Overview


Various embodiments of techniques and devices for improving the conductivity of contact pads and associated devices, are disclosed. The embodiments comprise techniques to remedy the erosion or “dishing” of contact pads on substrates resulting from chemical mechanical polishing/planarizing (CMP) of the substrates, as well as improving the conductivity of the remedied contact pads on the substrates.



FIG. 1(A) shows a profile view and a plan view of dishing of a large contact pad as a result of example substrate processing. As shown, a cross-section of a large metallic (copper, for example) contact pad 102 is disposed within a substrate 104 (which may comprise a dielectric or other insulating or semiconductor layer, for example), as part of a microelectronic device, for instance. The contact pad 102 shows significant dishing as a result of processing the substrate 104, such as CMP polishing, for example. In one example, a contact pad 102 could be as large as 10 to 60 micro meters wide (diameter if a circular pad or side if a square pad; other shapes may also be possible). The substrate 104 may include wafers, such as GaAs, diamond coated substrates, silicon carbide, silicon oxide, Silicon Nitride, silicon wafers, Lithium Niobate, Lithium Tantalate, flat panels, glasses, ceramics, circuit boards, packages, an interposer, structures with or without an embedded device or devices, etc. For clarity, the substrate 104 comprises a surface of interest processed for intimate contact with another surface.


As shown in FIG. 1(A), as a result of chemical mechanical planarization (CMP), or the like, the contact pad 102 (which may be metallic) may be generally dished or recessed with respect to the substrate 104, due to the mechanical, chemical, or physical properties of the conductive metal of the contact pad 102 being different from those of the surrounding substrate 104 (which may be silicon oxide, diamond, silicon nitride or silicon oxynitride or alumina for example). In some cases, the dishing effect can be greater when the polishing compound includes metals and/or various chemicals that can remove the metal of the contact pad 102 faster than the substrate 104. Additionally, in one embodiment, the size of the recess or dishing effect may be directly proportional to the polishing pressure (i.e. the higher the pressure, the greater recess) and/or the lateral dimensions of the contact pad. In some cases, the depth of the recess in the contact pad 102 may range between 10-150 nm or up to 1-2 microns, or more. This is well beyond the range that may be generally desirable for direct bond interconnects (DBI) and other bonding methods that use intimate surface contact, especially where the interconnect features are thinner than 1 micron.


As shown in FIG. 1(B), one technique to attempt to resolve problems generated by the excessive dishing shown at FIG. 1(A) includes forming an added dielectric layer 106 (which may comprise one or more dielectric (or other material) layers) over the substrate 104 and some or all of the contact pad 102. When the dielectric layer 106 is planarized (using known methods, for example), the resulting exposed portion of the contact pad 102 can have a lateral dimension P2 that is smaller than a lateral dimension P1 of the contact pad 102 beneath.


The smaller lateral dimension P2 of the exposed portion of the contact pad 102 can result in a more acceptable recess profile for direct bonding applications. For example, the smaller exposed contact pad 102 may result in reduced dishing during the CMP process, and the planarized surface of the added dielectric 106 comprises the new bonding surface.


This approach can be helpful to reduce dishing, but it can also increase mask design complexity and the associated loss of freedom of structure placement on the modified contact pads. Further, the exposed area of the modified contact pad (indicated by P2) is a fraction of the area of the original pad (indicated by P1), greatly reducing the electrical contact area and increasing the resistance of the contact area of the pad 102. The smaller contact pad 102 reduces the electrical current carrying capacity of the contact pad 102, which is not always desirable.



FIG. 2(A) shows a profile view and a plan view dishing of a large contact pad as a result of example substrate processing. As shown, a large contact pad 102 (which may be metallic) is disposed within a substrate 104 (which may be a dielectric), as described with reference to FIG. 1(A). As described above, the contact pad 102 shows significant dishing as a result of processing the substrate 104, such as CMP polishing, for example.



FIG. 2(B) illustrates another remedy sometimes used to minimize dishing of the contact area. As shown at FIG. 2(B), to avoid severe dishing, the metal-to-oxide density can be reduced at the contact area using a metallic grid 202. For example, the metallic grid 202 can have a similar area dimension as the large contact pad 102, and can be used in place of the contact pad 102. The metallic grid 202 can comprise a copper mesh, for example, the perimeter of which approximates the perimeter of the contact pad 102. The metallic grid 202 can be continuous with or coupled to other interconnect structures, wiring features, vias, or the like, to provide electrical connectivity for a microelectronic device, or the like.


The metallic grid 202 may be formed, for example, by etching trenches in the substrate 104, and filling the trenches (using deposition, a damascene process, or the like) with copper or other conductive materials. Since the traces of the metallic grid 202 can have a minimal width (e.g., 1-10 um, for example), the dishing of the contact area can be greatly reduced (down to about 2-10 nm, for instance). This process may result in a more even bonding surface, which is advantageous for bonding using intimate surface contact techniques.


However, the metallic grid 202 can significantly reduce the electrical contact area and increase the resistance of the contact area. For example, while the overall area within the contact pad may be approximately 25 um×25 um (625 um2), the effective area of the grid available for contact may be much smaller, on the order of 184 um2 (8×[1 um×25 um]-16 um2) for the trench thickness of 1 um and the effective contact area when 2 such pads are bonded together using a DBI method may be even smaller, on the order of 18 um2 or lower, due to the offset between the contact pads. The reduction in electrical contact, the effective increase in contact resistance and resulting loss of performance of the contact area can make this a less than ideal solution.


Also, the substrate 104 (which may be a silicon oxide region, a dielectric material, or the like) between adjacent metallic traces within the grid 202 can experience erosion. The erosion of the substrate 104 may even be more severe than the dishing of the metallic grid 202 in some instances. For example, the erosion of the substrate 104 may range between 10 to 25 nm or even greater, while the dishing in the metallic grid 202 may still be within specification. In such instances, the large erosion of the substrate 104 now controls the higher bonding temperature used for the metallic grid 202 to expand to contact the opposing mating device. Further, excessive substrate 104 erosion can produce voids in portions of the structures, where the opposing surfaces cannot mate intimately. FIG. 2(C) shows a profile view of dishing of substrate 104 with an embedded metallic grid 202. As shown at FIG. 2(C), after polishing the substrate 104 and the metallic grid 202, dishing 204 and dielectric erosion 206 may result.


EXAMPLE EMBODIMENTS


FIG. 3 shows a comparison of the metallic grid 202 (shown at FIG. 3(A) in cross-section and plan views) with a novel multi-metal contact structure 302, according to one embodiment. In various embodiments, the multi-metal structure 302 (shown at FIG. 3(B), also in cross-section and plan views) can be used in place of the metallic grid 202 or the contact pad 102 to reduce dishing of the contact area, without the issue of erosion of the substrate 104 between the traces of the grid 202. For instance, as shown in FIG. 3(B), the multi-metal contact structure 302 can be comprised of two or more conductive materials, such as metals for example. A first conductive material can be used to form the mesh 304, similar to the metallic grid 202. For example, the first conductive material may comprise copper, a copper alloy, or the like. The first conductive material may be softer than the surrounding substrate, and so may be susceptible to some dishing during polishing. Accordingly, the width of the mesh 304 traces can be kept to a minimum (1-5 um, for example) to reduce dishing, but may be greater than the metallic grid 202 in some cases.


Instead of a dielectric fill between portions of the mesh, the areas between portions of the mesh 304 of the multi-metal structure 302 can be filled with a second conductive material. The second conductive material of the fill 306 can be harder than the first conductive material of the mesh 304, to reduce the dishing of the contact area. For example, the second conductive material may comprise nickel, a nickel alloy, or the like. In various implementations, different pairs of metals (such as copper and tin, for example) can be used to form the mesh 304 and fill 306, where the softer of the metals may be used for the mesh 304. Alternately, a third conductive material (or more) can be used to form part of the mesh 304 and/or portions of the fill 306, as desired for hardness, conductivity, coefficient of thermal expansion (CTE), and the like. In another case, the second conductive material of the fill 306 can be softer (e.g. Cu) than the first conductive material of the mesh 304 (e.g. Ni). This can also reduce the dishing of the contact area.


The fill 306 may be formed by removing one or more portions of the substrate 104 (which may be oxide) surrounding and/or between the portions of the mesh 304. The second conductive material may be deposited in the vacated areas where the oxide was removed. In alternate embodiments, not all of the oxide surrounding and/or in between the mesh 304 may be removed, and the fill 306 may be formed with other patterns than those illustrated. In other words, in alternate embodiments, the fill 306 may comprise portions of one or more conductive materials and portions of dielectric.


The use of multiple conductive materials (metals, for example) to form the multi-metal structure 302 increases the overall performance of the contact area, by increasing the electrically conductive area of contact and reducing the resistance. Dishing may also be reduced with the multi-metal structure 302 (to about 2-10 nm) as compared to the large conductive contact pad 102 (>10-60 nm). One of the conductive materials can be selected to have a lower CTE, as compared to the CTE of the other conductive material, to allow the first conductive material to expand more under thermal conditions during bonding.


As discussed further below, the multi-metal structure 302 may be formed on a conductive layer 308, which may be coupled to or continuous with other interconnect structures, wiring features, vias, or the like, to provide electrical connectivity for a microelectronic device, or the like, having the multi-metal structure 302. To enhance bonding of the multi-metal structure 302 to another contact area, the fill 306 comprising the second conductive material (or another conductive material) can be flashed (as shown at 310) with the first conductive material (copper, for instance), or the like. This allows the diffusion bonding based on a lower annealing temperature for the first conductive material to be operative on both portions of the multi-metal structure 302.


Three example embodiments of a multi-metal structure 302 are illustrated at FIG. 4. The example embodiments include two or more different conductive materials to reduce dishing and improve conductivity of the contact area. In alternate embodiments, a multi-metal structure 302 can have various other shapes, patterns, quantities of different materials, and so forth, and remain within the scope of the disclosure.


The multi-metal structure 302 at FIG. 4(A) represents a first embodiment having the rectangular grid pattern as discussed above, with reference to FIG. 3(B). At FIG. 4(B), a second embodiment is illustrated with an overall elliptical shape. The multi-metal structure 302 includes alternating rings of alternating conductive materials, representing the mesh 304 and fill 306 portions. The negative effects of sharp corners on the multi-metal structure 302 may be avoided using an elliptical cross-sectional shape. The positive aspects of reduced dishing and improved conductivity due to increased conductive contact area may be present with the embodiment in addition to the reduction in adverse effects on electrical performance due to elimination of sharp corners. In some embodiments (not shown), a mesh 304 (and associated fill 306) with polygonal patterns or other patterns can be formed within the overall elliptical shape of FIG. 4(B).


At FIG. 4(C), a third embodiment is illustrated with an overall elliptical shape as well, with the stated advantages. The multi-metal structure 302 includes mesh 304 of the first conductive material within the surrounding fill 306. In various implementations, the mesh 304 may have an elliptical shape (as shown) or they may have polygonal or other shapes and/or sizes. This embodiment also provides reduced dishing and improved conductivity due to the increased conductive contact area of the multi-metal structure 302. The depth of dishing may be altered by changing the area of one or more of the mesh 304. Of course, it is to be appreciated that mesh 304 and surrounding fill 306 may be of any formation, size, and/or shape.



FIG. 5 illustrates an example process 500 of forming a contact area of the multi-metal structure 302, and bonding two substrates with contact areas comprising the multi-metal structure 302, according to an embodiment. At (A), a first conductive material (copper, for example) may be formed in a desired pattern (such as a mesh 304, for example) on a surface of the substrate 104. The pattern of the first conductive material may be formed by removing a portion of the substrate 104 (by etching, for example), and depositing the first conductive material in the vacancies created (via a damascene process, or other deposition). If the substrate 104 comprises silicon oxide, for example, adhesion layers comprising titanium, tantalum, chromium, or the like, may be deposited before depositing the first conductive material. The first conductive material may be conductively coupled to the conductive layer 308, which may comprise copper, aluminum, or the like, and may be continuous with other contact areas of the device. In one embodiment, nickel, a nickel alloy, or other conductive material may be used in place of copper as the first conductive material.


At (B), remaining portions of the substrate 104 surface around the conductive pattern (e.g., mesh 304) may be removed. Alternately, some of the dielectric material of the substrate 104 surface may be allowed to remain, to form a desired pattern. At (C), the second conductive material (nickel, for example) may be deposited in the vacancies of the substrate 104 surface, forming the fill 306. Alternately, a third (or more) conductive material may be deposited to form the mesh 304 or the fill 306. The combination of the mesh 304 and the fill 306 forms the multi-metal structure 302, which includes an electrical contact structure comprising a pattern of vertical layers of two or more conductive materials, extending normal to the plane of the substrate 104, as shown.


At (D), the surface of the device (substrate 104 and multi-metal structure 302) may be polished, using a CMP process, or the like. As a result of the polishing, some dishing may occur on the exposed surface of the conductive materials (minimal dishing, 2-10 nm, due to the pattern of the multi-metal structure 302), with a greater dishing occurring on the mesh 304 than the fill 306, due to the difference in hardness of the materials.


At (E), a flash 310 (via electro-less plating, for example) of the first conductive material (e.g., copper) may be applied to the exposed surface of the fill 306 areas to facilitate bonding. For instance, the flashed metal allows the fill 306 areas to bond at the same annealing temperature as the mesh 304 areas. At (F), the device may be bonded to another device using a ZIBOND® technique, or the like, wherein the dielectric portions of the substrate 104 are bonded to dielectric portions of the other device. In an example, as shown in FIG. 5, the other device may be a device with a multi-metal structure 302.


At (G), the multi-metal structure 302 may be bonded to the other multi-metal structure 302, using annealing, or the like, such as a direct bond interconnect (DBI) technique, i.e. directly bonding or diffusion bonding the surfaces of the multi-metal structures 302 without an intervening reflowable material, such as solder. For example, the DBI technique may use predetermined heat to bond the multi-metal structures 302. During annealing, the copper flashing on the fill 306 allows the second conductive material (e.g., nickel) to bond at the same temperature as the first conductive material (e.g., copper). The coefficient of thermal expansion (CTE) of the nickel (for example) of the fill 306 may be less than the CTE of the copper (or tin, for instance) of the mesh 304, allowing the copper to expand more than the nickel, and to fill the gaps in the metal to metal bond. In some embodiments the flash layer 310 coated over the multi-metal structure 302 may be comprised of tin, indium, gallium, gold, or the like, or their respective alloys and combinations thereof. In some applications, the melting point of the flash layer 310 may be similar or lower than the melting point of the first or second conductive materials of the mesh 304 or fill 306 respectively. In some applications, a reflowable metal like tin may also be used as mesh 304 or fill 306.



FIG. 6 illustrates another example process 600 of forming a contact area of the multi-metal structure 302, and bonding two substrates with contact areas of the multi-metal structure 302, according to an embodiment. At (A), a conductive layer 308 may be formed within a dielectric (or the like) substrate 104. At (B), a portion of the oxide substrate may be removed to reveal the conductive layer 308. At (C), resist 602 may be applied to the conductive layer 308, developed and patterned. The first conductive metal (e.g., copper) may be deposited in cavities formed by the resist 602, the cavities forming a preselected pattern (such as mesh 304, for example) on a surface of the conductive layer 308. The first conductive material may be conductively coupled to the conductive layer 308, which may comprise copper, aluminum, or the like, and may be continuous with other contact areas of the device. The mesh 304 extends from the conductive layer 308 normal to the plane of the substrate 104.


At (D), the process includes removing the resist 602, and depositing the second conductive material. The second conductive material (nickel, for example) may be deposited in the vacancies of the removed resist 602, forming the fill 306. This may be followed by polishing (by CMP, for example) which can result in some minimal dishing of the first and second conductive materials. Alternately, a third (or more) conductive material may be deposited to form the mesh 304 or the fill 306. The combination of the mesh 304 and the fill 306 forms the multi-metal structure 302, which includes an electrical contact area comprising a pattern of vertical layers of two or more conductive materials, extending normal to the plane of the substrate 104, as shown. Still in other embodiments, after forming the conductive layer 304 by thru-mask plating methods, the resist layer 602 may be stripped to expose the top surface of conductive layer 308; a new patterned resist (not shown) may be formed over the conductive layer 308 to selectively form the second conductor fill 306. The second resist may be stripped and a planarizing or non-planarizing layer may be coated over the plated structures mesh 304 and fill 306 prior to a CMP operation.


At (E), CMP may be performed on the multi-metal structure 302 and a flash 310 (via electro-less plating, for example) of the first conductive material (e.g., copper) may be applied to the exposed surface of the fill 306 areas to facilitate bonding. For instance, the flashed metal allows the fill 306 areas to bond at the same annealing temperature as the mesh 304 areas. At (F), the device may be bonded to another device using a ZIBOND® technique, or the like, wherein the dielectric portions of the substrate 104 are bonded to dielectric portions of the other device. In an example, as shown in FIG. 6F, the other device may be a device with a multi-metal structure 302.


At (G), the multi-metal structure 302 may be bonded to the other multi-metal structure 302, using annealing, or the like, such as a direct bond interconnect (DBI) technique, i.e. directly bonding the surfaces of the multi-metal structures 302 without an intervening reflowable material, such as solder. For example, the DBI technique may use pressure and/or heat to bond the multi-metal structures 302. During annealing, the copper flashing 310 on the fill 306 allows the second conductive material (e.g., nickel) to bond at the same temperature as the first conductive material (e.g., copper). The coefficient of thermal expansion (CTE) of the nickel of the fill 306 may be less than the CTE of the copper (or tin, for instance) of the mesh 304, allowing the copper to expand more than the nickel, and filling the gaps in the metal to metal bond. In some embodiments the flash layer 310 coated over the multi-metal structure 302 may be comprised of tin, indium, gallium, gold or their respective alloys and combinations thereof. In some applications, the melting point of the flash layer 310 may be similar or lower than the melting point of the first or second conductive materials of mesh 304 or fill 306 respectively. In some applications, a reflowable metal like tin may also be used as mesh 304 or fill 306.



FIG. 7 illustrates another examples process 700 of forming and bonding two substrates 104 with multi-metal contact areas, according to an embodiment. In one embodiment, the process 700 may relate to forming a multi-metal contact structure 302, or the like. At (A), a barrier layer 702 may be applied over the substrate 104 comprising one or more cavities (such as damascene cavities). Additionally, a seed layer 701 may be applied on the surface of the barrier 702. At (B), a first resist layer 704 (which may be patterned) may be formed over portions of the seed layer 701.


At (C), the mesh 304 (for example a conductive layer, such as copper) may be deposited over portions of the seed layer 701 not protected by the resist layer 704. In one embodiment, the mesh 304 may be deposited using thru-mask plating, electrolytic, electroless, or another known method. At (D), the first resist layer 704 may be removed from the surface of the seed layer 701.


At (E), a second resist layer 706 (which may be patterned) may be formed over mesh 304. At (F), fill 306 may be selectively formed on the exposed seed layer 701 and sidewalls of the mesh 304. At (G), the mesh 304 and fill 306 are planarized (for example, by using CMP methods).


In one embodiment, a planarized substrate 104 may be prepared and bonded to another substrate. Additionally, a layer (which may be very thin) of a third (or more) conductive material (for example, a metal such as copper, copper alloy, etc.) may be selectively coated over the mesh 304 and fill 306.


In one example, the third conductive material (not shown) may be the same material as the mesh 304. In another embodiment, the third conductive material may be dissimilar from the mesh 304 and the fill 306. For example, the third conductive material may comprise silver, tin, indium, gallium, and/or a combination of the foregoing materials. In one embodiment, a melting point of the third conductive material may be similar or lower than the melting point of the mesh 304 or fill 306. Additionally, the melting of the third conductive material may be lower than a melting point of one or more conductive materials beneath mesh 304 and/or fill 306.


In one embodiment, a multi-metal structure 302 may be bonded to another multi-metal structure 302 (or any number of multi metal structures 302), using annealing, or the like (such as a direct bond interconnect (DBI) technique). In this manner, the surfaces of the multi-metal structures 302 may be directly bonded without an intervening adhesive layer.


As an example, the DBI technique may use a predetermined heat to bond such multi-metal structures 302. During annealing, a third layer flashing (not shown) on the mesh 304 and/or fill 306 may allow for an additional conductive material (e.g., nickel) to bond at the same temperature as either of the mesh 304 or fill 306. In such an embodiment, the coefficient of thermal expansion (CTE) of nickel (for example of potentially fill 306) may be less than the CTE of the copper or tin (for example of potentially mesh 304), thereby allowing the copper to expand more than the nickel, and filling the gaps in the metal to metal bond. In some embodiments, the third conductive material may be distinguishable from the material used for the mesh 304 and/or fill 306 in the bonded structures or substrates.


CONCLUSION

Although the implementations of the disclosure have been described in language specific to structural features and/or methodological acts, it is to be understood that the implementations are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are disclosed as representative forms of implementing example devices and techniques.


Each claim of this document constitutes a separate embodiment, and embodiments that combine different claims and/or different embodiments are within the scope of the disclosure and will be apparent to those of ordinary skill in the art upon reviewing this disclosure.

Claims
  • 1. A method comprising: providing a substrate having a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography;disposing a first conductive material having a first melting point within the recess or opening and forming a first portion of an interconnect structure of the substrate; anddisposing a second conductive material having a second melting point different from the first melting point within the recess or opening and at least partially surrounded by the first conductive material, the second conductive material forming a second portion of the interconnect structure of the substrate, the second portion of the interconnect structure comprising a plurality of conductive portions extending normal to the plane of the substrate; andproviding a barrier layer between the substrate and the first conductive material.
  • 2. The method of claim I, further comprising disposing a layer of the first conductive material over an exposed surface of the second portion of the interconnect structure.
  • 3. The method of claim 1, further comprising disposing a layer of a third conductive material, different from the first and second conductive materials over an exposed surface of the first and second portions of the interconnect structure.
  • 4. The method of claim I. further comprising disposing one or more additional conductive materials having one or more additional melting points different from the first melting point and the second melting point within the recess or opening and forming one or more additional portions of the interconnect structure of the substrate.
  • 5. The method of claim 1, further comprising disposing the first portion of the interconnect structure and the second portion of the interconnect structure as adjacent vertical layers, extending normal to a plane of the first surface of the substrate.
  • 6. The method of claim 5, further comprising disposing an adhesion layer comprising at least one of titanium, tantalum, or chromium within the recess or opening prior to disposing the adjacent vertical layers.
  • 7. The method of claim 1, wherein the substrate is a first substrate and the interconnect structure is a first interconnect structure, and further comprising bonding a second interconnect structure of a second substrate to the first interconnect structure by at least one of a direct bond of an insulator material to another insulator material without an adhesive or by a metal to metal diffusion bond, thereby forming one of an electrical contact pad or a via.
  • 8. The method of claim 1, wherein a coefficient of thermal expansion (CTE) of the first conductive material is different from a CTE of the second conductive material.
  • 9. A method, comprising: providing a microelectronic component comprising:providing a first substrate having a recess or opening extending from a first surface of the first substrate, at least a portion of the first surface of the first substrate having a planarized topography;disposing a first conductive material having a first melting point within the recess or opening, extending normal to a plane of the first substrate and forming a first portion of a first interconnect structure of the microelectronic component;disposing a second conductive material having a second melting point different from the first melting point within the recess or opening, extending normal to the plane of the first substrate and forming a second portion of the first interconnect structure of the microelectronic component, the second portion of the first interconnect structure comprising a plurality of conductive portions at least partially surrounded by the first conductive material; andbonding a second interconnect structure of a second substrate to the first interconnect structure by at least one of a direct bond of an insulator material to another insulator material without an adhesive or by a metal to metal diffusion bond, thereby forming one of an electrical contact pad or a via with the first interconnect structure and the second interconnect structure.
  • 10. The method of claim 9, further comprising disposing one or more additional conductive materials having one or more additional melting points different from the first melting point and the second melting point within the recess or opening of the first substrate and forming one or more additional portions of the first interconnect structure of the first substrate.
  • 11. The method of claim 10, furthercomprising: providing a recess or opening extending from a first surface of the second substrate of the microelectronic component, at least a portion of the first surface of the second substrate having a planarized topography;disposing a third conductive material having a third melting point within the recess or opening of the second substrate, extending normal to a plane of the second substrate and forming a first portion of the second interconnect structure; anddisposing a fourth conductive material having a fourth melting point different from the third melting point within the recess or opening of the second substrate, extending normal to the plane of the second substrate and contacting the first portion of the second interconnect structure and forming a second portion of the second interconnect structure.
  • 12. The method of claim 11, further comprising disposing one or more additional conductive materials having one or more additional melting points different from the third melting point and the fourth melting point within the recess or opening of the second substrate and forming one or more additional portions of the second interconnect structure of the second substrate.
  • 13. A method comprising: providing a substrate having a recess or opening extending from a first surface of the substrate, at least a portion of the first surface of the substrate having a planarized topography;disposing a first conductive material having a first coefficient of thermal expansion (CTE) within the recess or opening and forming a first portion of an interconnect structure, the first portion of the interconnect structure extending normal to a plane of the substrate;disposing a second conductive material having a second CTE different from the first CTE within the recess or opening, the second conductive material forming a second portion of the interconnect structure, the second portion of the interconnect structure comprising a plurality of conductive portions extending normal to the plane of the substrate, the first conductive material at least partially surrounding the second conductive material; anddisposing a barrier layer between the substrate and the first conductive material.
  • 14. The method of claim 13, further comprising disposing one or more additional conductive materials having one or more additional coefficients of thermal expansion different from the first CTE and the second CTE within the recess or opening and forming one or more additional portions of the interconnect structure.
  • 15. The method of claim 13, wherein the substrate is a first substrate and the interconnect structure is a first interconnect structure, and further comprising bonding a second interconnect structure of a second substrate to the first interconnect structure by at least one of a direct bond of an insulator material to another insulator material without an adhesive or by a metal to metal diffusion bond, thereby forming one of an electrical contact pad or a via.
  • 16. A method of fabricating a microelectronic component comprising: forming a recess or opening in a surface of a substrate;disposing a first conductive material having a first coefficient of theuiial expansion (CTE) within the recess or opening, the first conductive material at least partially filling the recess or opening and forming a first portion of an interconnect structure of the microelectronic component;disposing a second conductive material having a second CTE different from the first CTE within the recess or opening and forming a second portion of the interconnect structure of the microelectronic component; andplanarizing the surface of the substrate, the first conductive material, and the second. conductive material and forming a planar bonding surface comprising the first portion and the second portion of the interconnect stricture and a planar dielectric surface.
  • 17. The method of claim 16, further comprising forming one of an electrical contact pad or a via from the first portion and the second portion of the interconnect structure.
  • 18. The method of claim 16 further comprising mechanically coupling the planar bonding surface to a surface of a second substrate.
  • 19. The method of claim 18, further comprising directly bonding the planar bonding surface to the surface of the second substrate without an adhesive.
  • 20. The method of claim 16, further comprising forming a barrier layer or adhesive layer within the recess or opening before disposing the first conductive material.
PRIORITY CLAIM AND CROSS-REFERENCE TO RELATED APPLICATION

This application is a divisional of U.S. patent application Ser. No. 16/700,802, filed Dec. 2, 2019, which is a continuation of U.S. patent application Ser. No. 15/919,894, filed Mar. 13, 2018, and also claims the benefit under 35 U.S.C. § 119(e)(1) of U.S. Provisional Application No. 62/472,877, filed Mar. 17, 2017, entitled “MULTI-METAL CONTACT STRUCTURE,” all of which are hereby incorporated by reference in their entirety.

US Referenced Citations (358)
Number Name Date Kind
4939568 Kato et al. Jul 1990 A
4998665 Hayashi Mar 1991 A
5087585 Hayashi Feb 1992 A
5236118 Bower et al. Aug 1993 A
5322593 Hasegawa et al. Jun 1994 A
5413952 Pages et al. May 1995 A
5442235 Parrillo et al. Aug 1995 A
5489804 Pasch Feb 1996 A
5501003 Bernstein Mar 1996 A
5503704 Bower et al. Apr 1996 A
5516727 Broom May 1996 A
5610431 Martin Mar 1997 A
5734199 Kawakita et al. Mar 1998 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
5821692 Rogers et al. Oct 1998 A
5866942 Suzuki et al. Feb 1999 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6008126 Leedy Dec 1999 A
6063968 Hubner et al. May 2000 A
6071761 Jacobs Jun 2000 A
6080640 Gardner et al. Jun 2000 A
6097096 Gardner et al. Aug 2000 A
6117784 Uzoh Sep 2000 A
6123825 Uzoh et al. Sep 2000 A
6147000 You et al. Nov 2000 A
6232150 Lin et al. May 2001 B1
6258625 Brofman et al. Jul 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6265775 Seyyedy Jul 2001 B1
6297072 Tilmans et al. Oct 2001 B1
6316786 Mueller et al. Nov 2001 B1
6333120 DeHaven et al. Dec 2001 B1
6333206 Ito et al. Dec 2001 B1
6348709 Graettinger et al. Feb 2002 B1
6374770 Lee Apr 2002 B1
6409904 Uzoh et al. Jun 2002 B1
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6528894 Akram et al. Mar 2003 B1
6552436 Burnette et al. Apr 2003 B2
6555917 Heo Apr 2003 B1
6579744 Jiang Jun 2003 B1
6583515 James et al. Jun 2003 B1
6589813 Park Jul 2003 B1
6600224 Farquhar et al. Jul 2003 B1
6624003 Rice Sep 2003 B1
6627814 Stark Sep 2003 B1
6632377 Brusic et al. Oct 2003 B1
6660564 Brady Dec 2003 B2
6667225 Hau-Riege et al. Dec 2003 B2
6828686 Park Dec 2004 B2
6837979 Uzoh et al. Jan 2005 B2
6864585 Enquist Mar 2005 B2
6887769 Kellar et al. May 2005 B2
6902987 Tong et al. Jun 2005 B1
6908027 Tolchinsky et al. Jun 2005 B2
6909194 Farnworth et al. Jun 2005 B2
6962835 Tong et al. Nov 2005 B2
6974769 Basol et al. Dec 2005 B2
7045453 Canaperi et al. May 2006 B2
7078811 Suga Jul 2006 B2
7105980 Abbott et al. Sep 2006 B2
7109063 Jiang Sep 2006 B2
7126212 Enquist et al. Oct 2006 B2
7193423 Dalton et al. Mar 2007 B1
7238919 Kaneko et al. Jul 2007 B2
7354798 Pogge et al. Apr 2008 B2
7485968 Enquist et al. Feb 2009 B2
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
7998335 Feeney et al. Aug 2011 B2
8039966 Yang et al. Oct 2011 B2
8101858 Hannour et al. Jan 2012 B2
8168532 Haneda et al. May 2012 B2
8183127 Patti et al. May 2012 B2
8241961 Kim et al. Aug 2012 B2
8242600 Yang et al. Aug 2012 B2
8314007 Vaufredaz Nov 2012 B2
8349635 Gan et al. Jan 2013 B1
8377798 Peng et al. Feb 2013 B2
8435421 Keleher et al. May 2013 B2
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8728934 Uzho et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8988299 Kam et al. Mar 2015 B2
9000600 Uzoh et al. Apr 2015 B2
9093350 Endo et al. Jul 2015 B2
9123703 Uzoh et al. Sep 2015 B2
9142517 Liu Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9269612 Chen et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9318385 Uzoh et al. Apr 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9343330 Brusic et al. May 2016 B2
9349669 Uzoh et al. May 2016 B2
9368866 Yu Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9633971 Uzoh Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10147641 Enquist et al. Dec 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269708 Enquist et al. Apr 2019 B2
10269756 Uzoh Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10314175 Sato et al. Jun 2019 B2
10418277 Cheng et al. Sep 2019 B2
10434749 Tong et al. Oct 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10508030 Katkar et al. Dec 2019 B2
10515913 Katkar et al. Dec 2019 B2
10522499 Enquist et al. Dec 2019 B2
10707087 Uzoh et al. Jul 2020 B2
10784191 Huang et al. Sep 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10840135 Uzoh Nov 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10854578 Morein Dec 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10886177 DeLaCruz et al. Jan 2021 B2
10892246 Uzoh Jan 2021 B2
10923408 Huang et al. Feb 2021 B2
10923413 DeLaCruz Feb 2021 B2
10937755 Shah et al. Mar 2021 B2
10950547 Mohammed et al. Mar 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10985133 Uzoh Apr 2021 B2
10991804 DeLaCruz et al. Apr 2021 B2
10998292 Lee et al. May 2021 B2
11004757 Katkar et al. May 2021 B2
11011494 Gao et al. May 2021 B2
11011503 Wang et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11127738 DeLaCruz et al. Sep 2021 B2
11158606 Gao et al. Oct 2021 B2
11171117 Gao et al. Nov 2021 B2
11176450 Teig et al. Nov 2021 B2
11244920 Uzoh Feb 2022 B2
11256004 Haba et al. Feb 2022 B2
11264357 DeLaCruz et al. Mar 2022 B1
11276676 Enquist et al. Mar 2022 B2
11329034 Tao et al. May 2022 B2
11348898 DeLaCruz et al. May 2022 B2
11355443 Huang et al. Jun 2022 B2
11515279 Uzoh et al. Nov 2022 B2
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020025665 Juengling Feb 2002 A1
20020047208 Uzoh et al. Apr 2002 A1
20020074670 Suga Jun 2002 A1
20020094661 Enquist et al. Jul 2002 A1
20030092220 Akram May 2003 A1
20030157748 Kim et al. Aug 2003 A1
20040052390 Morales et al. Mar 2004 A1
20040052930 Basol et al. Mar 2004 A1
20040084414 Sakai et al. May 2004 A1
20040238492 Catabay et al. Dec 2004 A1
20060024950 Choi et al. Feb 2006 A1
20060057945 Hsu et al. Mar 2006 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20080073795 Kohl et al. Mar 2008 A1
20080122092 Hong May 2008 A1
20080237053 Andricacos et al. Oct 2008 A1
20090197408 Lehr et al. Aug 2009 A1
20090200668 Yang et al. Aug 2009 A1
20100255262 Chen et al. Oct 2010 A1
20100327443 Kim Dec 2010 A1
20110074040 Frank et al. Mar 2011 A1
20110084403 Yang et al. Apr 2011 A1
20120211894 Aoyagi Aug 2012 A1
20120212384 Kam et al. Aug 2012 A1
20130009321 Kagawa et al. Jan 2013 A1
20130020704 Sadaka Jan 2013 A1
20130221527 Yang Aug 2013 A1
20130252399 Leduc Sep 2013 A1
20130320556 Liu et al. Dec 2013 A1
20140015321 Uzoh Jun 2014 A1
20140175655 Chen et al. Jun 2014 A1
20140225795 Yu Aug 2014 A1
20140252635 Tran et al. Sep 2014 A1
20140264948 Chou et al. Sep 2014 A1
20140353828 Edelstein et al. Dec 2014 A1
20150064498 Tong Mar 2015 A1
20150108644 Kuang et al. Apr 2015 A1
20150206823 Lin et al. Jul 2015 A1
20150340269 Rivoire et al. Nov 2015 A1
20150380368 Momose et al. Dec 2015 A1
20160020183 Chuang et al. Jan 2016 A1
20160133598 Baudin et al. May 2016 A1
20160276383 Chuang et al. Sep 2016 A1
20160343682 Kawasaki Nov 2016 A1
20170025381 Tsai et al. Jan 2017 A1
20170047307 Uzoh Feb 2017 A1
20170062366 Enquist Mar 2017 A1
20170069575 Haba et al. Mar 2017 A1
20170086320 Barber Mar 2017 A1
20170141079 Kao et al. May 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170330855 Tung et al. Nov 2017 A1
20170355040 Utsumi et al. Dec 2017 A1
20180151523 Chen et al. May 2018 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182665 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180295718 Uzoh et al. Oct 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20190096741 Uzoh et al. Mar 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190319007 Uzoh et al. Oct 2019 A1
20190333550 Fisch Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20190393086 Uzoh Dec 2019 A1
20200006280 Shah et al. Jan 2020 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200075520 Gao et al. Mar 2020 A1
20200075534 Gao et al. Mar 2020 A1
20200075553 DeLaCruz et al. Mar 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200365575 Uzoh et al. Nov 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200381389 Uzoh et al. Dec 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210098411 Liff et al. Apr 2021 A1
20210098412 Haba et al. Apr 2021 A1
20210118864 DeLaCruz et al. Apr 2021 A1
20210143125 DeLaCruz et al. May 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193603 Katkar et al. Jun 2021 A1
20210193624 DeLaCruz et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210234070 Brueck et al. Jul 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220130787 Uzoh Apr 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220157752 Bourjot et al. May 2022 A1
20220165692 Uzoh et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
20220285303 Mirkarimi et al. Sep 2022 A1
20220319901 Suwito et al. Oct 2022 A1
20220320035 Uzoh et al. Oct 2022 A1
20220320036 Gao et al. Oct 2022 A1
20230005849 Chuang Jan 2023 A1
20230005850 Fountain, Jr. Jan 2023 A1
20230019869 Mirkarimi et al. Jan 2023 A1
20230036441 Haba et al. Feb 2023 A1
20230067677 Lee et al. Mar 2023 A1
20230069183 Haba Mar 2023 A1
20230100032 Haba et al. Mar 2023 A1
20230115122 Uzoh et al. Apr 2023 A1
20230122531 Uzoh Apr 2023 A1
20230123423 Gao et al. Apr 2023 A1
20230125395 Gao et al. Apr 2023 A1
20230130259 Haba et al. Apr 2023 A1
20230132632 Katkar et al. May 2023 A1
20230140107 Uzoh et al. May 2023 A1
20230142680 Guevara et al. May 2023 A1
20230154816 Haba et al. May 2023 A1
20230154828 Haba et al. May 2023 A1
20230187264 Uzoh et al. Jun 2023 A1
20230187317 Uzoh Jun 2023 A1
20230187412 Gao et al. Jun 2023 A1
20230197453 Fountain, Jr. et al. Jun 2023 A1
20230197496 Theil Jun 2023 A1
20230197559 Haba et al. Jun 2023 A1
20230197560 Katkar et al. Jun 2023 A1
20230197655 Theil et al. Jun 2023 A1
20230207402 Fountain, Jr. et al. Jun 2023 A1
20230207437 Haba Jun 2023 A1
20230207474 Uzoh et al. Jun 2023 A1
20230207514 Gao et al. Jun 2023 A1
20230215836 Haba et al. Jul 2023 A1
20230245950 Haba et al. Aug 2023 A1
20230268300 Uzoh et al. Aug 2023 A1
Foreign Referenced Citations (9)
Number Date Country
107256852 Sep 2019 CN
2000-183061 Jun 2000 JP
2002-353416 Dec 2002 JP
2013-33786 Feb 2013 JP
2018-129475 Aug 2018 JP
2018-160519 Oct 2018 JP
10-2008-0050129 Jun 2008 KR
10-2016-0066272 Jun 2016 KR
2005-043584 May 2005 WO
Non-Patent Literature Citations (47)
Entry
Ker, Ming-Dou et al., “Fully Process-Compatible Layout Design on Bond Pad to Improve Wire Bond Reliability in CMOS ICs,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Moriceau, H. et al., “Overview of Recent Direct Wafer Bonding Advances and Applications”, Advances in Natural Sciences—Nanoscience and Nanotechnology, 2010, 12 pages.
Nakanishi, H. et al., “Studies on SiO2—SiO2 Bonding with Hydrofluoric Acid. Room Temperature and Low Stress Bonding Technique for MEMS,” Tech. Research Lab., 200, Elsevier Science S.A., 8 pages.
Oberhammer et al., “Sealing of Adhesive Bonded Devices on Wafer Level,” in Sensors and Actuators A, vol. 110, No. 1-3, pp. 407-412, Feb. 29, 2004, see pp. 407-412; and figures 1(a)-1(l), 6 pages.
Plobi et al., “Wafer Direct Bonding: Tailoring Adhesion Between Brittle Materials,” Materials Science and Engineering Review Journal, 1999, 88 pages.
Suga et al., “Bump-less Interconnect for Next Generation System Packaging”, IEEE, 2001 and ECTC, 2001, 6 pages.
Suga et al., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—a new concept of bump-less direct bonding for system level packaging”, IEEE, 2000, 1 page.
International Search Report and Written Opinion, dated Jun. 29, 2018, for PCT Application No. PCT/US2018/022379.
Akolkar, R., “Current status and advances in Damascene Electrodeposition,” Encyclopedia of Interfacial Chemistry: Surface Science and Electrochemistry, 2017, 8 pages.
Che, F.X. et al., “Study on Cu protrusion of through-silicon via,” IEEE Transactions on Components, Packaging and Manufacturing Technology, May 2013, vol. 3, No. 5, pp. 732-739.
Dela Pena, Eden M. et al., “Electrodeposited copper using direct and pulse currents from electrolytes containing low concentration of additives,” School of Chemical and Process Engineering, University of Strathclyde, 2018 Surface and Coating Technology, 40 pages.
De Messemaeker, Joke et al., “Correlation between Cu microstructure and TSV Cu pumping,” 2014 Electronic Components & Technology Conference, pp. 613-619.
Di Cioccio, L. et al., “An overview of patterned metal/dielectric surface bonding: Mechanism, alignment and characterization,” Journal of the Electrochemical Society, 2011, vol. 158, No. 6, pp. P81-P86.
Ganesan, Kousik, “Capable copper electrodeposition process for integrated circuit—substrate packaging manufacturing,” A dissertation presented in partial fulfillment of the requirments for the degree Doctor of Philosophy, Arizona State University, May 2018, 320 pages.
Gondcharton, P. et al., “Kinetics of low temperature direct copper-copper bonding,” Microsyst Technol, 2015, vol. 21, pp. 995-1001.
Heryanto, A. et al., “Effect of copper TSV annealing on via protrustion for TSV wafer fabrication,” Journal of Electronic Materials, 2012, vol. 41, No. 9, pp. 2533-2542.
Hobbs, Anthony et al., “Evolution of grain and micro-void structure in electroplated copper interconnects,” Materials Transactions, 2002, vol. 43, No. 7, pp. 1629-1632.
Huang, Q., “Effects of impurity elements on isothermal grain growth of electroplated copper,” Journal of the Electrochemical Society, 2018, vol. 165, No. 7, pp. D251-D257.
Huang, Q., “Impurities in the electroplated sub-50 nm Cu lines: The effects of the plating additives,” Journal of the Electrochemical Society, 2014, vol. 161, No. 9, pp. D388-D394.
International Search Report and Written Opinion for PCT/US2018/022379, dated Jun. 29, 2018.
Jiang, T. et al., “Plasticity mechanism for copper extrusion in through-silicon vias for three-dimensional interconnects,” Applied Physics Letters, 2013, vol. 103, pp. 211906-1-211906-5.
Juang, Jing-Ye et al., “Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient,” Scientific Reports, Sep. 17, 2018, vol. 8, 11 pages.
Kim, Myung Jun et al., “Characteristics of pulse-reverse electrodeposited Cu thin film,” I. Effects of Anodic Step in the Absence of an Organic Additives, Journal of the Electrochemical Society, 2012, vol. 159, No. 9, pp. D538-D543.
Kim, Myung Jun et al., “Characteristics of pulse-reverse electrodeposited Cu thin film,” II. Effects of Organic Additives, Journal of the Electrochemical Society, 2012, vol. 159, No. 9, pp. D544-D548.
Liu, C. et al., “Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu,” Scientific Reports, May 12, 2015, 5:09734, pp. 1-11.
Liu, Chien-Min et al., “Effect of grain orientations of Cu seed layers on the growth of <111>-oriented nanotwinned Cu,” Scientific Reports, 2014, vol. 4, No. 6123, 4 pages.
Liu, Zi-Yu et al. “Detection and formation mechanism of micro-defects in ultrafine pitch Cu—Cu direct bonding,” Chin. Phys. B, 2016, vol. 25, No. 1, pp. 018103-1-018103-7.
Lu, L. et al., “Grain growth and strain release in nanocrystalline copper,” Journal of Applied Physics, vol. 89, Issue 11, pp. 6408.
Mendez, Julie Marie, “Characterization of copper electroplating and electropolishing processes for semiconductor interconnect metallization,” Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy, Department of Chemical Engineering, Case Western Reserve University, Aug. 2009, 140 pages.
Menk, L.A. et al., “Galvanostatic plating with a single additive electrolyte for bottom-up filling of copper in Mesoscale TSVs,” Microsystems and Engineering Sciences Applications (MESA) Complex, Sandia National Laboratories, Albuquerque, New Mexico, 2019 J. Electrochem. Soc. 166, 17 pages.
Mott, D. et al., “Synthesis of size-controlled and shaped copper nanoparticles,” Langmuir, 2007, vol. 23, No. 10, pp. 5740-5745.
Ortleb, Thomas et al., “Controlling macro and micro surface topography for a 45nm copper CMP process using a high resolution profiler,” Proc. of SPIE, 2008, vol. 6922, 11 pages.
Parthasaradhy, N.V., “Practical Electroplating Handbook,” 1989, Prentice-Hall, Inc., pp. 54-56.
Saraswat, Stanford Presentation, Cu Interconnect slides, web page web.stanford.edu/class/ee311/NOTES/Cu_Interconnect_Slides.pdf, 19 pages.
Song, Xiaohui, “Atomic study of copper-copper bonding using nanoparticles,” Journal of Electronic Packaging, Jun. 2020, vol. 142, 5 pages.
Song, Xiaoning, “Microstructure and mechanical properties of electrodeposited copper films,” A thesis submitted to the College of Engineering and Physical Sciences of the University of Birmingham, 2011, web page etheses.bham.ac.uk/id/eprint/1764/, 111 pages.
Swingle, Karen D., “Nanograin Copper Deposition Using an Impinging Jet Electrode,” A Thesis submitted in partial satisfaction of the requirements of the degree of Master of Science, University of California, San Diego, 2013, 102 pages.
Takahashi, K. et al., “Transport phenomena that control electroplated copper filling of submicron vias and trenches,” Journal of the Electrochemical Society, 1999, vol. 146, No. 12, pp. 4499-4503.
Zheng, Z. et al., “Study of grain size effect of Cu metallization on interfacial microstructures of solder joints,” Microelectronics Reliability, 2019, vol. 99, pp. 44-51.
Basol et al., “Study on the Mechanism of Electrochemical Mechanical Deposition of Copper Layers,” Nu Tool Inc., 1655 McCandless Drive, Milpitas, CA 95035, Electrochemical Processes in ULSI and MEMS, Proceedings of the International Symposium; Proceedings vol. 2004-2017, pp. 155-160.
Khan, Muhammed et al., “Damascene Process and Chemical Mechanical Planarization,” http://www.ece.umd.edu/class/enee416/GroupActivities/Damascene%20Presentation.pdf, 25 pages.
Basol et al., “Electrochemical mechanical deposition (ECMDT technique for semiconductor interconnect applications,” Microelectronic Engineering, 2002, vol. 64, pp. 43-51.
Basol et al., “Planar copper plating and electropolishing techniques, ”Chemical Engineering Communication, Jul. 2006, 14 pages.
Bush, Steve, “Electronica: Automotive power modules from On Semi,” ElectronicsWeekly.com, indicating an Onsemi AR0820 product was to be demonstrated at a Nov. 2018 trade show, https://www.electronicsweekly.com/news/products/power-supplies/electronica-automotive-power-modules-semi-2018-11/ (published Nov. 8, 2018; downloaded Jul. 26, 2023).
Morrison, Jim et al., “Samsung Galaxy S7 Edge Teardown,” Tech Insights (posted Apr. 24, 2016), includes description of hybrid bonded Sony IMX260 dual-pixel sensor, https://www.techinsights.com/blog/samsung-galaxy-s7-edge-teardown, downloaded Jul. 11, 2023, 9 pages.
Onsemi AR0820 image, cross section of a CMOS image sensor product. The part in the image was shipped on Sep. 16, 2021. Applicant makes No. representation that the part in the image is identical to the part identified in the separately submitted reference BUSH, Nov. 8, 2018, ElectronicsWeekly.com (“BUSH article”); however, the imaged part and the part shown in the BUSH article share the part number “Onsemi AR0820.”.
Sony IMX260 image, cross section of Sony dual-pixel sensor product labeled IMX260, showing peripheral probe and wire bond pads in a bonded structure. The part in the image was shipped in Apr. 2016. Applicant makes No. representation that the part in the image is identical to the part identified in the separately submitted reference Morrison et al. (Tech Insights article dated Apr. 24, 2016), describing and showing a similar sensor product within the Samsung Galaxy S7; however the imaged part and the part shown in the Morrison et al. article share the part name “Sony IMX260.”.
Related Publications (1)
Number Date Country
20210335737 A1 Oct 2021 US
Provisional Applications (1)
Number Date Country
62472877 Mar 2017 US
Divisions (1)
Number Date Country
Parent 16700802 Dec 2019 US
Child 17370576 US
Continuations (1)
Number Date Country
Parent 15919894 Mar 2018 US
Child 16700802 US