The present disclosure relates to integrated circuit (IC) packages, and more particularly to an IC package having a backside lead for power via clock.
An integrated circuit (IC) package includes various elements assembled together in a package suitable for handling or mounting on a printed circuit board (PCB) or other support structure. The elements of an IC package are referred to herein as “integrated circuit package devices” or “IC package devices.” Some example types of IC package devices include IC dies (also referred to as chips), passive interposers (interposers including passive electrical routing elements, resistors, capacitors, and inductors), and active interposers (interposers including electrical routing elements as well as active circuit elements, e.g., transistors, voltage regulators, or input/output controllers, without limitation).
IC package devices may be arranged and packaged in various manners to form various different types of IC packages, including DIP (Double In-line Package), SOP/SOIC/SO (Small Outline Package/Small Outline Integrated Circuit/Small Outline), QFP (Quad Flat Package), QFN/LCC (Quad Flat Non-leaded Package/Leadless Chip Carrier), BGA (Ball Grid Array Package), CSP (Chip Scale Package), and SiP (System-in-Package), among many others.
Moore's law suggests that the number of transistors in a dense IC doubles about every two years. It is increasingly expensive to produce smaller transistors and smaller transistors may not be compatible or efficient with certain transistor structures, such as RibbonFET or FinFETs. Thermal constraints limit gate-all-around (GAA)/RibbonFET die designs. Certain structures, particularly long channel, large area Power Distribution Network (PDN) and Clock-Tree integrated circuits (IC) tend to induce hot spots in a die, particularly in 20 Angstrom/18 Angstrom and smaller. Dense front-end-of-line (FEOL) metal pitch further limits RibbonFET and FinFET designs, in particular, where the complementary metal-oxide-semiconductor (CMOS) of a known-good-die (KGD) (e.g., PDN or Clock-Tree) is in the IC die. PowerVia is a backside power delivery scheme and RibbonFET is a transistor technology based on GAA transistors, both by Intel Corporation. At the time of the present application, a most advanced IC die is 5˜3 nm CMOS, an advanced IC die is a 300 mm wafers IC produced during the recent 5˜10 years like 90 nm˜16 nm, and a Legacy IC die is a 6″-8″ silicon wafer technology node (insulated-gate bipolar transistor 350 nm˜110 nm).
A chiplet is a tiny integrated circuit (IC) that contains a well-defined subset of functionality. It is designed to be combined with other chiplets on an interposer in a single package. A set of chiplets can be implemented in a mix-and-match “LEGO-like” assembly. Certain structures, particularly Power Distribution Network (PDN) and Clock-Tree integrated circuits (IC) may be disaggregated into respective chiplets.
There is a need for a backside power delivery method in IC packages, in particular, for (RibbonFET) or fin-shaped field-effect transistor (FinFET) transistor architectures implementing Power Distribution Network (PDN) and Clock-Tree circuit functionality.
According to one aspect, there is provided an integrated circuit package, including: an integrated circuit package device including a chip, a substrate, and a contact element or lead, the contact element or lead bonded to a back-side of the chip, the integrated circuit package comprises disaggregated parts including: a clock tree, a power distribution network (PDN), and a low drop-out linear regulator (LDO) for power management, wherein the contact element or lead is attached to a through-silicon via (TSV) pad of an Advanced IC die.
An aspect provides an apparatus comprising: a substrate having first and second substrate contacts; a chip having a front-side chip contact and first and second back-side chip contacts, the front-side chip contact electrically connected to the first substrate contact; a chiplet having a chiplet contact electrically connected the first back-side chip contact; and a lead electrically connected to the second back-side chip contact and electrically connected to the second substrate contact
According to another aspect, there is provided a method comprising: mounting a front-side of a chip to a substrate, wherein the chip has a front-side chip contact and first and second chip back-side contacts and the substrate has first and second substrate contacts; electrically connecting the front-side chip contact to the first substrate contact; mounting a chiplet to a back-side of the chip, wherein the chiplet has a chiplet contact; electrically connecting the chiplet contact to the first chip back-side contact; and electrically connecting a lead to the second back-side chip contact and to the second substrate contact, wherein the lead is capable of conducting power to the chip.
Another aspect provides a system comprising: a substrate having first and second substrate contacts; a chip having a front-side chip contact and first, second, and third back-side chip contacts, the front-side chip contact electrically connected to the first substrate contact; a clock tree chiplet having a clock tree chiplet contact electrically connected the first back-side chip contact, wherein the clock tree chiplet comprises a clock tree circuit; a power distribution network chiplet having a power distribution network contact electrically connected the third back-side chip contact, wherein the power distribution network chiplet comprises a power distribution network circuit; and a lead electrically connected to the second back-side chip contact and electrically connected to the second substrate contact.
The figures illustrate integrated circuit (IC) packages, and more particularly show an IC package module including power via clock package.
The reference number for any illustrated element that appears in multiple different figures has the same meaning across the multiple figures, and the mention or discussion herein of any illustrated element in the context of any particular figure also applies to each other figure, if any, in which that same illustrated element is shown.
The present disclosure provides an IC package including an IC package device and a bonding system for bonding the IC package device to other IC package devices in the IC package. The bonding system may include at least one bonding element array, including at least one multi-component bonding element comprising a conduction component and a bonding component. IC packages including at least one IC package device are also provided, along with methods for forming IC packages, such as bonding methods.
Dense front-end-of-line (FEOL) metal pitch may benefit from backside power delivery, where power/ground lines are wired from wafer/IC backside through redistribution layers (RDL) and through-silicon vias (TSVs). Thermal constraints become an important concern for gate-all-around (GAA)/RibbonFET dies. Certain structures, particularly a power distribution network (PDN) and Clock-Tree ICs may be good candidates for disaggregation into chiplets, i.e. into an IC that contains a well-defined functionality, which is designed to be combined with other chiplets in an IC package. Removal of clock tree and power distribution networks from the front-side of a chip or IC die within a package may free up important real estate on an IC die and remove some waste heat from the IC die.
Aspects may provide a way of attaching power to provide various supply DC voltages and ground, wherein Vdd could be any of 5V/3.3V/1.8V/1.2V, may also supply half of Vdd or an Analog-Vdd or a Digital-Vdd from a Power Management IC chiplet, such as low drop-out linear regulators (LDO). Thermal vias may reduce thermal resistance that release waste heat from an IC to the environment. If thermal vias can also provide DC voltage or ground connections, those vias may have very low Ohmic resistance. Attaching ground and power through thermal vias to the package substrate or printed circuit board (PCB) through wire bond or lead frame may provide a good ground and improved resistance. By routing the ground and power from the backside of the substrate through the redistribution layers (RDL) and through-silicon vias (TSVs) to the FEOL, metal performance (layout and resistance-capacitance product (RC)) may be improved.
A lead frame for bonding to the IC die by printed-on solder or nanoparticle (NP) ink deposition is described. An IC die that may have solder or nanoparticle (NP) ink printed over through-silicon via (TSV) pads for low-down force wire bonding is described.
The complementary metal-oxide-semiconductor (CMOS) of a known-good-die (KGD) (e.g., clock tree, or PDN) may be removed from the IC die, and replaced with a respective clock tree or PDN chiplet mounted to a backside of the IC die. The clock tree or PDN chiplet may be mounted directly onto a redistribution layer (RDL) or pads and connected through through-silicon vias (TSVs) of the IC die. The clock tree or PDN chiplet, or both chiplets, may be mounted by wirebond or soldered to a lead frame, which is connected to the RDL or to pads of the IC die. Backside wirebond or lead frame connections to power, ground, and thermal mitigation may be routed through the RDL and TSVs to the main IC die. The lead frame may be soldered to a GAA die as well as soldered to the package substrate/printed circuit board (PCB).
A backside lead frame as described herein may be built upon any IC wired for power/ground through TSVs, and may be mounted onto a process on record (POR) substrate (PCB/package substrate). Power and ground from the backside of the wafer may improve FEOL metal layout and efficiency, as typically the power and ground lines have the largest critical dimensions (CDs), and as a result it may decrease resistance-capacitance (RC) problems. A CD in this context is a conductor line width measured on the order of microns (μm), because the power and ground lines may need to pass fast AC or DC-pulse current (on the order of nanoseconds) with a current on the order of several milliamperes.
Advanced technologies share very little processing with standard silicon MOSFETs making it extremely expensive to build “support transistors” on the same die used for advance technologies. Addition of sticky vias/pads in place of normal through-silicon via (TSV) pads may allow for reduced damage and improved bonding (of a KGD, a wire, pr lead frames) to the back-side of the IC die(s). Sticky flipchip contacts and sticky bonding elements may include a conduction component for providing a conductive connection with a complementary device (e.g., an IC die, interposer, or other IC package module) and a bonding component for forming a physical bond with the complementary device. Sticky vias/pads are fully disclosed in U.S. patent application Ser. No. 17/665,749 filed Feb. 7, 2022 and U.S. patent application Ser. No. 17/667,275 filed Feb. 8, 2022, the entire contents of which are hereby incorporated by reference for all purposes.
For some applications, the copper leads 27 of double side lead frame 26 may be a fat metal lead, such as 35K, 200K, wire bond, or a copper clip. The copper leads 27 may provide a path to the substrate 22 to provide a path for Power vIa Clock. Chiplets 12 and 14 may interface with the copper leads 27 through a redistribution layer 16 and through TSV pads 18.
An IC package including an IC package device and a bonding system may bond the IC package device to other IC package devices in an IC package for backside power delivery methods (RibbonFET) or fin-shaped field-effect transistor (FinFET) transistor architectures. Some bonding systems may have 50 GHz wire bond. The bonding system may include a double-side lead frame 26 with sticky flipchip contacts on the bottom and wire bond contacts on the top.
Referring to
The double sided lead frame 26 may be bonded by solder paste or print Sn pre-dip, or molten Sn pre-dip on the substrate 22, before bump balls 32 are added to the bottom of the substrate 22. A data center AI-retrain single or 2 or 4 chiplets 2 nm→1 nm uBump may be added on a Nan Ya or Kyocera substrate 22 before bump balls 32 are added.
Certain examples provide for a copper lead frame with Sn-dip on any kinds of interposer (polymer, through glass via (TGV), Al2O3 interposer and silicon interposer).
In some examples, a clock Tree KGD ASIC (produced with 55 nm process) with MEMS may be implemented rather than a traditional and fishbone CTS characteristics (Mentor). Clock tree and clock mesh structures may both be used for Power vIa Clock (PIC). After Si-TCV back-side grinding, then KGD of a fishbone clock tree may be die bonded. Power Via Clock (PIC) may make SoC (M1˜M12) greater than 80% lower energy, and may also provide for high bandwidth Data Traffics. Power vIa Clock (PIC) may make Die-Bonding (tsv-STI) a few KGDs for Fish-Clock-Mesh and LDO (various Vdd, Sub-Vdd and GND). Power vIa Clock (PIC) may make RDL of Interposer-Pair become very low power and may also provide a very high data bandwidth (S/N and Byte error rate). Power vIa Clock (PIC) may have a few (sticky very big width >100 um) Lead-Frames to Wire (re-Sync) PIC back to an Interposers' redistribution layer RDL. This Power vIa Clock (PIC) can bring hundreds of micron copper distance of PCB or interposer technology to nanometer copper distance. Huge RC delay and energy consumption of copper interconnect between Moore′ die and Clock die or Power-IC can be achieved.
In some applications, solder may be replaced with all-copper interconnects.
A redistribution layer may be mounted or deposited on the back-side of the chip and a chiplet may be mounted to the back-side of the redistribution layer. The chip may be electrically connected to the chiplet through a through-silicon via in the redistribution layer. The lead may be mounted to the back-side of the chip and the chiplet mounted to the lead, and the chiplet may be wire bound to the lead. The chiplet may be mounted to the backside of the chip by soldering the chiplet to the lead.
Although examples have been described above, other variations and examples may be made from this disclosure without departing from the spirit and scope of these disclosed examples.
This application is a Continuation-in-Part of U.S. patent application Ser. No. 17/665,749, filed Feb. 7, 2022, which claims priority to U.S. Patent Application No. 63/249,854, filed Sep. 29, 2021, the entire contents of which are hereby incorporated by reference for all purposes. This application is a Continuation-in-Part of U.S. patent application Ser. No. 17/667,275, filed on Feb. 8, 2022, which claims priority to U.S. Patent Application No. 63/251,412, filed on Oct. 1, 2021, the entire contents of which are hereby incorporated by reference for all purposes.
Number | Date | Country | |
---|---|---|---|
63319308 | Mar 2022 | US |