Structures and methods for reliable packages

Information

  • Patent Grant
  • 11056390
  • Patent Number
    11,056,390
  • Date Filed
    Wednesday, December 18, 2019
    4 years ago
  • Date Issued
    Tuesday, July 6, 2021
    2 years ago
Abstract
A device and method of forming the device that includes cavities formed in a substrate of a substrate device, the substrate device also including conductive vias formed in the substrate. Chip devices, wafers, and other substrate devices can be mounted to the substrate device. Encapsulation layers and materials may be formed over the substrate device in order to fill the cavities.
Description
TECHNICAL FIELD

Embodiments of the present invention relate to structures of reliable packages.


DISCUSSION OF RELATED ART

Thin wafer handling in 2.5D and 3D technologies adds cost and complexity in assembly. In particular, wafer bow and cracking of thin wafers, including the interposer, can cause great difficulty during assembly. Current Chip-on-Wafer-on-Substrate (CoWoS) technologies also face challenges with wafer bow and interposer cracking during fabrication. Furthermore, thermal issues in 2.5D and 3D technologies may also lead to warpage and cracking of the components.


Therefore, there is a need for better management of the assembly of packages.


SUMMARY

In accordance with aspects of the present invention a method of forming a device includes etching one or more crack arresting cavities in a first side of a substrate device to form crack arrests, the substrate device including conductive vias formed in a substrate; mounting chip devices to the first side of the substrate device to electrically contact the vias; depositing an encapsulation layer over the chip devices and filling the crack arrests cavities; planarizing a second side to reveal the vias on the second side; and singulating through the cavities to form separated packages, with each package having one or more chip devices mounted on each singulated substrate device.


In some embodiments, a method of forming a device includes mounting a wafer to a first side of the substrate device to electrically contact with conducting vias formed in the substrate device; planarizing a second side of the substrate device to reveal the conducting vias on the second side; etching one or more cavities in the second side of the substrate; depositing an encapsulation layer on the side side of the substrate; and singulating through the cavities to form separated packages, with each package having one or more chip devices mounted on each singulated substrate device.


In some embodiments, a device can include a substrate device with conductive vias formed in a substrate, the conductive vias being exposed on a second side of the substrate; cavities formed in the substrate device; chip devices mounted to a first side of the substrate device in electrical contact with the conductive vias; and an encapsulation layer covering the chip devices and filling the cavities.


In some embodiments, a device can include a substrate device with conductive vias formed in a substrate, the conductive vias being exposed on a second side of the substrate; a wafer mounted on a first side; cavities formed in the substrate device; and an encapsulation layer covering the second side and filling the cavities.


These and other embodiments are further discussed below with respect to the following figures.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 illustrates a process of assembly according to some embodiments of the present invention.



FIGS. 2A through 2M illustrate further the process of assembly illustrated in FIG. 1.



FIG. 3 illustrates a process for stacking devices according to some embodiments.



FIGS. 4A through 4I illustrate further the process of stacking illustrated in FIG. 3.





DETAILED DESCRIPTION

In the following description, specific details are set forth describing some embodiments of the present invention. It will be apparent, however, to one skilled in the art that some embodiments may be practiced without some or all of these specific details. The specific embodiments disclosed herein are meant to be illustrative but not limiting. One skilled in the art may realize other elements that, although not specifically described here, are within the scope and the spirit of this disclosure.


This description and the accompanying drawings that illustrate inventive aspects and embodiments should not be taken as limiting—the claims define the protected invention. Various mechanical, compositional, structural, and operational changes may be made without departing from the spirit and scope of this description and the claims. In some instances, well-known structures and techniques have not been shown or described in detail in order not to obscure the invention.


Additionally, the drawings are not to scale. Relative sizes of components are for illustrative purposes only and do not reflect the actual sizes that may occur in any actual embodiment of the invention. Like numbers in two or more figures represent the same or similar elements. Further, descriptive elements such as “above” or “below” are relative to the other elements of the drawing on the drawing page and are not meant to denote absolute directionality. For example, a film described as being above a substrate may, when the substrate is turned over, actually be below the substrate. Therefore, terms such as “above” and ‘below” should not be interpreted as limiting but as providing only relative positioning.


Assembly according to some embodiments of the present invention can lead to encapsulation and isolation of devices throughout the assembly. In such cases, there can be little or no thin wafer handling concerns and thermal management can be enhanced. In some embodiments, crack propagation within the wafer or substrate can be arrested. Further, assembly processes according to some embodiments can be highly scalable to large devices or interposer structures.



FIG. 1 illustrates a process 100 for providing a package. As shown in FIG. 1, a substrate device is supplied in step 102. As shown in FIG. 2A, substrate device 200 includes a substrate 202 with through-vias 204 formed in substrate 202. A redistribution layer (RDL) or back end-of-line layer (BEOL) 206 can be formed over substrate 202 and can be in contact with vias 204. In some embodiments, substrate 202 can be silicon or glass. Vias 204 can be through-silicon-vias (TSV)s formed with metallization materials.


As shown in FIG. 1, a cavity etch step 104 is performed on device substrate 200. As illustrated in FIG. 2B, an etch mask 208 is formed over layer 206 and device substrate 200 is etched through mask 208 to form crack arrests 210. In some embodiments, as shown in FIG. 2B, crack arrests 210 are formed at least as deep into substrate 202 as are vias 204. In some embodiments, crack arrests 210 are etched as deeply as are vias 204. Mask 208 can then be removed from over layer 206.


In step 106 of process 100, as illustrated in FIG. 2C, a chip device 212 is mounted over layer 206. Layer 206 provides for interconnects between chip device 212 and vias 204. In step 108, as illustrated in FIG. 2D, an encapsulation layer 214 is deposed over chip device 212 and layer 206 such that crack arrests 210 are filled and chip devices 212 are encapsulated between encapsulation layer 214 and layer 206. In step 110 of process 100, as illustrated in FIG. 2E, encapsulation layer 214 can be planarized so that its thickness is reduced. Encapsulation layer 214, however, still encapsulates chip devices 212. Encapsulation material for layer 214 can be a low coefficient of thermal expansion (CTE) dielectric material. In some embodiments, crack arrests 210 can be coated with a thin insulating layer such as TaN or TiN and encapsulation material for layer 214 can be a hard material such as aluminum oxide or other such material.


In step 112 of process 100, as illustrated in FIG. 2F, substrate 202 (the backside of substrate device 200) is ground and planarized to expose vias 204. In some embodiments, as is shown in FIG. 2F, crack arrests 210 filled with encapsulation material of layer 214 are also exposed to form isolation bridges. Embodiments where crack arrests 210 are not exposed in step 112 are discussed starting with FIG. 2J below.


In step 114, as illustrated in FIG. 2G, an RDI layer 216 can be formed in contact with vias 204.


As shown in step 116 and illustrated in FIG. 2H, a singulation process is performed to split substrate device 200 along crack arrests 210. As such, as is shown in FIG. 2I, two devices 220 and 222 are separated by cut 218 through crack arrests 210. Returning to step 112 of process 100, in some embodiments crack arrests 210 are not exposed. As shown in FIG. 2J, if crack arrests 210 are not formed as deeply into substrate 202 as is vias 204, a substrate bridge 224 is formed during planarization. In such a case, crack arrests 210, filled with encapsulation material of encapsulation layer 214, are separated from the plane formed by the exposed vias 204 by a remainder of substrate material of substrate layer 202 to form the substrate bridge 224.


In step 114, as shown in FIG. 2K, an RDI layer or bonding layer 226 can be formed. As shown in step 116 and illustrated in FIG. 2L, a singulation process is performed to split substrate device 200 along crack arrests 210. As such, as is shown in in FIG. 2M, a device 230 is formed by a cut 228 through crack arrests 210.


Forming crack arrests 210 in device substrate 200 and then encapsulating chip devices 212 with encapsulation layer 214 protects chip devices 212 and substrate device 200 from cracking and warping throughout the assembly process. Further, such processes help to thermally manage the process so that thermal effects do not add to the warpage and cracking of the components.



FIG. 3 illustrates a process 300 that illustrates some further aspects of embodiments of the present invention. As shown in FIG. 3, process 300 starts at step 302 with substrate device 400. As shown in FIG. 4A, substrate device 400 may be the same as substrate device 200 shown in FIG. 2A and may include a substrate 202, vias 204, and an RDL layer 206.


In step 304 a wafer or chip device may be mounted on RDL layer 206. FIG. 4B illustrates a wafer 402 mounted on RDL layer 206. However, one or more chip devices may be mounted as well. Wafer 402 may represent any combination of other vias and chips mounted on RDL layer 206.


In step 306, the backside of substrate device 400 may be ground to planarize the device and reveal vias 204, as is shown in FIG. 4C. As is illustrated in FIG. 4C, substrate 202 is ground to reveal vias 204. In step 308, and as illustrated in FIG. 4D, an RDL layer 404 may be deposited in contact with the exposed vias 204. In some embodiments, RDL layer 404 may be omitted.


In step 310, and as shown in FIG. 4E, a mask 406 may be formed on RDL layer 404. Mask 406 may be formed by patterning a resist deposited over RDL layer 404. In step 312, and as shown in FIG. 4F, substrate device 400 is etched through mask 406 to form crack arrests 408. Mask layer 406 can then be removed. In some embodiments, chip devices (not shown) can be mounted to RDL layer 404.


In step 314, and as shown in FIG. 4Q an encapsulation layer 410 is deposited on RDL layer 404 and filling crack arrests 408. If any chip devices are mounted on RDL layer 404, then encapsulation layer 410 can encapsulated the mounted chip devices.


In step 316, and as illustrated in FIG. 4H, the encapsulation layer 410 can be removed to RDL layer 404, or if any chip devices are mounted on RDL layer 404 to the chip devices, or if RDL layer 404 is absent to expose vias 204. In step 318, as shown in FIG. 4I another substrate device 412 can be stacked with substrate device 400. As shown in FIG. 4I, substrate device 412 can include a substrate 404 with RDL layers 412 and 424 and with vias 418 formed between RDL layers 412 and 424. Further, crack arrests 420 filled with encapsulation material 422 are formed. Substrate device 412 is mounted to substrate device 400 such that RDL layer 424 contacts RDL layer 404. As such, substrate device 412 can be formed similarly to substrate device 400 except that wafer 402 is absent.


As shown in process 300, in some embodiments multiple layers can be stacked and backside etching can be performed. It should be noted that aspects of process 300 can be included in process 100 in order to stack multiple components. Further, the stacked combination of substrate device 412 with substrate device 400 can be separated by cutting through crack arrests 420 and crack arrest 410.


In the preceding specification, various embodiments have been described with reference to the accompanying drawings. It will, however, be evident that various modifications and changes may be made thereto, and additional embodiments may be implemented, without departing from the broader scope of the invention as set for in the claims that follow. The specification and drawings are accordingly to be regarded in an illustrative rather than restrictive sense.

Claims
  • 1. A stacked device comprising: a first semiconductor element having a first upper surface, a first lower surface, and a first side surface extending between the first upper surface and the first lower surface;a second semiconductor element stacked on the first semiconductor element, the second semiconductor element having a second upper surface, a second lower surface, and a second side surface extending between the second upper surface and the second lower surface;an interconnection layer disposed between the first upper surface of the first semiconductor element and the second lower surface of the second semiconductor elements, the interconnection layer having a third upper surface, a third lower surface, and a third side surface extending between the third upper surface and the third lower surface; andan encapsulation layer extending along the first side surface of the first semiconductor element, the second side surface of the second semiconductor element, and the third side surface of the interconnection layer.
  • 2. The stacked device of claim 1, further comprising a plurality of metallic vias disposed through the second semiconductor element from the second upper surface to the second lower surface of the second semiconductor element.
  • 3. The stacked device of claim 2, further comprising a second plurality of metallic vias disposed through the first semiconductor element.
  • 4. The stacked device of claim 1, wherein the encapsulation layer seamlessly and continuously extends across the respective first, second, and third side surfaces of the first semiconductor element, the second semiconductor element, and the interconnection layer.
  • 5. The stacked device of claim 1, wherein the encapsulation layer further extends over the second upper surface of the second semiconductor element, the second upper surface transverse to the second side surface of the second semiconductor element.
  • 6. The stacked device of claim 1, wherein the first side surface of the first semiconductor element is laterally inset relative to the second side surface of the second semiconductor element.
  • 7. The stacked device of claim 1, wherein a lateral footprint of the first semiconductor element is less than a lateral footprint of the second semiconductor element.
  • 8. The stacked device of claim 1, wherein the first side surface of the first semiconductor element is flush with the second side surface of the second semiconductor element.
  • 9. The stacked device of claim 8, wherein the first side surface of the first semiconductor element, the second side surface of the second semiconductor element, and the third side surface of the interconnection layer comprise etched surfaces.
  • 10. The stacked device of claim 8, wherein the first upper surface and the second lower surface are mounted to the interconnection layer, and wherein the encapsulation layer extends from the second major lateral surface of the first semiconductor element to the second major lateral surface of the second semiconductor element.
  • 11. The stacked device of claim 10, further comprising a second interconnection layer on the second upper surface of the second semiconductor element.
  • 12. The stacked device of claim 11, further comprising a third semiconductor element, the first semiconductor element stacked on the third semiconductor element.
  • 13. The stacked device of claim 1, wherein the third side surface of the interconnection layer is flush with the respective first and second side surfaces of one of the first and second semiconductor elements.
  • 14. The stacked device of claim 1, wherein the third side surface of the interconnection layer is flush with the respective first and second side surfaces of both the first and second semiconductor elements.
  • 15. The stacked device of claim 1, wherein a side surface of the encapsulation layer comprises a cut surface that is flush across the first and second semiconductor elements.
  • 16. The stacked device of claim 1, wherein at least one of the first and second semiconductor elements comprises a chip device.
  • 17. The stacked device of claim 1, wherein the interconnection layer comprises a back end-of-line layer (BEOL).
  • 18. The stacked device of claim 1, wherein the interconnection layer comprises a redistribution layer (RDL).
  • 19. A stacked device comprising: a first semiconductor element;a second semiconductor element stacked on the first semiconductor element, the second semiconductor element comprising a plurality of metallic vias disposed through the second semiconductor element, the first and second semiconductor element comprising respective first and second major lateral surfaces, the respective first major lateral surfaces facing one another and the respective second major lateral surfaces facing away from one another, each of the first and second semiconductor elements comprising a respective side surface extending between the respective first and second major lateral surfaces; andan encapsulation layer extending along the respective side surfaces of the first semiconductor element and the second semiconductor element, the encapsulation layer extending from the second major lateral surface of the first semiconductor element to the second major lateral surface of the second semiconductor element.
  • 20. The stacked device of claim 19, further comprising an interconnection layer disposed between the first and second semiconductor elements.
  • 21. The stacked device of claim 19, wherein at least one of the first and second semiconductor devices comprises a chip device.
  • 22. The stacked device of claim 19, wherein the side surface of the first semiconductor element is laterally inset relative to the side surface of the second semiconductor element.
CROSS-REFERENCE TO RELATED APPLICATION(S)

This application is a continuation of, and hereby claims priority to, pending U.S. patent application Ser. No. 15/649,457, filed on Jul. 13, 2017, which is a divisional of, and claims priority to, U.S. patent application Ser. No. 14/749,529, filed on Jun. 24, 2015, issued as U.S. Pat. No. 9,741,620, the entirety of each of which is hereby incorporated by reference herein for all purposes.

US Referenced Citations (259)
Number Name Date Kind
4467342 Tower Aug 1984 A
4998665 Hayashi Mar 1991 A
5019673 Juskey et al. May 1991 A
5087585 Hayashi Feb 1992 A
5322593 Hasegawa et al. Jun 1994 A
5399898 Rostoker Mar 1995 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
5956605 Akram et al. Sep 1999 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6008126 Leedy Dec 1999 A
6080640 Gardner et al. Jun 2000 A
6121688 Akagawa Sep 2000 A
6265775 Seyyedy Jul 2001 B1
6322903 Siniaguine et al. Nov 2001 B1
6374770 Lee Apr 2002 B1
6423640 Lee et al. Jul 2002 B1
6437434 Sugizaki Aug 2002 B1
6448153 Siniaguine Sep 2002 B2
6465892 Suga Oct 2002 B1
6582991 Maeda et al. Jun 2003 B1
6887769 Kellar et al. May 2005 B2
6908027 Tolchinsky et al. Jun 2005 B2
6958285 Siniaguine Oct 2005 B2
6964915 Farnworth et al. Nov 2005 B2
6984889 Kimura Jan 2006 B2
7045453 Canaperi et al. May 2006 B2
7078811 Suga Jul 2006 B2
7098542 Hoang et al. Aug 2006 B1
7105980 Abbott et al. Sep 2006 B2
7126212 Enquist et al. Oct 2006 B2
7193423 Dalton et al. Mar 2007 B1
7354798 Pogge et al. Apr 2008 B2
7452743 Oliver et al. Nov 2008 B2
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
7902643 Tuttle Mar 2011 B2
8008764 Joseph et al. Aug 2011 B2
8178963 Yang May 2012 B2
8178964 Yang May 2012 B2
8183127 Patti et al. May 2012 B2
8237289 Urakawa Aug 2012 B2
8241961 Kim et al. Aug 2012 B2
8258633 Sezi et al. Sep 2012 B2
8314007 Vaufredaz Nov 2012 B2
8349635 Gan et al. Jan 2013 B1
8377798 Peng et al. Feb 2013 B2
8409927 Yim Apr 2013 B1
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8536693 Dungan et al. Sep 2013 B2
8618659 Sato et al. Dec 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8703542 Lin et al. Apr 2014 B2
8704364 Banijamali Apr 2014 B2
8704384 Wu et al. Apr 2014 B2
8710648 Xue Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8810006 Yu et al. Aug 2014 B2
8816404 Kim et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8872349 Chiu et al. Oct 2014 B2
8912648 Lin et al. Dec 2014 B2
8988299 Kam et al. Mar 2015 B2
9006873 Nikitin et al. Apr 2015 B2
9018094 Kosenko et al. Apr 2015 B2
9048306 Chi et al. Jun 2015 B2
9093350 Endo et al. Jul 2015 B2
9136219 Iwase et al. Sep 2015 B2
9142517 Liu Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184104 Chia et al. Nov 2015 B1
9184125 Enquist et al. Nov 2015 B2
9202769 Lin et al. Dec 2015 B2
9224669 Xue Dec 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9355997 Katkar et al. May 2016 B2
9368563 Lin et al. Jun 2016 B2
9368866 Yu Jun 2016 B2
9379091 England et al. Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9402312 Shen et al. Jul 2016 B2
9412662 Lin et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9466586 Choi et al. Oct 2016 B1
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9704824 Lin et al. Jul 2017 B2
9722098 Chung et al. Aug 2017 B1
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
10008844 Wang et al. Jun 2018 B2
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269756 Uzoh Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10446456 Shen et al. Oct 2019 B2
10727219 Uzoh et al. Jul 2020 B2
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020004288 Nishiyama Jan 2002 A1
20040070045 Suguro et al. Apr 2004 A1
20040084414 Sakai et al. May 2004 A1
20040238927 Miyazawa Dec 2004 A1
20050040530 Shi Feb 2005 A1
20050153522 Hwang et al. Jul 2005 A1
20060057945 Hsu et al. Mar 2006 A1
20070045798 Horie et al. Mar 2007 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20070158024 Addison et al. Jul 2007 A1
20070222048 Huang Sep 2007 A1
20070295456 Gudeman et al. Dec 2007 A1
20080237782 Williams et al. Oct 2008 A1
20080244902 Blackwell et al. Oct 2008 A1
20080265421 Brunnbauer et al. Oct 2008 A1
20090039523 Jiang et al. Feb 2009 A1
20090068831 Enquist et al. Mar 2009 A1
20090072357 Tang et al. Mar 2009 A1
20090102002 Chia et al. Apr 2009 A1
20090149023 Koyanagi Jun 2009 A1
20090227089 Plaut Sep 2009 A1
20090252939 Park Oct 2009 A1
20090283898 Janzen Nov 2009 A1
20100123268 Menard May 2010 A1
20100176482 Dennard et al. Jul 2010 A1
20100213603 Smeys et al. Aug 2010 A1
20100225005 Nishio et al. Sep 2010 A1
20100230806 Huang et al. Sep 2010 A1
20100314741 Lee et al. Dec 2010 A1
20110062549 Lin Mar 2011 A1
20110074033 Kaltalioglu Mar 2011 A1
20110095403 Lee et al. Apr 2011 A1
20110108943 Dennard et al. May 2011 A1
20110163457 Mohan et al. Jul 2011 A1
20110290552 Palmateer et al. Dec 2011 A1
20120001339 Malatkar Jan 2012 A1
20120049332 Chen Mar 2012 A1
20120212384 Kam et al. Aug 2012 A1
20120319300 Kim et al. Dec 2012 A1
20130037962 Xue Feb 2013 A1
20130069239 Kim et al. Mar 2013 A1
20130122655 Yu et al. May 2013 A1
20130161836 Yeom et al. Jun 2013 A1
20130187292 Semmelmeyer Jul 2013 A1
20130217188 Wang et al. Aug 2013 A1
20130249045 Kang et al. Sep 2013 A1
20130249106 Lin et al. Sep 2013 A1
20130299997 Sadaka Nov 2013 A1
20130320572 Chang et al. Dec 2013 A1
20140001652 Chen et al. Jan 2014 A1
20140013606 Nah et al. Jan 2014 A1
20140015109 Lei et al. Jan 2014 A1
20140124957 Iwase May 2014 A1
20140134804 Kelly May 2014 A1
20140154839 Ahn et al. Jun 2014 A1
20140175655 Chen et al. Jun 2014 A1
20140225246 Henderson et al. Aug 2014 A1
20140225795 Yu Aug 2014 A1
20140299981 Goh et al. Oct 2014 A1
20140312511 Nakamura Oct 2014 A1
20140370658 Tong et al. Dec 2014 A1
20150021754 Lin Jan 2015 A1
20150041980 Ahn et al. Feb 2015 A1
20150064498 Tong Mar 2015 A1
20150102468 Kang et al. Apr 2015 A1
20150115448 Maier Apr 2015 A1
20150123240 Bowman May 2015 A1
20150179481 Lin Jun 2015 A1
20150228632 Yu Aug 2015 A1
20150262928 Shen et al. Sep 2015 A1
20150303170 Kim et al. Oct 2015 A1
20150327367 Shen Nov 2015 A1
20150340285 Enquest et al. Nov 2015 A1
20160020193 Lee et al. Jan 2016 A1
20160042998 Pueschner et al. Feb 2016 A1
20160133571 Lee et al. May 2016 A1
20160172302 Song Jun 2016 A1
20160247761 Song et al. Aug 2016 A1
20160300817 Do et al. Oct 2016 A1
20160343682 Kawasaki Nov 2016 A1
20160379885 Uzoh et al. Dec 2016 A1
20170148764 Wang et al. May 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170200711 Uzoh et al. Jul 2017 A1
20170316998 Marutani et al. Nov 2017 A1
20180012787 Oka Jan 2018 A1
20180096931 Huang et al. Apr 2018 A1
20180122774 Huang et al. May 2018 A1
20180130769 Tan et al. May 2018 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182666 Uzoh Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180219038 Gambino Aug 2018 A1
20180226375 Enquist et al. Aug 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180286805 Huang et al. Oct 2018 A1
20180323177 Yu Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20180366442 Gu et al. Dec 2018 A1
20180366446 Haba et al. Dec 2018 A1
20190096741 Uzoh et al. Mar 2019 A1
20190096842 Fountain, Jr. et al. Mar 2019 A1
20190115277 Yu Apr 2019 A1
20190131277 Yang May 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190371763 Agarwal et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20200013637 Haba Jan 2020 A1
20200075534 Gao et al. Mar 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395321 Katkar et al. Dec 2020 A1
Foreign Referenced Citations (23)
Number Date Country
103681646 Mar 2014 CN
0 065 425 Nov 1982 EP
2 685 491 Jan 2014 EP
04-337694 Nov 1992 JP
H11-135675 May 1999 JP
2000-100679 Apr 2000 JP
2001-102479 Apr 2001 JP
2002-353416 Dec 2002 JP
2004-193493 Jul 2004 JP
2011-171614 Sep 2011 JP
2013-33786 Feb 2013 JP
2018-160519 Oct 2018 JP
10-2001-0104643 Nov 2001 KR
10-2010-0123755 Nov 2010 KR
10-2015-0097798 Aug 2015 KR
WO 0234019 Apr 2002 WO
WO 2005043584 May 2005 WO
WO 2006100444 Sep 2006 WO
WO 2010024678 Mar 2010 WO
WO 2013119309 Aug 2013 WO
WO 2017034654 Mar 2017 WO
WO 2017052652 Mar 2017 WO
WO 2017151442 Sep 2017 WO
Non-Patent Literature Citations (72)
Entry
Amirfeiz et al., “Formation of silicon structures by plasma-activated wafer bonding,” Journal of The Electrochemical Society, 2000, vol. 147, No. 7, pp. 2693-2698.
Chinese Office Action dated Jun. 5, 2019, in Chinese Application No. 201780030482.7, 10 pages.
Chung et al., “Room temperature GaAseu + Si and InPeu + Si wafer direct bonding by the surface activate bonding method,” Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, Jan. 2, 1997, vol. 121, Issues 1-4, pp. 203-206.
Chung et al., “Wafer direct bonding of compound semiconductors and silicon at room temperature by the surface activated bonding method,” Applied Surface Science, Jun. 2, 1997, vols. 117-118, pp. 808-812.
Farrens et al., “Chemical free room temperature wafer to wafer direct bonding,” J. Electrochem. Soc., The Electrochemical Society, Inc., Nov. 1995, vol. 142, No. 11. pp. 3949-3955.
Farrens et al., “Chemical free wafer bonding of silicon to glass and sapphire,” Electrochemical Society Proceedings vol. 95-7, 1995, pp. 72-77.
Fukushima, T. et al., “New three-dimensional integration technology using self-assembly technique,” International Electron Devices Meeting 5-7.12.2005, IEEE, Dec. 5, 2005, pp. 348-351.
Gösele et al., “Semiconductor Wafer Bonding: A flexible approach to materials combinations in microelectronics; micromechanics and optoelectronics,” IEEE, 1997, pp. 23-32.
Hosoda et al., “Effect of the surface treatment on the room-temperature bonding of Al to Si and SiO2,” Journal of Materials Science, Jan. 1, 1998, vol. 33, Issue 1, pp. 253-258.
Hosoda et al., “Room temperature GaAs—Si and InP—Si wafer direct bonding by the surface activated bonding method,” Nuclear Inst. And Methods in Physics Research B, 1997, vol. 121, Nos. 1-4, pp. 203-206.
Howlader et al., “A novel method for bonding of ionic wafers,” Electronics Components and Technology Conference, 2006, IEEE, pp. 7-pp.
Howlader et al., “Bonding of p-Si/n-InP wafers through surface activated bonding method at room temperature,” Indium Phosphide and Related Materials, 2001, IEEE International Conference On, pp. 272-275.
Howlader et al., “Characterization of the bonding strength and interface current of p-Si/ n-InP wafers bonded by surface activated bonding method at room temperature,” Journal of Applied Physics, Mar. 1, 2002, vol. 91, No. 5, pp. 3062-3066.
Howlader et al., “Investigation of the bonding strength and interface current of p-SionGaAs wafers bonded by surface activated bonding at room temperature,” J. Vac. Sci. Technol. B 19, Nov./Dec. 2001, pp. 2114-2118.
International Search Report and Written Opinion dated Apr. 17, 2017, issued in International Application No. PCT/US2016/068577, 16 pages.
International Search Report and Written Opinion dated Sep. 22, 2017, issued in International Application No. PCT/US2017/029187, 20 pages.
International Search Report and Written Opinion dated Mar. 7, 2019, in International Application No. PCT/US2018/060044, 14 pages.
International Search Report and Written Opinion dated Apr. 22, 2019 in International Application No. PCT/US2018/064982, 13 pages.
International Search Report and Written Opinion dated Oct. 25, 2019, issued in International Application No. PCT/US2019/040622, 12 pages.
Itoh et al., “Characteristics of fritting contacts utilized for micromachined wafer probe cards,” 2000 American Institute of Physics, AIP Review of Scientific Instruments, vol. 71, 2000, pp. 2224.
Itoh et al., “Characteristics of low force contact process for MEMS probe cards,” Sensors and Actuators A: Physical, Apr. 1, 2002, vols. 97-98, pp. 462-467.
Itoh et al., “Development of MEMS IC probe card utilizing fritting contact,” Initiatives of Precision Engineering at the Beginning of a Millennium: 10th International Conference on Precision Engineering (ICPE) Jul. 18-20, 2001, Yokohama, Japan, 2002, Book Part 1, pp. 314-318.
Itoh et al., “Room temperature vacuum sealing using surface activated bonding method,” The 12th International Conference on Solid State Sensors, Actuators and Microsystems, Boston, Jun. 8-12, 2003, 2003 IEEE, pp. 1828-1831.
Ker, Ming-Dou et al., “Fully process-compatible layout design on bond pad to improve wire bond reliability in CMOS Ics,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Kim et al., “Low temperature direct Cu—Cu bonding with low energy ion activation method,” Electronic Materials and Packaging, 2001, IEEE, pp. 193-195.
Kim et al., “Room temperature Cu—Cu direct bonding using surface activated bonding method,” J. Vac. Sci. Technol., 2003 American Vacuum Society, Mar./Apr. 2003, vol. 21, No. 2, pp. 449-453.
Kim et al., “Wafer-scale activated bonding of Cu—Cu, Cu—Si, and Cu—SiO2 at low temperature,” Proceedings—Electrochemical Society, 2003, vol. 19, pp. 239-247.
Marinov, Val et al., “Laser-enabled advanced packaging of ultrathin bare dice in flexible substrates,” IEEE Transactions on Components, Packaging and Manufacturing Technology, Apr. 2012, vol. 2, No. 4, pp. 569-577.
Matsuzawa et al., “Room-temperature interconnection of electroplated Au microbump by means of surface activated bonding method,” Electornic Components and Technology Confererence, 2001, 51st Proceedings, IEEE, pp. 384-387.
Moriceau, H. et al., “Overview of recent direct wafer bonding advances and applications,” Advances in Natural Sciences—Nanoscience and Nanotechnology, 2010, 11 pages.
Nakanishi, H. et al., “Studies on SiO2—SiO2 bonding with hydrofluoric acid. Room temperature and low stress bonding technique for MEMS,” Sensors and Actuators, 2000, vol. 79, pp. 237-244.
Oberhammer, J. et al., “Sealing of adhesive bonded devices on wafer level,” Sensors and Actuators A, 2004, vol. 110, No. 1-3, pp. 407-412, see pp. 407-412, and Figures 1(a)-1(l), 6 pages.
Office Action for U.S. Appl. No. 15/159,649, dated Sep. 14, 2017, 9 pages.
Office Action for U.S. Appl. No. 15/389,157, dated Oct. 6, 2017, 18 pages.
Onodera et al., “The effect of prebonding heat treatment on the separability of Au wire from Ag-plated Cu alloy substrate,” Electronics Packaging Manufacturing, IEEE Transactions, Jan. 2002, vol. 25, Issue 1, pp. 5-12.
Plobi, A. et al., “Wafer direct bonding: tailoring adhesion between brittle materials,” Materials Science and Engineering Review Journal, 1999, R25, 88 pages.
Reiche et al., “The effect of a plasma pretreatment on the Si/Si bonding behaviouir,” Electrochemical Society Proceedings, 1998, vol. 97-36, pp. 437-444.
Roberds et al., “Low temperature , in situ, plasma activated wafer bonding,” Electrochecmical Society Proceedings, 1997, vol. 97-36, pp. 598-606.
Shigetou et al., “Room temperature bonding of ultra-fine pitch and low-profiled Cu electrodes for bump-less interconnect,” 2003 Electronic Components and Technology Conference, pp. 848-852.
Shigetou et al., “Room-temperature direct bonding of CMP-Cu film for bumpless interconnection,” Electronic Components and Technology Confererence, 51st Proceedings, 2001, IEEE, pp. 755-760.
Shingo et al., “Design and fabrication of an electrostatically actuated MEMS probe card,” Tranducers, Solid-State Sensors, Actuators and Microsystems, 12th International Conference, Jun. 8-12, 2003, vol. 2, pp. 1522-1525.
Suga et al., “A new approach to Cu—Cu direct bump bonding,” IEMT/IMC Symposium, 1997, Joint International Electronic Manufacturing Symposium and the International Microelectronics Conference, Apr. 16-18, 1997, IEEE, pp. 146-151.
Suga et al., “A new bumping process using lead-free solder paste,” Electronics Packaging Manufacturing, IEEE Transactions on (vol. 25, Issue 4), IEEE, Oct. 2002, pp. 253-256.
Suga et al., “A new wafer-bonder of ultra-high precision using surface activated bonding (SAB) concept,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1013-1018.
Suga et al., “Bump-less interconnect for next generation system packaging,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1003-1008.
Suga, T., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—A new concept of bump-less direct bonding for system level packaging,” The University of Tokyo, Research Center for Science and Technology, 2000 Electronic Components and Technology Conference, 2000 IEEE, pp. 702-705.
Suga, T., “Room-temperature bonding on metals and ceramics,” Proceedings of the Second International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, The Electrochemical Society Proceedings, vol. 93-29 (1993), pp. 71-80.
Suga et al., “Surface activated bonding—an approach to joining at room temperature,” Ceramic Transactions: Structural Ceramics Joining II, The American Ceramic Society, 1993, pp. 323-331.
Suga et al., “Surface activated bonding for new flip chip and bumpless interconnect systems,” Electronic Components and Technology Conference, 2002, IEEE, pp. 105-111.
Suga, “UHV room temperature joining by the surface activated bonding method,” Advances in science and technology, Techna, Faenza, Italie, 1999, pp. C1079-C1089.
Supplemental European Search Report dated Jun. 19, 2019 in European Application No. 17799846.5, 16 pages.
Takagi et al., “Effect of surface roughness on room-temperature wafer bonding by Ar beam surface activation,” Japanese Journal of Applied Physics, 1998, vol. 37, Part 1, No. 1, pp. 4197.
Takagi et al., “Low temperature direct bonding of silicon and silicon dioxide by the surface activation method,” Solid State Sensors and Actuators, 1997, Transducers '97 Chicago, 1997 International Conference, vol. 1, pp. 657-660.
Takagi et al., “Room-temperature bonding of lithium niobate and silicon wafers by argon-beam surface activation,” Appl. Phys. Lett., 1999. vol. 74, pp. 2387.
Takagi et al., “Room temperature silicon wafer direct bonding in vacuum by Ar beam irradiation,” Micro Electro Mehcanical Systems, MEMS '97 Proceedings, 1997, IEEE, pp. 191-196.
Takagi et al., “Room-temperature wafer bonding of Si to LiNbO3, LiTaO3 and Gd3Ga5O12 by Ar-beam surface activation,” Journal of Micromechanics and Microengineering, 2001, vol. 11, No. 4, pp. 348.
Takagi et al., “Room-temperature wafer bonding of silicon and lithium niobate by means of arbon-beam surface activation,” Integrated Ferroelectrics: An International Journal, 2002, vol. 50, Issue 1, pp. 53-59.
Takagi et al., “Surface activated bonding silicon wafers at room temperature,” Appl. Phys. Lett. 68, 2222 (1996).
Takagi et al, “Wafer-scale room-temperature bonding between silicon and ceramic wafers by means of argon-beam surface activation,” Micro Electro Mechanical Systems, 2001, MEMS 2001, The 14th IEEE International Conference, Jan. 25, 2001, IEEE, pp. 60-63.
Takagi et al., “Wafer-scale spontaneous bonding of silicon wafers by argon-beam surface activation at room temperature,” Sensors and Actuators A: Physical, Jun. 15, 2003, vol. 105, Issue 1, pp. 98-102.
Tong et al., “Low temperature wafer direct bonding,” Journal of Microelectomechanical systems, Mar. 1994, vol. 3, No. 1, pp. 29-35.
Topol et al., “Enabling technologies for wafer-level bonding of 3D MEMS and integrated circuit structures,” 2004 Electronics Components and Technology Conference, 2004 IEEE, pp. 931-938.
Uhrmann, T. et al., “Heterogeneous integration by collective die-to-wafer bonding,” Chip Scale Review, Nov./Dec. 2018, vol. 22, No. 6, pp. 10-12.
Wang et al., “Reliability and microstructure of Au—Al and Au—Cu direct bonding fabricated by the Surface Activated Bonding,” Electronic Components and Technology Conference, 2002, IEEE, pp. 915-919.
Wang et al., “Reliability of Au bump—Cu direct interconnections fabricated by means of surface activated bonding method,” Microelectronics Reliability, May 2003, vol. 43, Issue 5, pp. 751-756.
Weldon et al., “Physics and chemistry of silicon wafer bonding investigated by infrared absorption spectroscopy,” Journal of Vacuum Science & Technology B, Jul./Aug. 1996, vol. 14, No. 4, pp. 3095-3106.
Xu et al., “New Au—Al interconnect technology and its reliability by surface activated bonding,” Electronic Packaging Technology Proceedings, Oct. 28-30, 2003, Shanghai, China, pp. 479-483.
Ceramic Microstructures: Control at the Atomic Level, Recent Progress in Surface Activated Bonding, 1998, pp. 385-389.
European Patent Office, Patent Abstracts of Japan for H11-135675, 1999, 1 page.
International Search Report and Written Opinion dated Aug. 27, 2015, International Application No. PCT/US2015/030416, 11 pages.
International Search Report and Written Opinion dated Mar. 13, 2017, International Application No. PCT/US2016/037430, 15 pages.
U.S. Appl. No. 14/214,365, titled “Integrated Circuits Protected by Substrates with Cavities, and Methods of Manufacture,” filed Mar. 14, 2014, 40 pages.
Related Publications (1)
Number Date Country
20200126861 A1 Apr 2020 US
Divisions (1)
Number Date Country
Parent 14749529 Jun 2015 US
Child 15649457 US
Continuations (1)
Number Date Country
Parent 15649457 Jul 2017 US
Child 16718820 US